29 September - 3 October 2024
Monterey, California, US
Post-deadline submissions will be considered for the poster session, or oral session if space is available

Photomask Technology, formerly known as BACUS, stands as a distinguished forum where professionals and academics from the semiconductor industry and related organizations come together to present and discuss the latest advancements in photomask technology. The event covers a wide range of topics, including materials, design, fabrication, quality control, and wafer imaging characterization in EUV, DUV, and other related technology areas. The event is also situated alongside the EUV Lithography Symposium, and this co-location provides participants with a comprehensive scope and an opportunity to engage in productive discussions, exchange insights, and address challenges related to photomask and its crucial role in lithography.

 

With EUV lithography integrated into several generations of semiconductor products, the necessity for multiple patterning across more layers becomes inevitable. Given the significant costs associated with patterning, there is an imperative need for innovation in materials for EUV masks and pellicles, MDP (OPC, MPC, fracture) methods, writing techniques, metrology, and inspection capabilities to enhance resolution, yield, and productivity. Furthermore, the introduction of high-NA EUV scanners to the field necessitates the immediate securing of the entire infrastructure and refining of mask-making processes. Legacy technologies also require improvement to support existing applications and explore new opportunities in areas such as 5G, silicon photonics, IoT, MEMS, automotive, AR/VR, and others.

 

We extend a warm invitation to technologists, researchers, and students in the photomask and related fields to actively participate in our conference. This event serves as an excellent platform to exchange knowledge, insights, and ideas crucial for advancing the semiconductor industry. We encourage submissions covering a wide range of topics related to photomask research, development, manufacturing, and application. While we have a particular interest in the areas highlighted below, we welcome submissions from all related fields leveraging photomask technology. So, submit your abstract today and join us in shaping the future of our industry.

Whether you are working on leading-edge technologies or enhancing legacy ones, this is your chance to showcase your work, gain new knowledge, and network with colleagues and collaborators. We encourage you to participate by submitting your abstract(s) and motivating your colleagues to do the same. We express our gratitude for the technical contributions and support from participating companies that make this symposium possible.

;
In progress – view active session
Conference 13216

Photomask Technology 2024

30 September - 3 October 2024 | Monterey Conf. Ctr., Steinbeck 2
View Session ∨
  • Monday All-Symposium Plenary
  • 1: Joint Session with Photomask and EUVL: Prospects of Current and Future EUVL
  • 2: Blank and Etch
  • 3: Mask Patterning
  • Poster Session
  • 4: Inspection, Repair, and Cleaning
  • 5: Mask Metrology
  • 6: Defect, Printability, Handling, and Pellicle
  • 7: Mask Writers and E-beam Resist
  • Wednesday All-Symposium Plenary
  • 8: Joint Session with Photomask and EUVL: Prospects of EUV Blanks
  • 9: AR/VR, Mask for Photonics, and Nono-imprint
  • All-Symposium Panel
  • 10: Curvilinear Mask Technologies and MDP
  • 11: Mask Design and Corrections
Monday All-Symposium Plenary
30 September 2024 • 8:00 AM - 9:40 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Session Chairs: Seong-Sue Kim, Seoul National Univ. (Korea, Republic of), Kurt G. Ronse, imec (Belgium)
8:00 AM to 8:20 AM
Announcements and Welcome
13215-501
Author(s): Christophe Fouquet, ASML Netherlands B.V. (Netherlands)
30 September 2024 • 8:20 AM - 9:00 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
Strong trends drive the semiconductor industry: ubiquitous computing, the energy transformation and artificial intelligence, to name just a few, have the potential to propel the industry towards $1 trillion of sales by 2030. Enabling this trajectory is the extension of Moore’s Law through innovation in semiconductor devices, materials, manufacturing technologies and 3D integration. Moore’s Law, today, is best understood as scaling system energy-efficient performance. To enable chip makers in their pursuit of more powerful, smaller, cheaper, more integrated and more energy-efficient chips, ASML focuses on driving a holistic lithography roadmap with innovation across the entire product and service portfolio. At the core of it is EUV lithography technology. EUV is now mature, and the roadmap offers further improvements in imaging performance, accuracy and productivity, as well as a major reduction in cost per wafer. ASML has shipped the first High NA EUV systems and is enabling customers to run R&D wafers in its High NA lab in Veldhoven, the Netherlands. The new High NA optics, combined with progress on the EUV source, provide the foundation for the future of EUV in the form of a common platform, capable of carrying 0.33 NA, 0.55 NA and 0.75 NA and providing a significant cost reduction opportunity. ASML is committed to push technology to new limits, partnering with all members of our ecosystem, to enable chip makers to realize their ambitions.
13216-502
Author(s): Mark C. Phillips, Intel Corp. (United States)
30 September 2024 • 9:00 AM - 9:40 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
The first 0.55NA extreme ultraviolet lithography tools continue to progress towards production without the delays and technology gaps seen with the introduction of 0.33NA EUVL. Carry-over of proven modules and technologies from 0.33NA is providing the expected benefits in predictability and schedule, while the resources concentrated on the innovative anamorphic optics have delivered system-level aberrations and resist imaging performance consistent with the design and planned application of the tools. At the same time, the healthy ecosystem sustained by heavy usage of 0.33NA EUVL in high-volume manufacturing has supported the incremental enhancements in masks, resist, underlayers, etching, inspection, and metrology needed to insert 0.55NA EUVL on the Intel 14A process node. Introduction at this node avoids the need for excessive multi-patterning with 0.33NA EUV, and is particularly beneficial when front-side metal pitches are co-optimized with backside power delivery. With the ecosystem for initial introduction in place, we are already working on enhancements such as a 6x12 mask format to extract the full productivity potential from the High NA platform while simplifying design by eliminating the need to consider die-stitching locations in floor plans for large die. Finally, there is growing optimism that numerical apertures significantly beyond 0.55NA are technically feasible, though work continues to make the business case to justify development of “hyperNA” production tools.
Break
Coffee Break 9:40 AM - 10:10 AM
Session 1: Joint Session with Photomask and EUVL: Prospects of Current and Future EUVL
30 September 2024 • 10:10 AM - 12:10 PM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Session Chairs: Patrick P. Naulleau, The Ctr. for X-Ray Optics (United States), Akiyoshi Suzuki, AS Lithography Consulting (Japan)
13215-1
Author(s): Olaf Conradi, Paul Gräupner, Peter Kuerz, Wolfgang Seitz, Carl Zeiss SMT GmbH (Germany); Jan van Schoot, Roel Moors, ASML Netherlands B.V. (Netherlands)
30 September 2024 • 10:10 AM - 10:30 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
The optical train is a key element of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. In this paper, we present status and performance parameters of the next generation “High-NA EUV” optical system. Further, we discuss the current NA 0.33 optical system, where key parameters have been improved to support higher productivity in volume production. Additionally, the EUV roadmap and further progress of our developments will be shown.
13215-2
Author(s): Peter Klomp, ASML Netherlands B.V. (Netherlands)
30 September 2024 • 10:30 AM - 10:50 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
ASML NXE:3400 and NXE:3600D scanners are now commonly used for High Volume Manufacturing (HVM) of 7 nm to 3 nm logic devices as well as 10 nm class memory devices. This year the ASML NXE:3800E scanner has been released and started shipping to customers to support the next HVM nodes. In this paper we will share the latest performance of these systems, including excellent overlay, critical dimension (CD) control, stability, reliability, and high productivity Furthermore, we will describe the latest technology supporting the ASML roadmap for further improving cost of technology via increased productivity. Lastly the ASML NXE sustainability roadmap showing progress and steps towards a significant reduction in energy consumption per wafer exposure on NXE systems will be presented.
13215-3
Author(s): Aysegul Cumurcu, Cheuk-Wah Man, Bas van Meerten, Hilbert Van Loo, Eelco van Setten, Stefan Smith-Meerman, Gokay Yegen, Diederik de Bruin, Jan van Schoot, Rudy Peeters, Kaustuve Bhattacharyya, Greet Storms, Peter Vanoppen, ASML Netherlands B.V. (Netherlands)
30 September 2024 • 10:50 AM - 11:10 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
EUV lithography continues to make scaling cost effective for chip manufacturers and allows Moore`s law to pursue. High NA EUV with its increased numerical aperture (NA) from 0.33NA to 0.55NA enables 1.7x smaller features and improved local CDU. This brings several benefits for advance chipmakers such as patterning cost reduction due to multi-patterning, reduced defect density as a result of process simplification and shorter cycle time via mask reduction. Currently, there are multiple high NA EUV systems (EXE:5000) which completed the built and qualification in the ASML factory. The first performance data is being collected via one of these high NA EUV systems. This paper will cover the performance results of the high NA EUV platform (EXE:5000) on imaging and overlay based on the initial findings from common learning collaboration. Furthermore, the progresses towards future high NA EUV systems will be described.
13216-119
Author(s): Victor M. Blanco Carballo, Syamashree Roy, Bhavishya Chowrira, Van Tuong Pham, Johan Wouters, Shubhankar Das, Philippe Leray, Ru-Gun Liu, Kurt Ronse, Geert Vandenberghe, Ardavan Niroomand, Philippe Foubert, Vito Daniele Rutigliani, Hyo Seon Suh, Mihir Gupta, Danilo De Simone, Mircea Dusa, Christophe Beral, Vicky Philipsen, Vincent Wiaux, Joern Holger Franke, Joost Bekaert, Balakumar Baskaran, Werner Gillijns, Ryoung han Kim, Yasser Sherazi, Hemant Vats, Miroslav Cupak, Carol Chang, Yun-Jing Lin, Jeonghoon Lee, Soobin Hwang, Kiho Yang, Kenichi Miyaguchi, imec (Belgium)
30 September 2024 • 11:10 AM - 11:30 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
With the recent opening of the joint ASML-imec High NA EUV Lithography Lab, industry has now access to the first High NA EUV scanner(TWINSCAN EXE:5000) to develop High NA EUV use cases. In this paper we will highlight multiple breakthrough results achieved by imec and ASML – in close collaboration with its partners – to ready the patterning ecosystem and metrology for the first generation of High NA EUV Lithography for logic and memory applications.
13216-2
Author(s): Safak Sayan, Arvind Sundaramurthy, Ted Liang, Yuwei Li, Kiarash A. Zamani, Ruihong Zhang, Nathan Wilcox, Sven Henrichs, Steve L. Carson, Frank E. Abboud, Intel Corp. (United States)
30 September 2024 • 11:30 AM - 11:50 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
In this contribution, we will report on High-NA APMI and AIMS-EUV measurements on High-NA anamorphic masks for the first time, along with corresponding wafer print results. We will report on directional effect of CD impact as a function of defect size for both absorber protrusion and intrusion defects. AIMS data collected from anamorphic HiNA masks will be reviewed for the first time, where the images are used to validate aerial images from OPC simulations by aligning the post-threshold measured contours to the OPC contour and quantifying the difference. Additionally, the through focus aerial image performance was also collected and compared to simulated OPC contours.
13216-3
Author(s): Mark A. van de Kerkhof, ASML Netherlands B.V. (Netherlands)
30 September 2024 • 11:50 AM - 12:10 PM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
EUV lithography has been adopted worldwide for High-Volume Manufacturing (HVM) of sub-10nm node semiconductors. To support HVM, EUV pellicles were introduced by ASML in 2016. More recently, novel pellicle materials have successfully been developed and introduced to offer higher transmission and support higher source powers. With the EUV source power roadmap moving towards 800 W and even 1000 W, in combination with the stringent imaging requirements of upcoming nodes, these pellicles will be challenged to the extreme. In this paper, we will give an overview of current status of EUV pellicles, and of options to achieve a 1000W-compatible EUV pellicle. We will discuss both Si-based composite pellicles and CNT-based pellicles. We will discuss robustness against high EUV power and EUV-induced hydrogen plasma, and will cover transmission, imaging and overlay. We will also cover the application of these pellicles to the new high-NA scanners.
Break
Lunch Break 12:10 PM - 1:40 PM
Session 2: Blank and Etch
30 September 2024 • 1:40 PM - 3:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Takahiro Onoue, HOYA Corp. (Japan), Bryan S. Kasprowicz, HOYA Corp. USA (United States)
13216-4
Author(s): Jeff Chen, Rebecca Stern, Rao Yalamanchili, Applied Materials, Inc. (United States); Yohei Ikebe, Takahiro Onoue, Bryan Kasprowicz, HOYA Corp. (Japan)
30 September 2024 • 1:40 PM - 2:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
13216-5
Author(s): Parag Ghosh, Philip Wang, Synopsys, Inc. (United States); Rich Wu, Synopsys Taiwan Co., Ltd. (Taiwan); Yan Feng, Synopsys, Inc. (United States)
30 September 2024 • 2:00 PM - 2:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
There is an increasing need for increased accuracy of etch compact models. We present results of a combination of physically motivated and machine learning compact etch model terms. Results show that by proper feature engineering, our modeling solution can meet these increased needs for accuracy and predictability with no impact on throughput.
13216-6
Author(s): Naoki Inoue, Junji Sano, Takuo Kikuchi, Toshiba Corp. (Japan); Yoshie Okamoto, Kazuki Nakazawa, Takashi Miyamoto, Yoshinori Iino, Tomoaki Yoshimori, Masashi Yamage, Sadayuki Jimbo, Shibaura Mechatronics Corp. (Japan)
30 September 2024 • 2:15 PM - 2:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
With pattern shrinkage of semiconductor devices, new etching process that can achieve accuracy less than 1 nm has been required for the next-generation EUV (Extreme-Ultraviolet) photomask fabrication. For this technological challenge, ALE (Atomic Layer Etching) could be a promising solution for nanofabrication of the next-generation EUV photomask. In this situation, we applied ALE process to etching hard-mask material, particularly Ta mono-oxide (TaO). In this study, we demonstrated the self-limiting etching of TaO hard-mask by optimizing ALE process condition.
13216-7
Author(s): Seulki Roh, Taewon Go, Chungik Oh, Hanjune Yoon, Hakseung Han, Sanghee Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
30 September 2024 • 2:30 PM - 2:45 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In the era of EUV lithography in which the multilayer EUV reflection mirror plays a fundamental role, the accurate determination of the thickness of each constituting layers along with the verification of other physical properties such as the complex index of refraction, is crucial. Particularly for EUV phase shift masks, identifying the relative phase shift, modulated by the phase differences between reflected EUV photons with and without optical paths involving phase-shifting layers, requires measurements with sub-nanometer accuracy. While the commercial EUVTECH EUVNK tool provides angle-resolved specular reflectometry for such EUV masks, the extraction of physical dimension from each individual layer would require a careful approach. Here, we propose a novel, rigorous but simplified method for modeling multilayer systems with the well-known effective medium theory. This capability enables simulations with a precise fit of measured spectra, leading to an accurate and physically robust identification of optical parameters. In this presentation we will share and discuss corresponding details and results from the Samsung photomask shop.
13216-8
Author(s): Supriya L. Jaiswal, Astrileux Corp. (United States); Andrew Dawes, Synopsys, Inc. (United States)
30 September 2024 • 2:45 PM - 3:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Astrileux and Synopsys demonstrate the performance of new multilayer mask blank materials on photoresists and wafers in next generation lithography. We present new mask materials that exhibit superior on-wafer imaging properties, and improved performance in critical lithography parameters such as dose, critical dimensions (CD), normalized image log slop (NILS) and depth of focus (DOF). Specifically we examine the optimization of material and architecture properties of coatings, and demonstrate how their reflectivity profiles influence the CDs obtained for different pitches, illumination conditions and complex numerical aperture systems. Consequently we can quantify direct improvements in CD as a result of new mask materials and their architectures.
13216-9
Author(s): Henry H. Kamberian, Photronics, Inc. (United States); Jed Rankin, IBM Thomas J. Watson Research Ctr. (United States); Jinju Beineke, Photronics, Inc. (United States); Romain Lallement, IBM Thomas J. Watson Research Ctr. (United States); Michael Green, Photronics, Inc. (United States); Martin Burkhardt, IBM Thomas J. Watson Research Ctr. (United States); Mohamed Ramadan, Photronics, Inc. (United States); Scott Halle, Rajiv Sejpal, Oseo Park, Sriharsha Sudhindra, IBM Thomas J. Watson Research Ctr. (United States); Chris Progler, Photronics, Inc. (United States)
30 September 2024 • 3:00 PM - 3:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
This work embarked on characterization studies evaluating EUV low-n absorber materials (EUVPSM), which meets EUV mask fabrication requirements for impending 2nm and below advanced semiconductor device nodes. Through simulation and material evaluations, low-n material selection narrowed to one material, which met thickness, PSM phase angle, and EUV reflectivity targets. Characterization consisted of studying mask manufacturing modules from patterning, post-exposure processing, cleaning, and through defect inspection and repair. This low-n absorber material was also evaluated for critical patterning performance looking at key CD control metrics such as resolution, uniformity, linearity, proximity, LER/LWR and pattern fidelity at complex mask designs for 2nm node class and beyond technology. Other modules examined included sidewall angle (SWA) patterned absorber and EUV Black-Border patterning process. With mask manufacturing process established and characterized, EUVPSM mask lithographic performance was evaluated to assess overall EUV printability at Low-NA, and early assessment at High NA conditions examining critical imaging metrics while comparing EUVPSM to standard Ta-based bi
13216-10
Author(s): Ibrahim Burki, Zaw Win Phyo, HOYA Electronics Singapore Pte. Ltd. (Singapore)
30 September 2024 • 3:15 PM - 3:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As EUV lithography broadens from binary masks to phase shift masks and prepares for the introduction of high NA, the specifications for optical properties and defects become more stringent. Mask blank fabrication shares a lot in common with wafer fabrication, specifically with polish, thin films and cleans processes, with low yields a significant challenge. A “one die per wafer” equivalence for a mask blank implies process and yield challenges beyond what is capable in contemporary wafer processing. With the recent advent of large-scale data integration technologies for acquisition (tool interface), data normalization and storage, to advanced analytics and artificial intelligence (AI) models, we can now apply this to thin film deposition technology for advanced EUV Bragg reflectors. In addition to enabling the detection of process drift, AI and machine learning (ML) tools predict defect excursions and root cause determination of systematic defects. Advanced image classification by AI models, pushing beyond the limits of OEM inspection tools, adds detection capability beyond current benchmarks and provides additional capability for next generation EUV mask blank development.
Break
Coffee Break 3:30 PM - 4:00 PM
Session 3: Mask Patterning
30 September 2024 • 4:00 PM - 6:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Ingo Bork, Siemens EDA (United States), Dong-Seok Nam, ASML (United States)
13216-11
Author(s): Yoonjung Cho, Inhwan Noh, Changyoung Jeong, Jin Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
30 September 2024 • 4:00 PM - 4:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
High-resolution EUV mask patterning capability has been one of the key factors to enable sub-10 nm nanofabrication. As the transition towards high-numerical aperture (NA) EUV technology is ongoing to extend Moore’s law beyond 2 nm-node, this novel exposure system challenges photomask manufacturing for higher resolution and accurate patterning fidelity due to reduced resolution limit. Therefore, development of photomask lithography technologies including improved mask writer, photoresist, new materials of the substrate, and optimized EUV process is necessary to meet the desired minimum feature size. This paper aims to establish the evaluation method for optimized photomask process in upcoming high-NA EUV era. We analyze the budget of factors contributing to EUV mask patterning performance and evaluate the total process window using Multi-Beam Mask Writer (MBMW).
13216-12
Author(s): Ta Wei Ou, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan)
30 September 2024 • 4:15 PM - 4:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
13216-13
Author(s): Ingo Bork, Siemens EDA (United States); Nageswara Rao, Rachit Sharma, Kushlendra Mishra, Siemens EDA (India)
30 September 2024 • 4:30 PM - 4:45 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Advanced electron beam photomask writers operate at an acceleration voltage of 50kV. Electrons hitting the photoresist at that energy suffer significant forward scattering in the resist and backscattering from the mask stack back into the resist material. The large amount of scattering and its compensation through dose modulation leads to a degradation in process window. This degradation in process window can partially be compensated by reducing the exposure dose in bulk areas of mask patterns while keeping the dose near the edges of the patterns high. We investigate systematically the effect of bulk and sleeve dose levels as well as sleeve width of curvilinear mask patterns on process window using a calibrated mask model and show which combinations are most beneficial depending on pattern types.
13216-15
Author(s): Mayuko Matsumoto, Naoki Yoshida, Tetsunori Hirata, Makoto Motegi, Kiyoshi Kageyama, Mitsuharu Yamana, Toppan Photomask Co., Ltd. (Japan); Wataru Kunishima, Ryo Iikubo, NuFlare Technology, Inc. (Japan)
30 September 2024 • 4:45 PM - 5:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
We have introduced multi-beam mask writer made by NuFlare Technology. It has Pixel Level Dose Correction (PLDC), that is the unique function of NuFlare's machines to improve the mask performance. It realizes to control dose by each pixel, for example edge dose enhancement, that is one of its features, emphasizes the edges of the patterns. LCDU and curvilinear design fidelity have been evaluated by applying this feature. In this presentation, we will discuss PLDC performance and its evaluation result.
13216-16
Author(s): Darko Trivkovic, Chieh-Miao Chang, Jiahui Wang, Yi-Pei Tsai, Xuelong Shi, Joost Bekaert, Kenichi Miyaguchi, imec (Belgium)
30 September 2024 • 5:00 PM - 5:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The ongoing scaling of semiconductor devices necessitates increasing development of new and disruptive technologies. Curvilinear layout design and optical proximity correction (OPC) are among the innovations facilitating these advancements in technologies. However, they face challenges in mask enablement technology, including issues with mask writing, data volume management, design complexity, mask data representation, mask qualification, and metrology. In this paper, curvilinear mask test patterns and measurement methodologies are newly proposed for mask qualification and masks specification. Using contour-based mask metrology, edge placement error (EPE), mean-to-target (MTT) and uniformity (CDU) based on target minimum curvature (TMC) are measured and used as the main qualification metrics instead of traditional metrics such as critical dimensions (CD). These novel methods will partly complement standard qualification methods used for non-curvilinear (Manhattan) masks. A set of unique mask test structures are also proposed to extract the minimum set of curvilinear mask rules which enables experimental definition and verification of the manufacturing process.
13216-40
Author(s): Ulf Weidenmueller, Eike Linn, Stefan Fasold, Ines Stolberg, Vistec Electron Beam GmbH (Germany)
30 September 2024 • 5:15 PM - 5:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
E-beam lithography in mask manufacturing is challenged by new requirements from optical and photonic applications. We will show how semiconductor parameters like CD uniformity or line edge roughness translate into optical performance parameters like total stray light or transmission loss, and how to fine-tune the process parameters accordingly. Further, the optical designs, with their curved and angled structures, in contrast to the classical Manhattan like structures in semiconductor designs, require adapted data preparation and lithography solutions. Some application examples including the respective optical measurements will show how our approach, using Vistec’s data preparation solution ePLACE with the Vistec Variable Shaped Beam systems, provides the required optical performance maintaining throughput at the same time.
13216-17
Author(s): Yohei Torigoe, Itaru Ono, Ahmad Syukri, Yutaro Sato, Nippon Control System Corp. (Japan); Sun Young Kim, Boram Lee, Sukho Lee, Eok Bong Kim, Sanghee Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
30 September 2024 • 5:30 PM - 5:45 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In Electron Beam (EB) exposure for Extreme Ultraviolet (EUV) masks, it is well known that the backscattering behavior differs significantly from conventional photomasks due to their film structure. In particular, short-range scattering derived from the Mo/Si multilayer film increases, causing the resist film to be more strongly affected within narrower range. To compensate for the error in Critical Dimension (CD), Proximity Effect Correction (PEC) in EB writer must be aware of this short-range scattering. While PEC calibration is typically done using the expertise of skilled engineers, adjusting the parameters of the multi-gaussian model significantly increases the burden on engineers due to the complexity of the phenomenon. In this paper, we introduce a method that automates the procedure of PEC parameter optimization by applying Mask Process Correction (MPC) model calibration techniques and providing feedback on backscattering components from empirically fitted model. Furthermore, we demonstrate the validity of our approach through exposure experiments for calibration and verification parts.
13216-18
Author(s): Martin Glimtoft, Robert Eklund, Mikael Wahlsten, Mats Rosling, Anders Svensson, Mycronic AB (Sweden); Youngjin Park, Mycronic Co., Ltd. (Korea, Republic of); Yukihiro Fujimura, Izumi Hotei, Mei Ebisawa, Yusuke Shoji, Shingo Yoshikawa, Dai Nippon Printing Co., Ltd. (Japan)
30 September 2024 • 5:45 PM - 6:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The semiconductor industry is growing at an annual rate of 6.9% (according to Prismark Semiconductor and Packaging Report Q4, 2023 forecast for 2023-2028), and the production of semiconductor devices is increasing accordingly. Currently, device manufacturing using Extreme Ultraviolet (EUV), referred to as 2 nm technology, has begun for AI and mobile phone devices. On the other hand, the production of devices using >90 nm technology, known as mainstream, for the automobile industry and sensing devices, including power, analog, and discrete, is also increasing, leading to an increase in the production of photomasks. However, the reality is that the production of photomasks for the relevant technology is using outdated equipment, and there is a need for equipment with high productivity. In this study, we investigate how e-beam masks for devices used in the mainstream technology compares to masks manufactured with current high-productivity DUV laser equipment and will focus on the following aspects and provide a comprehensive report. Comparison with manufactured masks based on current technologies in terms of CDU, Registration, resolution, printability and so on.
Poster Session
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Symposium attendees are invited to attend the Poster Session on Monday evening. This session provides an opportunity for attendees to meet with colleagues, network, view posters and interact with the authors. Attendees are requested to wear their conference registration badges.

Poster setup is between 2:30 PM and 6:00 PM. Poster authors, visit Poster Presentation Guidelines for set-up instructions.
13216-68
Author(s): Futian Wang, Juan Wei, Xiaonan Liu, Yu Mu, Cuixiang Wang, Enqiang Tian, Song Sun, Ruihua Liu, Jiahao Xi, Yufei Sha, Di Liang, Hao Yang, Miao Jiang, Qingchen Cao, Jiangliu Shi, Beijing Superstring Academy of Memory Technology (China)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Inverse Lithography Technology (ILT) has been widely explored as a new mask correction technology to further improve the imaging performance in advanced imaging at low-k1 lithography regime. ILT naturally generates complex nonrectilinear mask shapes, which is a challenge to accurately characterize ILT mask. Applying contour-based mask quality characterization has been considered as a more reliable solution. In this work, we’ve developed an offline batch contour-based methodology flow. It includes contour extraction from SEM images, contour-layout alignment, SEM contours GDS merge, polygon-based SEM contour GDS analysis, statistics and visualization. Based on this methodology flow, we’ve quantified CD, CDU, CD linearity of an ILT Mask which has been manufactured by a Variable Single Beam e-Beam writer. Besides, we’ve explored the impact of mask quality on wafer printing performance (NILS, Pvband and CD) based on a clipped mask contour GDS and lithography model.
13216-69
Author(s): Paris Spinelli, Micron Technology, Inc. (United States); Nagesh Shirali, D2S, Inc. (United States); Yasui Kenichi, NuFlare Technology, Inc. (Japan); David Araujo, Lindsay Berg, Micron Technology, Inc. (United States); Abhishek Shendre, D2S, Inc. (United States); Russell Shoemake, Micron Technology, Inc. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Micron is readying ourselves for EUV use of free-form curvilinear ILT, by anticipating the need for full reticle processing of Mask Process Correction (MPC) including dose-based near-term and mid-range effects and variable bias for process effects that are not dose based. Verifying that such a capability works with inline processing as the machine writes the mask. The correction was verified on several full reticle curvilinear free-form patterns for EUV using 16nm pixel multibeam writer, the MBM-2000PLUS with the Pixel-Level Dose Correction (PLDC) capability. CD linearity down to 40nm mask features were shown to be within 1nm. As all corrections are performed inline, there is no separate preprocessing step that takes turnaround time (TAT), or explodes the file sizes due to mask process correction. This is a zero TAT solution where files output by ILT and verified by mask rules check (MRC) can be read directly by the mask writer for immediate processing. We will include inspection results and SEM pictures and plotted results comparing the shapes with and without correction. We will also show superior LCDU obtained using pixel-based edge enhancement.
13216-70
Author(s): Juan Wei, Jinlai Liu, Guangyu Sun, Jingkang Qin, Cuixiang Wang, Futian Wang, Peng Xu, Jiangliu Shi, Tianshuai Qi, Qingchen Cao, Beijing Superstring Academy of Memory Technology (China)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Inverse Lithography Technology (ILT) is widely recognized as a highly effective approach for optimizing the process window in immersion lithography. The inherent all-angle characteristics of ILT present unique challenges in modeling and simulation compared to traditional Optical Proximity Correction (OPC) technology. In this study, we introduce a novel ILT modeling method that incorporates all-angle gauges and a curvilinear mask to enhance the model accuracy. Initially, we design a curvilinear mask featuring typical pattern types. Subsequently, following mask fabrication and wafer exposure, all-angle gauges are generated using the extracted CDSEM image contours. By conducting rigorous model fitting and validation procedures, the calibrated ILT model showcases superior performance metrics when compared to traditional OPC models, particularly in terms of Root Mean Square (RMS) error, error range, and inspection ratio. These advancements will culminate in significantly more precise outcomes in ILT mask correction applications.
13216-71
Author(s): Masakazu Hamaji, Tomokazu Hayashi, Aki Shigeta, Rie Funoki, Taigo Fujii, Shuichiro Ohara, Nippon Control System Corp. (Japan)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
As semiconductor device scaling continues to challenge lithographic capabilities, complex corrections such as those offered by inverse lithography technology (ILT) have become essential. The MULTIGON record, developed to utilize Bezier curves for efficiently representing curved mask patterns through ILT, has been incorporated into the SEMI P49 extension of the OASIS format. Over time, as the standard format has been established, EDA vendors and mask manufacturing equipment makers have progressively implemented these specifications, enabling them to evaluate using various real-world data. Our earlier study has not only addressed the handling of Bezier curves in Mask Data Preparation (MDP) but have also raised and discussed fundamental issues regarding the necessary geometric operations for MDP, exploring potential problems that might arise. This paper evaluates their practical application in mask layouts, specifically focusing on the challenges associated with implicit Bezier curve representations.
13216-72
Author(s): Ai Kaneko, Yohei Sogabe, So Yanaihara, Toshikazu Nagatani, Taigo Fujii, Tomokazu Hayashi, Masakazu Hamaji, Nippon Control System Corp. (Japan)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
With the adoption of multi-beam mask writers (MBMW), complex curvilinear patterns have become increasingly prevalent due to their advantages, such as a larger process window on the wafer. However, from a Mask Data Preparation (MDP) point of view, curvilinear data poses challenges related to increased data volume and computational demands. To address these challenges, the Curvilinear Working Group has introduced a new MULTIGON record as an extension of the OASIS format. The MULTIGON record represents curvilinear data as a combination of parametric curves. This paper focuses on applying Implicit Bézier curve representation in MPC without converting MULTIGON to PWL. We will review the capabilities of Bézier-based MPC for MULTIGON input in terms of computational performance, output data volume, and correction accuracy. Additionally, we will highlight the advantages of utilizing Bézier curve representation for MPC flow over conventional PWL-based curvilinear data.
13216-73
Author(s): Philippe Hurat, Anwei Liu, Yongjun Kwon, Alan Zhu, Ya-chieh Lai, Cadence Design Systems, Inc. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
MRC (mask rule check) is an important step in mask manufacturing. Curvilinear mask produced by curvilinear OPC posts new challenges for MRC tools. Traditional DRC based MRC tools can run into performance and accuracy issues due to large data volume from curvilinear post OPC. In this article, we demonstrate a new algorithm to accurately capture curvy space, width, and curvature. Fast pattern matching algorithm is used to improve performance. We leverage OPC verification infrastructure to perform pattern classification and rich functionality in verification tools to interactively browse MRC violations.
13216-74
Author(s): Xuan Zhu, Chia-Wei Chang, New Ray Mask Technology Corp. (China); Ao Chen, Yu Zhu, Semiconductor Intelligent Design Automation Technology Ltd. (China)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
A hybrid ruled-based and model-based MPC solution is introduced in this paper, which is aimed to maintain mask CD accuracy with reduced runtime.
13216-75
Author(s): Cuixiang Wang, Yu Mu, Chunlong Yu, Juan Wei, Futian Wang, Enqiang Tian, Yufei Sha, Di Liang, Hao Yang, Song Sun, Miao Jiang, Qingchen Cao, Jiangliu Shi, Beijing Superstring Academy of Memory Technology (China)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
In this paper, we investigate the impact of different Manhattan levels of ILT on the on-wafer image fidelity. We present an illustrative example of a mask with 1D and 2D patterns that are corrected by ILT with different Manhattan levels (marked as mask rule check, MRC). Our results demonstrate that as the MRC decreases, the EPE is reduced and the PW is enlarged, highlighting the superiority of ILT over OPC in terms of a larger PW and reduced EPE. Moreover, we found that Manhattan's EPE was reduced by 30% compared to traditional OPC. And when the MRC value is increased from 15 to 25 nm, there is little change in EPE. When the MRC value is 20 nm, it satisfies both the PW and EPE requirements, with a balance manufacturing cost and performance on wafer. On the other hand, we also explore the impact of different electron beam shot sizes on EPE and mask writing time. Our findings suggest that shot size optimization showed a significant effect on both the EPE and mask writing time, further emphasizing the importance of developing efficient and effective lithography techniques for advanced node chip manufacturing.
13216-76
Author(s): Wei Zhao, Dandan Han, Yayi Wei, Univ. of Chinese Academy of Sciences (China)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
In order to explore the influence of laser field intensity distribution on exposure outcomes in laser direct writing lithography (LDWL) systems and propose strategies for controlling the distribution of light field intensity, this paper investigates the impact of 365nm LDWL laser field intensity distribution on exposure outcomes. A large number of lithography experiments were conducted, and the exposure results of critical dimension (CD), normalized image log slope (NILS), and pattern shift (PS) under different patterns and laser field intensity distributions were statistically analyzed. This paper provides a clear reference for the influence of laser field intensity distribution on exposure outcomes in LDWL and can promote the development and optimization of LDWL systems.
13216-77
Author(s): Kazuyo Morita, Oji Holdings Corp. (Japan)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
In semiconductor industry, higher chip performance is required by the market. Photomask that has higher dense pattern for next generation is very important to achieve that requirement. Another requirement from the market is a reduction of PFAS in the manufacturing process because there are of concern due to their persistence in the environment and potential adverse health effects. In SPIE AL 2024, non-PFAS biomass EUV resist has been proposed. The concept of non-PFAS biomass resist is non-edible biomass as a raw material, chain-scission type, positive-tone resist, and stable in pot and during process. By 50 keV EB lithography, hp 8.4 nm L/S pattern with the non-PFAS biomass resist was obtained. Based on these results, non-PFAS biomass resists also has a potential for photomask application. In this paper, we will report some results for photomask application in detail.
13216-78
Author(s): Kei Yamamoto, Kotaro Takahashi, Kazuki Takeda, Hideo Nagasaki, Daisuke Taguchi, FUJIFILM Corp. (Japan); Kazunori Ono, Takahiro Hiromatsu, Taku Hirayama, HOYA Corp. (Japan)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
13216-79
Author(s): Young M. Ham, Photronics, Inc. (United States); Juergen Preuninger, Hans-Jurgen Stock, Jirka Schatz, Heath Wheeler, Synopsys GmbH (Germany); Chris Progler, Mohamed Ramadan, Photronics, Inc. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Various mask PORs based on ArF PSM and EUV mask are analyzed using Monte-Carlo simulation and EB Mask simulator through empirical method. Energy intensity distribution (EID) and point spread function (PSF) are evaluated, which are determined by electron beam energy and substrate properties. We analyze the correlation of EID, patterning feature and CD of mask process to be optimized for high-grade products. From EB mask simulation, we study the characteristics of PSF generated by electron beam scattering according to changes in energy and material. PSF Gaussian parameter fitting is applied to obtain optimum resist parameters. As a result, we find optimum process parameters that are consistent with the actual process. Using accurate model in simulation, we evaluate the mask error of various mask processes and study the correlation according to different mask conditions. For application and verification, we use complex patterns such as ILT and monitor CD error changes according to blank material (MoSi, EUV), CAR properties (P-type, N-type, sensitivity), and data format (VSB, MALY). Finally, we predict mask error impacts on the ArF process for PSM and EUV lithography.
13216-80
Author(s): Shizhang Zhuang, Tianguo Deng, Yanghui Liu, KLA China (China); Decai Liao, Wei Gan, Zhengzhou Tian, Ren Bao, Haiming Ge, New Ray Mask Technology Corp. (China)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
For mature technology node IC manufacturing, a simple Tritone mask is widely adopted with chrome (Cr) layer remained in Scribe line and border region. The fabrication of a Tritone mask uses a dual step process with an e-beam writer for the 1st writing and a laser beam writer for the 2nd one, which induces process shift and possible Cr residual defects of the 2nd exposure writing. In this paper, NewRay Mask Technology Corporation (NRMTC) proposes a new process with adequate Cr shrinking, which can decrease residual Cr on Mosi/Qz edge and significantly decrease false defects count when the mask is inspected on the KLA TeraScan™ 597XR mask inspection tool with Tritone Die-to-Database inspection mode. Furthermore, a programmed defect mask(PDM)has been designed to explore the optimal Cr shrink distance and shrinking effect on different pattern design area including line space and contact layers with array dies and shuttle dies. Tritone mask production verification conducted with optimal Cr shrink distance also demonstrate that the 2nd etch with optimal Cr shrinking distance can effectively improve the defect inspection process and have extra benefits on Tritone mask inspectability.
13216-81
Author(s): Maxwel Lee, Eric Wang, Ken Yang, Colbert Lu, Taiwan Mask Corp. (Taiwan); Elton Lin, Connie Lin, Pei-Ying Lin, Adrian Li, KLA Taiwan (Taiwan); Dongsheng Fan, KLA Corp. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
With new technology development and adoption in AI, electrical vehicle, telecommunication, IoT and etc, the semiconductor industry is expected to have 8% CAGR from 2022-2030 [1]. As a result, design starts and corresponding photomasks are also expected to experience a significant growth. In the past a few years, the mask making industry has experienced severe capacity shortage. The lead time is largely limited by the challenge to increase mask supply capacity in a short time. Moreover, the obsolescence of legacy mask inspection tools makes it more difficult to support semiconductor growth in near future. In this work, we’ve evaluated KLA’s large pixel upgrade on the existing TeraScanTM 5XX platform. We’ve demonstrated that the large pixel P186 upgrade is able to meet our sensitivity and false requirement of our mature mode manufacturing. More importantly, the upgrade provides throughput improvement to replace 3XX/SLF capability in our mask shop. Each 5XX equipped with P186 is able to replace up to two 3XX/SLF tools for our mask shop use cases. [1] Les Dahl and Bud Caverly, “Factors Driving Merchant Photomask Growth and Shortages”, Proc. of SPIE Vol. 12751, 127510H (2023)
13216-82
Author(s): Yunjong Kim, Jihun Kim, Korea Astronomy and Space Science Institute (Korea, Republic of), Univ. of Science and Technology (Korea, Republic of); JaeHee Byun, HanRim Chae, KyoungSang Moon, Green Optics Co., Ltd. (Korea, Republic of); Minkyu Jung, Wonbin Choi, Ingu Chang, SMTech Co., Ltd. (Korea, Republic of); Hyunil Cho, Green Optics Co., Ltd. (Korea, Republic of)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
We are developing an objective system for a catadioptric setup used in semiconductor defect inspection at 193 nm in deep UV band. This system comprises seven lenses and two mirrors with a numerical aperture of 0.8 and a total length of 95 mm. Upon analyzing the optical performance of the system, we identified two lenses as the most sensitive components. These lenses will serve as compensators during the assembly and alignment process using an interferometer. In this paper, we present the testing plan for the catadioptric system and discuss the assembly process.
13216-83
Author(s): Younwon Jung, EW Technology (Korea, Republic of); Sungmin Lim, SEEMS BIONICS Inc. (Korea, Republic of); Insik Choi, Byungsun Choi, Jaeyoung Kim, EW Technology (Korea, Republic of); Kunkul Ryoo, Soonchunhyang Univ. (Korea, Republic of); HyungWon Kim, EW Technology (Korea, Republic of)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
A new technology in which ultrapure water only was electrolyzed non-chemically with low electric power (<100W) was introduced for EUV mask cleaning application. Electrolyzed cathode water minimized the adsorbed residues of previous chemical treatments with minor surface modifications with high PRE(particle removal efficiency). Unlike cavitation effects of other water containing H2 gas, its PRE was significantly improved by increasing megasonic energy. As a result, it has recently been successfully adopted for all EUV mask cleaning as a POR(process of record) recipe clean by two top semiconductor memory chip manufacturers in Korea.
13216-84
Author(s): Nicolas Candia, Claudio Zanelli, Onda Corp. (United States); Don Watson, ProSys, Inc. (United States); Petrie Yam, Onda Corp. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Cleaning processes in advanced 193i and EUV megasonic photomask cleaning continue to have demanding defect requirements, which rely on a narrow process window. To ensure full particle removal and pattern damage control, many parameters must be optimized and controlled, including the transducer output pressure, drive frequency, power setting, and transducer position. Early work from a wired photomask-shaped sensor array revealed the need for a wireless in-situ measurement to characterize how dynamic conditions affect acoustic cavitation behavior. An early version of the wireless sensor was developed to evaluate a system with a single nozzle transducer that swept across the cleaning surface of a rotating photomask. In this study, the technology was further enhanced by improving the form factor and data acquisition capabilities to test a single crystal transducer (i.e., ProSys MegPieTM) fixed above a rotating substrate. The acoustic pressure uniformity across the photomask was evaluated. The study aims to develop an in-situ measurement solution that captures all the process variables that influence the acoustic behavior and, ultimately, the cleaning performance.
13216-85
Author(s): Jae-Hyuck Choi, Hagyong Kihm, Korea Research Institute of Standards and Science (Korea, Republic of)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Designing or manufacturing an optical system in DUV have been established using refractive optical materials such as fused silica or calcium fluoride. To achieve a higher resolution in optical systems, a larger diameter of optical window is required with very high class of homogeneity. The homogeneity of optical materials is conventionally measured by a Fizeau interferometer. Most optical testing used by Fizeau interferometer is operated in the visible wavelength range. On the other hand, the optical materials of calcium fluoride exhibits a larger dispersion slope in DUV region. Therefore, testing the homogeneity of a CaF2 optical window operating at DUV wavelength using the visible light is not reliable. Thus, we report a comparison of homogeneity measurement of CaF2 at the DUV wavelength using a Fizeau interferometer which is developed for evaluating optical components using a 193 nm DUV laser as a light source.
13216-86
Author(s): Md Iftekharul Islam, Amrid Amnache, Univ. de Sherbrooke (Canada); Richard Beaudry, Maurice Delafosse, Digitho Technologies Inc. (Canada); Serge Ecoffey, Luc G. Fréchette, Univ. de Sherbrooke (Canada)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
This research introduces arrays of actionable microelectromechanical systems Fabry-Perot pixels designed for adaptive photolithography. To the authors' knowledge, this is the first demonstration of Fabry-Perot interferometry in the field of optical masks. Each individual pixel functions to either permit or block light transmission by utilizing constructive or destructive interferences facilitated by the Fabry-Perot effect. Through electrostatic actuation, the optical path is modified, primarily by reducing the air gap of the Fabry-Perot pixel. This adjustment yields a transmission contrast exceeding 35%, with the maximum and minimum transmission levels observed at 100% and 63%, respectively. The change in transmission correlates with a decrease in the air gap by 150 nm. These findings illustrate the fundamental principle behind a programmable Fabry-Perot photomask, showcasing its ability to modulate the transmission of ultraviolet light essential in photolithography processes.
13216-87
Author(s): Konrad F. Rössler, Steffen Diez, Matthias Wahl, Tony Chen, Heidelberg Instruments Mikrotechnik GmbH (Germany)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Halftone photomasks are a method to reduce the number of photomasks required in FPD manufacturing. They require exposure of two layers with good overlay. We present results obtained with new Heidelberg Instruments VPG+ 1400FPD lithography system which provides with an exposure speed of up to 2900 mm²/min high productivity. Its alignment system offers linear and non-linear position error compensation including the option of mix-and-match with other exposure tools. Exposure results are shown and discussed, especially overlay performance and CDU on G6 halftone photomasks.
13216-88
Author(s): Venkata Rama Samir Bhamidipati, Aravindh Rajiv, Mark Pereira, Sankaranarayanan Paninjath, Neha Razdan, Siemens EDA (India) Pvt. Ltd. (India); Jinhyuk Choi, Jonghoon Lim, Sujeong Won, Dongwook Lee, SK hynix Inc. (Korea, Republic of); Woojin Kim, Jongha Park, Siemens EDA (Korea, Republic of)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Mass-volume production using EUV lithography is getting ready subject to lower defect count, because of the considerably high cost of EUV masks. Defects on a patterned mask require EUV wavelengths for accurate assessment of their CD impact. However, DUV optics are also employed by mask shops and fabs as a cost-effective option, with known limitations, to catch the more obvious defects on EUV patterned masks, as a pre-filtering option. In relation to defect handling in EUV masks without pellicle in memory industry, the most difficult part of EUV mask management is in controlling adder. Detecting smaller adder size is required as mask pattern size is getting smaller in higher technology nodes, which accompanies increased sensitivity of patterned mask inspection and unavoidable increase in noise signal that makes it hard to proper classify mask defects. This paper talks about the details of how DUV inspection optics are utilized to achieve pre-filtering of EUV mask defects. Together with well-tested automatic defect classification algorithms of Calibre® ADC, forms a reliable solution to manage regular classification of mask defects as real or false, under highly sensitive conditions.
13216-89
Author(s): Sierra Shapiro, GlobalFoundries (United States); Sia Kim Tan, GlobalFoundries Singapore Pte. Ltd. (Singapore); Christopher Magg, Joerg Paufler, Nathan Neal, GlobalFoundries (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
The application of KrF 248 nm attenuated phase shift mask (PSM) as a binary mask under ArF 193 nm exposure has the potential to replace conventional binary masks for mature node technologies for less critical layers. KrF PSM is unsusceptible to critical dimension (CD) defectivity issues on wafer, as seen on chrome on glass (COG) masks, nor the high expense to build, as with opaque MoSi on glass (OMOG). We will show wafer data to compare OMOG and KrF PSM performance under ArF processing, as a means of evaluating the prospect of using KrF PSM as a solution to avoid CD degradation and cost impacts for high volume manufacturing.
13216-90
Author(s): Paul Lou, Samantha Corber Lou, Exigent Solutions, Inc. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Aerial image simulation is a crucial, but computationally expensive, tool to understand the projection of a design onto the substrate during lithography, with accurate simulations taking days or weeks to compute. Approximate models that sacrifice fidelity for speed can be employed in time-sensitive applications but introduce additional risks and limitations. Thus, rigorous simulation is generally preferred for high-risk applications, such as defect printability analysis, interjecting unforeseen delays and challenges in a production. We propose an accelerated method of performing aerial simulation with the accuracy of rigorous simulation at the speeds of approximated models using a deep learning (DL) system called the Lithography Foundation Model (LFM). We have incorporated LFM into multi-modal systems (MMS) for applications beyond aerial simulation, including real-time process correction and design optimization. The capabilities of MMS to ingest and understand arbitrary forms of data allows computer systems to closely emulate the minds of a human operator.
13216-91
Author(s): Shih-Hsiang Chou, Yu-Wei Chen, National Yang Ming Chiao Tung Univ. (Taiwan); Hao Tung Chung, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Yen-Cheng Chiu, National Yang Ming Chiao Tung Univ. (Taiwan); Kuo Lun Tai, Chien-Min Lee, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Wen-Wei Wu, Yen-Lin Huang, National Yang Ming Chiao Tung Univ. (Taiwan)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
To address EUV-induced hydrogen plasma degradation in semiconductor pellicles, we propose a metrology that accelerates failure analysis via hydrogen plasma exposure. This method evaluates the resilience of different pellicle potential protection materials, showcasing oxidation effects by XPS, morphological changes by TEM, and EUVT changes after H2 plasma torture, thus streamlining testing and enhancing EUV lithography throughput.
13216-92
Author(s): Min-woo Kim, Da-Kyung Yu, Yu-Jin Chae, Hee-Chang Ko, Ji-Won Kang, Hanyang Univ. (Korea, Republic of); Michael Yeung, Fastlitho Inc. (United States); Seung-Woo Son, Hye-Keun Oh, Hanyang Univ. (Korea, Republic of)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
As the feature size is decreased and the chip integration density increases, more complex OPC is required, leading to increased attempts to reduce computational costs. We tried to optimize a source that could minimize optical proximity errors and improve CD uniformity across different pitches and pattern shapes. Through this optimization, we were able to make the same CDs for various pitches at 0.33 NA and 0.55 NA.
13216-93
Author(s): YuJin Chae, Min-Woo Kim, Da-Kyung Yu, Hee-Chang Ko, Ji-Won Kang, Ji-Hyeon Jeon, Hanyang Univ. (Korea, Republic of); Michael Yeung, Fastlitho Inc. (United States); Seung-woo Son, Hye-Keun Oh, Hanyang Univ. (Korea, Republic of)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
This paper explores the impact of polarization on high NA imaging in EUV lithography for various pitches of line and spaces. The NILS and DOF were simulated under various polarized illumination, and the impact of polarized illumination is analyzed. The result showed that the application of polarization could help to achieve smaller critical dimension in high NA EUV system. As is well known, the polarized source illumination could expand PW, enhance lithographic resolution and improve the pattern fidelity of overall patterns.
13216-94
Author(s): Kyu Chang Park, Kyung Hee Univ. (Korea, Republic of)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
We developed novel EUV lighting sources with electron beam irradiation technique. Novel carbon nanotube based cold cathode electron beam(C-beam) developed for EUV lighting. The performance of EUV lighting power and quality depend and C-beam performance of incident angle, anode bias, anode current and beam diameters, Also, the EUV performance depend on the filters and multi-layer mirror (MLM) applications. Detail of EUV performance with C-beam irradiation would be reported.
13216-95
Author(s): Chris Lee, Energetiq Technology, Inc. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Energetiq Technology's EQ-10 Electrodeless Z-Pinch™ EUV light source uses xenon plasma to produce 13.5 nm (±1% BW) radiation with an EUV power of ~20W / 2pi / sr. The source has been widely used for mask and optics inspection as well as resist development in both semiconductor production and research applications. In this talk, we will present the next-generation EUV source called “EQS-10,” which enables an EUV power of >40W / 2pi / sr. Primary challenges during the development of this source included maximizing the EUV output while meeting goals on coupling efficiency, Xe consumption, and lifetime. New technologies, such as Xe direct-fueling, solid-state FET switching, and pre-ionization, have been developed to solve these challenges. We utilized both experimental developments as well as plasma, gas, and thermal simulations to provide production-level performance and reliability. We will present the source’s design concepts, current performance, and future plans.
13216-96
Author(s): Emmanouil Vogiatzis, Eric Bawden, Jinju Beineke, Michael Goldberg, Photronics, Inc. (United States); Sandro Pampel, Photronics MZD GmbH (Germany); David A. Kazlauskas, Roger Kutzy, Jay Patel, Chris Sullivan, Photronics, Inc. (United States); Hannes Kullig, Andreas Wittig, Rene Born, Ralf Schrader, Photronics MZD GmbH (Germany); Sebastian Brosig, Photronics (UK) Ltd. (United Kingdom); Daniel Paulick, Photronics MZD GmbH (Germany); Peter Craig, Photronics, Inc. (United States); Huw Fryer, Christopher Cooke, Gareth Davies, Lewis Kreft, Photronics (UK) Ltd. (United Kingdom); Tony Schievelbein, Martin Carrier, Andre Garman, Dave Lucas, Photronics, Inc. (United States); Martine Barnes, Photronics (UK) Ltd. (United Kingdom); Debbie Wierzbicki, Chris Progler, Photronics, Inc. (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
The traditional Statistical Process Control (SPC) Critical Dimension control ("CD") in photomask manufacturing involves collecting data, creating control charts, and analyzing the data to monitor and control the quality of a manufacturing process. The closed-loop SPC system provides timely feedback to the enterprise, enabling necessary actions to maintain a stable manufacturing process. It continuously monitors key process quality parameters and provides instructions to production, playing a crucial role in product control and management. The closed-loop SPC system represents a significant advancement over traditional SPC methods, leveraging modern information technology to enhance manufacturing process control and product quality management. Practical applications can lead to improved efficiency, reduced waste, and better overall product quality. Critical Dimensions in photomask manufacturing refer to precise measurements of features on the mask, crucial for the performance and functionality of semiconductor devices. The Critical Dimension Advanced Statistical Process Control (CD-ASPC) closed-loop System maintains tight control over Critical Dimensions during photomask fabrication
13216-97
Author(s): Sebastian Vollmar, Michael Brendel, Alessandro Franceschi, Carl Zeiss SMT GmbH (Germany)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
The demand for photomasks in the 45 nm and 65 nm technology nodes is projected to grow steadily in coming years, driven by technological advancements in sectors such as the Internet of Things and autonomous driving. Control over mask production costs especially for the above-mentioned mature nodes plays a crucial role for this mature market segment, creating a high demand for effective and future-proof mask making solutions. In this paper, we present the concept and first data of a new photomask repair platform targeting the mature market segment, e.g., for the technology nodes down to 45 nm: the MeRiT® MG neo. This repair tool has a newly developed platform that incorporates knowledge and industry experience from more than 10 years of high-end node repair technology, i.e. from our MeRiT platform. We show that its modular design enables compact tool footprint, high productivity, and excellent serviceability. We present experimental data demonstrating excellent repair performance and reproducibility for opaque and clear defects on PSM, COG and OMOG photomasks. In addition, the modular SW architecture allows a monitoring of process relevant machine data parameter and leads to a high level of automation. To sum up, the MeRiT MG neo is a future-proof and highly cost-of-technology-optimized solution for the mature market.
13216-98
Author(s): Michael J. Campion, Carlos A. Durán, John E. Maxon, Ali Mohammadkhah, Corning Incorporated (United States)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Corning has long produced, ULE® (Ultra Low Expansion) glass, which has become the Low Thermal Expansion Material (LTEM) of choice for EUV reticle substrates. Despite continuous improvements, the direct-to-glass process faces challenges in reducing overall thermal expansion uniformity as well as producing striations. In this paper, we present a step change in EUV reticle substrate performance. This new process utilizes an innovative glass forming technique resulting in glass with superior uniformity that avoids the formation of striae, while maintaining the same high purity of current ULE® glass, without any modifications to its physical or chemical properties.
13216-99
Author(s): Emile van Veldhoven, Canatu Oy (Finland); Jochen Mielke, Horiba Europe GmbH (Germany)
30 September 2024 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos III/IV
Show Abstract + Hide Abstract
Masks and pellicles are developed further and adapted to meet demands and withstand conditions in EUV lithography. Pellicles are being optimized to withstand exposure to short wavelength illumination. Design, material and manufacturing of pellicles need to be adjusted to achieve optimal expose quality and yield while maintaining a robust “reticle condition” to avoid pellicle failure and to predict possible deterioration of pellicle health. A failure of pellicle during exposure needs to be avoided. Pellicles may need to be replaced before damage occurs based on reliable indicators for damage. In lithography process the pellicle material is under threat to become thinner over wider area. A local rupture of tubes may lead to failure later but be detected in early stage by local variation of thickness. The transparency is key for efficiency of lithography and may change over multiple exposures to short wave light. Scanning the local thickness of pellicles and transparency over entire area of pellicle may give us a good indicator for pellicle health and to reliably prevent failure. Our investigation focusses on local measurement of both thickness and transparency by scanning or imaging to determine uniformity and detect early changes and weakness. Good methods are ellipsometry as first tests indicate and reflectometry for short cycle times and to enable inline examination with high throughput. A CNT pellicle is a network, as the following images show as an example. The optical properties appear to be direction-dependent in the network plane and thus anisotropic, as seen in various studies in the literature. As an additional difficulty, the optical properties also appear to be connected and vary perpendicular to the network plane with different thicknesses of the network and thus density of the network. SEM Images below show two CNT pellicles with different thickness and network density. The anisotropic behaviour of the network can be guessed from distribution of CNT fibres and different density of the two pellicles indicates different optical properties.
Session 4: Inspection, Repair, and Cleaning
1 October 2024 • 8:00 AM - 10:05 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Ray Shi, KLA Corp. (United States), Uwe F.W. Behringer, UBC Microelectronics (Germany)
13216-1
Author(s): Toshiyuki Todoroki, Takashi Hanamoto, Takashi Kamochi, Ko Gondaira, Lasertec Corp. (Japan); Arosha Goonesekera, Lasertec U.S.A., Inc. (United States); Hiroki Miyai, Lasertec Corp. (Japan)
1 October 2024 • 8:00 AM - 8:20 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Lasertec has developed a new model, the ACTIS A300 series actinic patterned mask inspection system, to meet the requirements of mask inspection for High-NA EUV lithography. The A300 achieves 30% improvement in image contrast on 30nm half-pitch line and space and 64nm contact holes. This contributes to improved defect sensitivity. This paper discusses the impact of pattern edge roughness on inspection in high-NA EUV lithography and how die-to-database inspection using a machine learning-based reference image generation model minimizes the edge roughness effects and further improves the sensitivity of the A300.
13216-21
Author(s): Rick Li, Yifei Yu, Xavier Chen, Kevin Wang, KLA China (China); Eric Liu, Pride Xie, Bowen Xu, Baron Su, Ricky Wang, Phil Cha, Robert Tsai, Vic Zhang, Quanyi Mask Optoelectronics Technology (Jinan) Co., Ltd. (China)
1 October 2024 • 8:20 AM - 8:35 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Blank mask quality control is vital for achieving high yield in reticle production. Various defects on blanks can easily transfer to the final patterned mask, leading to unnecessary rework and yield loss. Insufficient attention to blank mask quality control results in significant yield reduction. Adoption of blank inspection tool not only can prevent blank defects, but also monitors the process to ensure high yield in optical maskshops. Quanyi Mask and KLA have jointly evaluated and adopted the darkfield blank inspection tool, FlashScan, for optical mask manufacturing. In this paper, we will introduce how we integrate FlashScan® into our mask production process and highlight its applications for yield improvement. Our study illustrates that the adoption of FlashScan significantly enhances yields in the optical mask manufacturing.
13216-22
Author(s): Cheng Kuang Chen, Shin An Ku, T.H. Hsu, Chin-Kun Wang, C.L. Lu, C.L. Wu, C.W. Wen, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Cyrus Chen, Yenlin Chen, Jim Wang, Joanne Tsai, Ling-Chuan Tsao, Yu-Chia Chiang, Ya-Chien Chang, Yi-Hui Zhuo, Yu-Chieh Huang, Ying-Hui Chen, Dongsheng Fan, Amo Chen, Dongxue Chen, Mingwei Li, KLA Corp. (United States)
1 October 2024 • 8:35 AM - 8:50 AM PDT | Monterey Conf. Ctr., Steinbeck 2
13216-23
Author(s): Tod E. Robinson, BRUKER RMR (United States)
1 October 2024 • 8:50 AM - 9:05 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As extreme ultraviolet lithographic (EUVL) photomask technology continues to advance to meet the requirements of future technology nodes, significant changes need to be made to the mask that can significantly affect defect repair processes. These changes such as different absorber stack materials and thickness (for example, low-n absorbers), anamorphic projection, larger mask substrates, and non-repeating curvilinear patterns. In this work, rigorous simulation studies are performed and analyzed to determine possible solutions for hard defect repair and particle cleaning of the most advanced next-generation proposed mask technologies and the challenges they present. It is believed this will allow for backwards-optimization of repair processes and strategies starting from aerial image printability requirements.
13216-19
Author(s): Cheng Kuang Chen, Pei En Weng, Chien-Wei Chiang, P.S. Chen, C.W. Wen, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Gerson Mette, Christian Felix Hermanns, Thorsten Hofmann, Klaus Edinger, Carl Zeiss SMT GmbH (Germany); Y.F. Li, Carl Zeiss Co., Ltd. (Taiwan)
1 October 2024 • 9:05 AM - 9:20 AM PDT | Monterey Conf. Ctr., Steinbeck 2
13216-20
Author(s): Joseph M. Rodriguez, Scott Chegwidden, Andrew Elliott, Lingxuan Peng, Dinumol Devasia, Nathan Wilcox, Safak Sayan, Intel Corp. (United States); Andrew Ridley, Chanya Nguyen, Felix Hermanns, Klaus Edinger, Franz-Josef Eberle, Michael Budach, Horst Schneider, Carl Zeiss SMT GmbH (Germany)
1 October 2024 • 9:20 AM - 9:35 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The introduction of EUV photomasks has posed significant challenges in defect repair over the years. Defects within the unique multi-layer stack used for the reflective optics can easily lead to printing defects on the wafer. Although absorber compensation repair has been successfully demonstrated in high-volume manufacturing (HVM), it has been accompanied by several obstacles. Next-generation EUV lithography for high numerical aperture (NA) EUV photomasks is proving more difficult due to stringent requirements. The advanced scanner optics demand more precise edge control for photomask repairs, necessitating the incorporation of resist effects in the defect review process to better emulate wafer impact. This discussion will cover E-beam-based repair for absorber defects, compensation repair for multilayer blank defects, and the use of resist models to improve defect wafer print impact prediction.
13216-24
Author(s): Satoshi Nakamura, Kensuke Demura, Masashi Yamage, Shibaura Mechatronics Corp. (Japan); Kei Hattori, Nagoya Univ. (Japan)
1 October 2024 • 9:35 AM - 9:50 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As semiconductor devices continue to shrink in size, the size of minimum particle required to be removed from EUV masks have become smaller and smaller. In the Freeze Cleaning method[1],[2] developed by Shibaura is innovative cleaning technology that can remove minute particles without impacting the pattern. Moreover, we optimized the cooling method for a quartz plate with a low thermal conductivity in order to obtain high uniformity of temperature distribution over a plate, which can drastically enhance removal rate of particles per a freeze step. Through these our unique measures, we can reduce cleaning time significantly. [1] Kei Hattori. et al., JM3, 044401-1(2020). [2] M. Kamiya. et al., Proc. SPIE 12325, (2022).
13216-25
Author(s): Davide Dattilo, Gustavo Castillo, Torsten Jung, SUSS MicroTec Solutions GmbH & Co. KG (Germany); Uwe Dietze, SUSS MicroTec Inc. (United States)
1 October 2024 • 9:50 AM - 10:05 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In recent years, photomask resist strip and cleaning technology development was substantially driven by the industry's need to prevent surface haze formation through the elimination of sulfuric acid from these processes. In-situ UV technology (ISUV) has been proven to be a valid alternative to strong acid mixtures. The UV light source used so far is a high-pressure Mercury lamp. Along with clear benefits in utilizing the ISUV technology, drawbacks deriving from the use of high-pressure mercury lamps are mitigated, or even eliminated when switching to UV LED’s.
Break
Coffee Break 10:05 AM - 10:35 AM
Session 5: Mask Metrology
1 October 2024 • 10:35 AM - 12:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Thomas Franz Karl Scheruebl, Carl Zeiss SMS Ltd. (Germany), Byung Gook Kim, E-SOL, Inc. (Korea, Republic of)
13216-26
Author(s): Sven Krannich, Renzo Capelli, Swen Ballof, Marc Schneider, Stefan-Markus Mueller, Sascha Perlitz, Carl Zeiss SMT GmbH (Germany)
1 October 2024 • 10:35 AM - 10:55 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The AIMS® EUV system represents a unique piece of the EUV mask infrastructure for the qualification of the mask printing performance in the aerial image. The AIMS® EUV is tailored to comprehensively emulate all imaging relevant scanner properties, e.g., mask side NA, through slit chief-ray characteristics, aberration level, illumination schemes. With the latest upgrades the system capabilities were extended, and new applications were introduced. To support EUV mask metrology applications for continuously scaling industry requirements, ZEISS developed a next generation EUV mask review tool, the AIMS® EUV 3.0. The new platform offers the industry a more cost-efficient solution with an improved productivity. The system is based on the best-in-class optical performance proven for the current generation and will support 0.33NA isomorphic and 0.55NA anamorphic imaging. Digital Flex Illu will provide the full flexibility of free form illumination available on EUV Scanners and bring SMO functionality (source mask optimization) into the mask shop. In this paper we will review the tool concept and present the first performance data.
13216-27
Author(s): Stuart Sherwin, Matt Hettermann, Dave Houser, Luke Long, Patrick Naulleau, EUV Technology (United States)
1 October 2024 • 10:55 AM - 11:15 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Critical dimensions (CDs) on EUV photomasks are rapidly shrinking to print higher resolution features, enhance contrast with sub-resolution assist features, and control the stitching black border with sub-resolution gratings. Reducing mask CDs to 10s of nm necessitates single-nm CD process control and CD metrology with single-angstrom accuracy or better. Furthermore, the ideal probe for an EUV photomask is EUV radiation, due to its short wavelength and sensitivity to any and all optical effects that could potentially impact the EUV aerial image. In this work we present actinic CD metrology using EUV scatterometry performed on the EUV Tech ENK tool, capable of delivering sub-nm or even sub-angstrom CD accuracy. Furthermore, we explore extending the technique to measure more complicated dimensions such as the sidewall angle (SWA) of the absorber. We present experimental demonstrations on the ENK tool of CD and SWA metrology on EUV photomasks.
13216-28
Author(s): Mitchell First, Elba Gomar-Nadal, Farhood Rasouli, Jeff Hsiao, Malahat Tavassoli, Intel Corp. (United States); Chunzeng Li, Jian Liang, Ingo Schmitz, Bruker (United States)
1 October 2024 • 11:15 AM - 11:30 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As HVM of photomasks of various types and processes expands, defects and their origin are of particular concern. Organic materials are present in many aspects of a photomask's lifecycle, from carriers and tool hardware to resists and chemical residues. With the emergence of AFM-NanoIR failure analysis techniques, distinguishing organic defects and thin films with high resolution is limited only by the size of the AFM probe. Using Bruker's Icon-IR lab AFM, we collect IR spectra of many common materials found in our process to build a database, allowing future defects to be matched to our known materials and general external IR databases. To expand our capabilities, an in-line automated AFM with spectra acquisition capability is required, with cleanliness and recipe reliability required for factory use. To support this automated toolset, an automated reporting function has been developed to quickly provide customers with a complete picture of a defect, from AFM scan to IR spectra, complete with IR imaging at wavelengths of interest. This paper seeks to decode the nature of AFM Nano-IR and its place as an essential in-line defect analysis technique.
13216-29
Author(s): Seth L. Cousin, EUV Technology (United States)
1 October 2024 • 11:30 AM - 11:45 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The performance and characteristics of our broadband EUV source derived from copper-based laser produced plasma, currently in use in our EUV reflectometer and EUV Scatterometry tool are presented in this submission
13216-30
Author(s): Véronique de Rooij, Chien-Ching Wu, Rob P. Ebeling, Komal Pandey, Maarten van Es, Shriparna Mukherjee, TNO (Netherlands); Rik Jonckheere, imec (Belgium)
1 October 2024 • 11:45 AM - 12:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Given the impracticality of using full-size masks for many analytical instruments due to their size and cost constraints, a critical first step towards advancing novel metrology for mask degradation was to develop and validate a dicing procedure suitable for (EUV-)exposed samples. Small markers were engraved prior to the dicing to facilitate precise navigation to locations of interest, and allow correlation of metrology results with both each other and the EUV dose. Our investigation revealed no discernible changes induced by the dicing process, as confirmed by XPS, SEM, and AFM analyses. Once the masks were diced, novel methods for mask degradation were tested on thermally degraded mask samples: IR-AFM for detection of (near-)surface morphological and chemical changes. XPS-depth profiling with Al Kα (conventional) and Ag Lα (hard) X-ray sources was used to study the sub-surface and the multilayer below the absorber. The project is supported by Chips Joint Undertaking and its members, including the top-up funding of Belgium, the Netherlands and Romania
Break
Lunch and Exhibition Break 12:00 PM - 1:20 PM
Session 6: Defect, Printability, Handling, and Pellicle
1 October 2024 • 1:20 PM - 3:05 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Vicky Philipsen, imec (Belgium), Ted Liang, Intel Corp. (United States)
13216-31
Author(s): Balakumar Baskaran, Mohamed Saib, Bojja Aditya Reddy, Matteo Beggiato, Mihir Gupta, Christophe Beral, Anne-Laure Charley, Gian Lorusso, Joost Bekaert, Philippe Leray, imec (Belgium)
1 October 2024 • 1:20 PM - 1:35 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In our pursuit of ever-shrinking critical dimensions, it is crucial for High Volume Manufacturing (HVM) to have a comprehensive understanding of defectivity at different levels of patterning. Understanding the transferability of defects at the mask writing level and the printability of these defects on the wafers systematically could provide feedback on process development and improve the yield. Our work demonstrates a methodology for programmed defect analysis using mask and wafer SEM images based on defect extraction, highlighting trends observed across various litho and etch processes relevant to the state-of-the-art technology node.
13216-32
Author(s): Oluseyi A. Oyedeji, Cranfield Univ. (United Kingdom); Ibiyinka T. Ayorinde, Univ. of Ibadan (Nigeria)
1 October 2024 • 1:35 PM - 1:50 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The Semiconductor manufacturing industry has undergone a lot of growth in recent years, especially with the introduction of EUV lithography. However, manual inspection is no longer effective in detecting defects in Photomasks. There is a need for an effective, accurate and automated inspection tool for inspection. This paper presents a novel approach to defect detection in Photomasks by using a Convolutional neural network(CNN) based Patch Distribution Algorithm. The algorithm uses a Pre-trained CNN to learn normal distribution on non-defective Photomask image samples and then test for anomalies in defective Photomask samples. This method is highly accurate in detecting and understanding the severity of the defects on Photomask samples. The results show significant improvements over current state-of-the-art technologies due to the ability to classify Photomask defects beyond the binary or multiclass approach. Finally, the CNN-based patch distribution algorithm used in this work is a huge contribution to the Semiconductor manufacturing industry because it ensures effective quality control, reduces defect levels, and improves productivity.
13216-72
Author(s): Nicole Wu, Nanya Technology Corp. (Taiwan); Thomas Muelders, Jirka Schatz, Martin Bohn, Mariya Braylovska, Synopsys GmbH (Germany); Chun-Cheng Liao, Nanya Technology Corp. (Taiwan); John Tsai, Elsley Tan, Synopsys Taiwan Co., Ltd. (Taiwan); Evgenii Sukhov, Synopsys GmbH (Germany)
1 October 2024 • 1:50 PM - 2:05 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In this paper, we demonstrate the efficient use of contours extracted from mask SEM images to characterize features with respect to placement, pattern fidelity, and uniformity. Absorber defects, can be automatically detected, and categorized. To assess the probability of mask defect printing, we show how an extracted mask image contour can be used as input for a rigorous lithography 3D resist process simulation to quickly estimate the severity and potential printing behavior in resist of a defect through dose and focus. Simulation results are being validated by wafer data. The results of this work could provide guidelines for the mask making process and mask inspection.
13216-33
Author(s): Asheesh Nautiyal, Gudeng Precision Industrial Co., Ltd. (Taiwan)
1 October 2024 • 2:05 PM - 2:20 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The reticle is not firmly fastened inside the EUV pod. So, during transport and handling, the EUV pod and subjected to vibrations. This can damage thin film coatings and pollute the EUV mask. In this situation, a SEBS (smart EUV blank sensor) is very useful. A SEBS comes with a group of specialized sensors such as vibration, level, and temperature to improve the vibration during transport and handling of the EUV mask blank.
13216-34
Author(s): Dongmei Wu, KLA China (China); Olivier Fagart, Laurent Lecarpentier, STMicroelectronics S.A. (France); Suresh Lakkapragada, KLA (United States); Changqing Hu, KLA Corp. (United States); Yuehui Wang, Li Xie, Derui Li, Jing Jiao, Zeyu Lei, KLA China (China); Vikram Tolani, KLA Corp. (United States)
1 October 2024 • 2:20 PM - 2:35 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In advanced manufacturing fabs, accurate defect disposition is crucial. A single defect on a mask can potentially impact thousands of wafers, underscoring the criticality of accurate defect disposition. To address this challenge, STMicroelectronics has adopted an intelligent reticle disposition system known as Reticle AnalyzerTM (RA). RA integrates three essential components: Automated Defect Classification (ADC), Lithography Printability Review (LPR) and Defect Progressing Monitor (DPM). In this paper, we present the workflow of ADC, LPR, and DPM products. We discuss the qualification process during production and highlight typical production cases that showcase the advantages of RA adoption. RA’s deployment has proven invaluable in mitigating yield loss, demonstrating its indispensable role in STMicroelectronics fabs.
13216-35
Author(s): Pei-Chen Wu, Yen-Liang Chen, Chien-Min Lee, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Wen-Wei Wu, Yen-Lin Huang, National Yang Ming Chiao Tung Univ. (Taiwan)
1 October 2024 • 2:35 PM - 2:50 PM PDT | Monterey Conf. Ctr., Steinbeck 2
13216-36
Author(s): Márcio D. Lima, Lintec of America, Inc. (United States)
1 October 2024 • 2:50 PM - 3:05 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Pellicles made from free-standing carbon nanotube (CNT) films less than 20 nm thick were characterized for EUV transmission, scattering, reflectivity, mechanical properties, and their ability to withstand EUV radiation under environmental conditions similar to those of an EUV scanner, with power levels ranging from 150 W to 600 W. Various types of coated CNT films were also tested to enhance the pellicles' lifetime. EUV transmission ranged from 98% for uncoated samples to 95% for coated samples. Scattering up to 4.7 degrees was less than 0.1% for uncoated films and 0.2% for coated films. Off-line scanner tests simulating hydrogen plasma conditions demonstrated that the lifetime of CNT pellicles was more than tripled with the use of protective layers. Additionally, new strategies for improving the lifetime of CNT pellicles were tested. New protocols for measuring the mechanical deflection of porous pellicles during pumping down and venting operations were also evaluated.
Break
Coffee Break 3:05 PM - 3:35 PM
Session 7: Mask Writers and E-beam Resist
1 October 2024 • 3:35 PM - 4:50 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan), Jed H. Rankin, IBM Corp. (United States)
13216-37
Author(s): Elmar Platzgummer, Christoph Spengler, Christof Zillner, Mathias Tomandl, Christof Klein, Hans Loeschner, IMS Nanofabrication GmbH (Austria)
1 October 2024 • 3:35 PM - 3:55 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As Extreme Ultraviolet (EUV) lithography advances with the introduction of high numerical aperture (high-NA) systems, the demands on mask writing technology grow significantly. The latest advancement of Multi-Beam Mask Writers (MBMW) has emerged as a critical solution to meet these demands. The most advanced mask writer, the MBMW-301 enhances resolution and overlay precision, vital for high-NA EUV processes. This presentation will explore the adaptation of multi-beam mask writers to accommodate the intricacies of high-NA EUV lithography, focusing on advancements in beam control, pattern fidelity, and throughput efficiency. We will also discuss how these innovations enable the production of more complex mask designs, crucial for next-generation semiconductor devices. The integration of these systems demonstrates substantial improvements in mask quality and manufacturing adaptability, essential for sustaining the pace of semiconductor scaling and complexity in the high-NA EUV era.
13216-38
Author(s): Jumpei Yasuda, Tomoo Motosugi, Hayato Kimura, Kenichi Yasui, Hiroshi Matsumoto, Michihiro Kawaguchi, Yoshinori Kojima, Masato Saito, NuFlare Technology, Inc. (Japan)
1 October 2024 • 3:55 PM - 4:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The multi-beam mask writer MBM-3000 has been launched since 2023 for next generation EUV mask production. It is equipped with 12-nm beamlets and a powerful cathode that brings out a current density of 3.6 A/cm2, in order to achieve better resolution and writing speed than our current writer MBM-2000PLUS. New optics with a next-generation blanking aperture array (BAA) is installed to have a 2X beam count. A data generation system has a 2X speed so that it can handle layouts of next-generation EUV masks without a data processing overhead. In this paper, we will introduce improved writing performances of the MBM-3000, and also discuss our future plans towards the angstrom era.
13216-41
Author(s): Robert Eklund, Mycronic AB (Sweden)
1 October 2024 • 4:15 PM - 4:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Cost-efficiency is a key performance indicator for maskshop operation.. Laser mask writers are the preferred choice for all layers where they fulfill the requirements due to their lower cost. As a result, masks exposed by laser writers constitute for 70% of all masks used in semiconductor manufacturing. The industry relied for a long time on legacy equipment as applications matured, resulting in a challenge for stable and reliable mask manufacturing in mature design nodes. Mycronic addressed this gap by introducing the SLX series laser writer in 2019. As mask operations continue to strive for higher efficiency, Mycronic has increased the throughput of the SLX writers by utilizing “Meander writing”, which optimizes writing time by exposing on the return stroke of the writing sequence. This enables an increased throughput of the SLX of 20-30%. In this paper, Mycronic will share throughput and performance results from the SLX series by utilizing Meander writing.
13216-43
Author(s): Kei Yamamoto, Kotaro Takahashi, Kazuki Takeda, FUJIFILM Corp. (Japan)
1 October 2024 • 4:30 PM - 4:50 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Wednesday All-Symposium Plenary
2 October 2024 • 8:10 AM - 9:30 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Session Chairs: Geert Vandenberghe, imec (Belgium), Lawrence S. Melvin, Synopsys, Inc. (United States)
13215-503
Author(s): Srinivas Raghvendra, Synopsys, Inc. (United States)
2 October 2024 • 8:10 AM - 8:50 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
‘Systems of chips’ such as 3D ICs and HBMs are already being widely applied in industry sectors such as high-performance computing, mobile, and automotive. The design of such chips has required a ‘hyperconvergence’ of various design and multiphysics disciplines. To fabricate such chips efficiently, we are also beginning to see increased convergence of design, multiphysics, and manufacturing technologies. And AI technologies have proliferated widely in design and manufacturing solutions, helping accelerate the availability of AI platforms, setting up a virtuous cycle of AI helping AI. In this talk, I will survey the state of the industry on these fronts and share some suggestions to accelerate this convergence.
13216-504
Author(s): Jin Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
2 October 2024 • 8:50 AM - 9:30 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
For the innovation of DRAM and Logic semiconductors, EUV Lithography and Mask technology have played a crucial role. In this paper, we will specifically examine the evolution of Mask technology for the development of devices and discuss the development of the ecosystem.
Break
Coffee Break 9:30 AM - 10:00 AM
Session 8: Joint Session with Photomask and EUVL: Prospects of EUV Blanks
2 October 2024 • 10:00 AM - 11:45 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Session Chairs: Tetsuo Harada, Univ. of Hyogo (Japan), Ted Liang, Intel Corp. (United States)
13216-44
Author(s): Hitoshi Maeda, Yohei Ikebe, Masanori Nakagawa, Takuro Ono, Takahiro Onoue, HOYA Corp. (Japan)
2 October 2024 • 10:00 AM - 10:20 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
Low-n absorber is a possible candidate of alternative absorber with high NILS and low dose to size. However, in order to apply the low-n absorber to the high-volume manufacturing, various blank, mask, and lithographic performances should be fulfilled such as EUV reflectivity, availability for the etching/repairing, and durability under the scanner environment/cleaning. In this report, we will present our readiness for the low-n absorber blanks. Matching between the candidate low-n absorbers and cap materials will also be discussed
13215-28
Author(s): Hiroshi Hanekawa, Yoshiaki Ikuta, AGC Inc. (Japan); Jongsub Kim, Hyunman Jo, Sangjin Jo, Euisang Park, Sungha Woo, Chanha Park, SK hynix Inc. (Korea, Republic of)
2 October 2024 • 10:20 AM - 10:40 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
The EUV lithography has been used for the high volume manufacturing of not only Logic devices but also DRAM by using the conventional EUV masks and blanks with Ta absorber. This paper reports the new EUV masks and blanks that we developed for DRAM 1a and beyond. This new masks and blanks can have the higher EUV scanner throughput than the conventional EUV masks and blanks by employing the unique absorber material but can use the conventional EUV mask process. We will show the fundamental properties of the unique absorber material, mask pattern fidelity and EUV scanner throughput of the new EUV masks in comparison with the conventional EUV masks.
13215-29
Author(s): Daisuke Miyawaki, Daisuke Sakurai, Hideaki Nakano, Itaru Yoshida, Kazunori Seki, Yosuke Kojima, Toppan Photomask Co., Ltd. (Japan)
2 October 2024 • 10:40 AM - 10:55 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
New absorber materials such as low n materials and high k materials are currently being developed for next-generation EUV lithography. In this comprehensive study, we conducted detailed evaluations of the characteristics of various absorbers utilizing wafer printability simulations. Thorough analysis was conducted and revealed the inherent strengths and weaknesses of each material, thereby providing a balanced perspective on their performance. In addition to the evaluation based on simulations, the actual mask processability of several promising absorber candidates were assessed.
13216-45
Author(s): Antonio Checco, Katrina Rook, Kenji Yamamoto, Marjorie Chee, Meng H. Lee, Veeco Instruments Inc. (United States)
2 October 2024 • 10:55 AM - 11:15 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
Current EUV masks based on Mo/Si multilayers (MLs) are optimized for 0.33NA scanners but suffer from severe 3D effects in next-generation 0.55NA systems. Here, we introduce novel Mo/Ru/Si MLs specifically tailored towards mitigating 3D mask artefacts in high-NA imaging. Through numerical optimization of single layer thicknesses, we achieve MLs with ideal performance metrics (FWHM reflectivity ~ 0.85 nm, effective mirror plane depth ~ 31 nm) exceeding those of Ru/Si and Mo/Si counterparts. Furthermore, we leverage state-of-the-art Ion Beam Deposition (IBD) for fabricating test samples of the optimized Mo/Ru/Si design, and we characterize their spectral characteristics using EUV reflectometry. In conclusion, we discuss the impact of various deposition conditions – such as adatom energy and interlayer formation – on the experimental MLs performances.
13216-46
Author(s): Ling Ee Tan, Fergo Treska, Werner Gillijns, Jeroen Van de Kerkhove, Vicky Philipsen, Ryoung-Han Kim, imec (Belgium)
2 October 2024 • 11:15 AM - 11:30 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
Novel mask absorber materials have been explored to improve EUV imaging performance concerning film thickness, refraction index n and the extinction coefficient k. A few promising mask absorber candidates were fabricated and evaluated using imec patterning on random logic via design with minimum pitch of 36nm. This study compares the lithography performance of low-n EUV masks with different reflectivity to our Ta-based EUV mask reference. Mask design was with resolution enhancement techniques (RET) including source-mask optimization (SMO), optical proximity correction (OPC) and sub-resolution assist feature (SRAF) to achieve optimum imaging and mask performance. This work discusses the patterning benefits and limitations of different low-n EUV masks, along with the potential to extend the resolution of NA0.33 EUV scanner to accommodate random logic via designs down to 32nm pitch.
13215-30
Author(s): Eun Sung Kim, Sejin Park, Hyungkwan Park, Jaemyoung Lee, Sung Gon Jung, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
2 October 2024 • 11:30 AM - 11:45 AM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
Show Abstract + Hide Abstract
Phase Shift Mask(PSM) has been widely investigated in order to improve EUV patterning capability. In this work, rigorous simulation has been employed to identify the cause of the best focus shift shown in PSM and several strategies to find a solution to enable co-patterning of various pitch patterns are suggested. This paper also presents the effect of the sub-resolution assist feature as a method to mitigate the effect of 3D mask in PSM and how PSM helps to improve CD uniformity for various pitch contact array compared to BIN.
Break
Lunch and Exhibition Break 11:45 AM - 1:20 PM
Session 9: AR/VR, Mask for Photonics, and Nono-imprint
2 October 2024 • 1:20 PM - 3:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Lawrence S. Melvin, Synopsys, Inc. (United States), Bo Zhao, Meta (United States)
13216-102
Author(s): Kelsey Wooley, Eulitha US, Inc. (United States); Harun L. Solak, Zhixin Wang, Eulitha AG (Switzerland)
2 October 2024 • 1:20 PM - 1:40 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
This presentation explores the optical principles and practical circumstances that make Displacement Talbot Lithography (DTL) technique one of the leading technology choices for manufacturing high-resolution periodic patterns, which find growing use especially in various photonics applications. DTL, characterized by its non-contact optical patterning, offers advantages including large, seamless exposure fields, large depth of focus, high-resolution capability and uniform, reproducible printing performance. In addition to easily forming simple patterns such as line/space gratings, we have recently shown through an inverse lithography approach to mask design, enabled by machine learning techniques, that DTL has the capability for printing complex shapes like 2D arrays of crosses. Eulitha provides DTL-based tools for manufacturing, ranging from single exposure research models to newly introduced step-and-repeat type tools for large size wafers (+300mm). Fast prototyping and demonstration capabilities provided through a newly expanded application demonstration laboratory help industrial users quickly explore suitability and realize prototypes.
13216-47
Author(s): Thang D. Dao, Nikolai Andrianov, Munir Syed Azeem, Jasmin Spettel, Bernardo Realista-Ferreira, Tai Nguyen, Clement Fleury, Silicon Austria Labs. GmbH (Austria)
2 October 2024 • 1:40 PM - 2:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Metaoptics, an emerging field within optics, stands out by manipulating light at subwavelength scales and employing complex structures to control light propagation, interference, polarization, and phase. This technology not only enables the miniaturization of optical components but also introduces advanced functionalities crucial for modern applications including high-resolution imaging, AR/VR and smart-lighting systems. In this presentation, we discuss detailed insights into the design strategy, materials optimization, and fabrication process, which include techniques like lithography, mask design, and etching, all tailored to meet the unique demands of our applications. Our presentation aims to accelerate the development of next-generation miniaturized sensing technologies with unparalleled capabilities.
13216-48
Author(s): Andrew M. C. Dawes, Lawrence S. Melvin, Synopsys, Inc. (United States); Maryvonne Chalony, Synopsys, Inc. (France); Betsy Grubbs, Phil Stopford, Jian Rao, Synopsys, Inc. (United States); Rajeev Ranjan, Joachim Siebert, Synopsys GmbH (Germany); JiSoo Park, Synopsys Korea Inc. (Korea, Republic of); Ying Zhou, Synopsys, Inc. (United States)
2 October 2024 • 2:00 PM - 2:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Metalenses are flat lenses made from thin films that operate using phase effects to manipulate light. Metalenses are used in visible and infrared ranges for applications ranging from infrared facial recognition for cell phones to telescopic imaging of astronomic objects. Understanding metalens performance response to a process variation range accepted by manufacturers can lead to more robust manufacturing processes. This study will simulate metalenses that have been optimized to a specific manufacturing process. Process models will be used to vary process conditions such as etch time, photoresist thickness, or patterned material thickness. The resulting metalenses will be simulated using electromagnetic field solvers to generate lens metrics such as focal efficiency or power attenuation. The resulting simulation data can be used to improve metalens designs and manufacturing processes.
13216-49
Author(s): Christian Buergel, Martin Sczyrba, Benjamin Schwiegel, Haiko Rolff, Advanced Mask Technology Ctr. GmbH Co. KG (Germany)
2 October 2024 • 2:15 PM - 2:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In recent years, new technologies in applied optics and photonics have emerged, which go beyond the classic projection lithography, e.g. AR/VR/MR or meta-lenses. These applications have different requirements to the optical elements. 3 dimensional properties like blazed, slanted, staircase or pyramid profiles at various depths pose new challenges to the manufacturing flow. All these characteristics come along with high resolution demands below 100nm, which is required for patterns across all depths or heights. In this work, we will present the usage of mask technology to generate such complex patterns. In particular, the application of multiple eBeam exposures and Quartz etches can generate 3D topographies in glass which allows very precise alignment and high resolution of such pattern. It will be shown that inter-mixing gratings of different etch depths, meta-atoms with variable heights but also random dots for CHGs or similar can be generated. We will report on the general approach for the individual processes, concluding with some example capability results and an outlook for future developments.
13216-50
Author(s): Subhei Shaar, Maclean Harned, Bo Zhao, Kundan Chadhury, Raja Muthinti, Meta (United States); Ali Hallal, Martin Jacob, Julien Baderot, Sergio Martinez, Johann Foucher, POLLEN Metrology (France)
2 October 2024 • 2:30 PM - 2:45 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
AR/VR device technology is evolving fast thus metrology and measurements must be adapted at the same pace as the process development in closed loop analysis. To answer such constraint, we propose a pipeline based on deep learning able to measure gratings structures from cross section and top-down views. This pipeline was updated in parallel to the process development include additional measurements, new variations of the structures not covered by the algorithm and user feedback for improved metrology. Deep learning can account for such variability, where classic approaches are not able to handle complex 2D structures displaying many degrees of freedom such as size, material and design among others. We illustrate all these challenges with the performances of the pipeline alongside the development cycle requiring modifications of the pipeline. Large batches of data can be processed thanks to the robustness and the speed of the analysis.
13216-51
Author(s): Yushi Yamakawa, Toshihiro Ifuku, Masami Yonekawa, Kazuhiro Sato, Tomohiro Saito, Sentaro Aihara, Toshiki Ito, Kiyohito Yamamoto, Mitsuru Hiura, Keita Sakai, Yukio Takabayashi, Canon Inc. (Japan)
2 October 2024 • 2:45 PM - 3:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. This technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. The purpose of this paper is to review the performance improvements in NIL and describe how the advances can be applied to a variety of different devices and applications.
13216-52
Author(s): Takaharu Nagai, Hisayoshi Watanabe, Hideki Cho, Dai Nippon Printing Co., Ltd. (Japan)
2 October 2024 • 3:00 PM - 3:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Nanoimprint lithography, NIL, has been developed as next generation lithography because of the advantage of higher resolution and lower cost-of-ownership. The achievement of defect-free template is indispensable. Though we have demonstrated EB repairing technology for NIL templates in the past, yield and turnaround time of repairing remain significant challenges. Recently, we realized that the LELE multiple patterning process can be applicable for repairing. The advantage of this method is turnaround time remains constant regardless of the number of defects. In this presentation, we will report the performance of defect repairing by using multiple patterning technique including the repaired defect evaluation through our replica fabrication process.
Break
Coffee Break 3:15 PM - 4:00 PM
All-Symposium Panel
2 October 2024 • 4:00 PM - 5:45 PM PDT | Monterey Conf. Ctr., Steinbeck Ballroom
4:00 PM - 5:30 PM
Panel discussion: Early high-NA EUV learning and the implications for the future of EUV lithography

Moderator:
Harry Levinson, HJL Lithography (United States)

Panelists:
Mark Phillips, Intel Corp. (United States)
Chris Mack, Fractillia (United States)
Tom Cecil, Synopsys (United States)
Vicky Philipsen, imec (Belgium)
Jason Stowers, Inpria Corp. (United States)
Seongbo Shim, SAMSUNG Electronics Co., Ltd. (Republic of Korea)

High-NA EUV lithography brings the potential for continued lithographic scaling. These advances come with complications, including anamorphic patterning, stitching, and new mask materials. Early learning about many high-NA topics is arriving with the first tools under testing. How does the information learned in early high-NA development compare to predictions? What does early high-NA learning teach about developing hyper-NA lithography?

Awards 5:30-5:45 PM
Please join us for the presentation of the 2024 BACUS awards and scholarship.
Session 10: Curvilinear Mask Technologies and MDP
3 October 2024 • 8:00 AM - 10:05 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Aki Fujimura, D2S, Inc. (United States), Jin Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
13216-113
Author(s): Linyong Pang, D2S, Inc. (United States); Dakota Seal, Tom Boettiger, Micron Technology, Inc. (United States); Nagesh Shirali, Grace Dai, Aki Fujimura, D2S, Inc. (United States)
3 October 2024 • 8:00 AM - 8:20 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The photomask industry is experiencing a fundamental shift from Manhattan masks to curvilinear masks. Recent lithography and mask technology conferences have featured many papers and talks on curvilinear masks, curvilinear OPC, curvilinear ILT, curvilinear mask process correction (MPC), and curvilinear mask formats. Step by step, the photomask industry has started a transition from Manhattan to curvilinear, enabled by the adoption of the new multi-beam mask writers and the advent of practical full-chip curvilinear inverse lithography technology (ILT). The benefits of curvilinear masks go much deeper than is immediately obvious. In this paper we will share our insight on the motivations for the mask world to move toward curvilinear mask shapes. We will evaluate the benefits of curvilinear mask shapes in terms of process window, mask rules, mask error enhancement factor (MEEF), and mask variation. In addition, we will propose a new specification for curvilinear mask metrology, as an equivalent to critical dimension (CD), and we will also demonstrate that curvilinear masks have a tighter mask process variation (PV) band than Manhattan masks using this proposed specification.
13216-53
Author(s): Kokoro Kato, Satoshi Mitsuno, Kuninori Nishizawa, Nihon Synopsys G.K. (Japan); Ken Kuo, Synopsys Taiwan Co., Ltd. (Taiwan); Johnny Yeap, Synopsys, Inc. (United States); J.G. Jou, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan)
3 October 2024 • 8:20 AM - 8:35 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As we enter the curvilinear mask and multi-beam writer era, a new fracture engine is needed. In this presentation we introduce an innovative fracture engine called SmartFracture. The new engine can handle the Bezier curves natively, which enables high accuracy and smaller output file size. We will explore the characteristics of Bezier curve data representation and full chip results with the new engine.
13216-54
Author(s): Lianghong Yin, Marko Chew, Shumay Shang, Le Hong, Fan Jiang, Ingo Bork, Ilhami Torunoglu, Siemens EDA (United States)
3 October 2024 • 8:35 AM - 8:50 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In our 2022 paper in SPIE Advanced Lithography + Patterning, we have demonstrated the workflow to do machine learning based error classification on curvilinear designs in feature vector space [1]. The work was initiative. The test case was silicon photonics design, relatively simple compared with curvilinear designs for mask writers [2]. That methodology was also relatively simple, and the metric for the classified results was empirical. In this paper, we develop a sufficient methodology of machine learning based pattern classification that incorporates quantified scoring in metric space as a measure of the classified results. The classification behavior can be controlled by a provided score. This sufficient methodology can do pattern classification on freeform designs, including both Manhattan and curvilinear designs. This methodology can be applied to Optical Process Correction verification (OPC verification), Mask Process Correction verification (MPC verification), Mask Rule Checking (MRC), and beyond.
13216-55
Author(s): Yung-Yu Chen, Chien-Yun Yang, Wen-Li Cheng, Jing-Wei Shih, Synopsys Taiwan Co., Ltd. (Taiwan); John Valadez, Linghui Wu, Synopsys, Inc. (United States)
3 October 2024 • 8:50 AM - 9:05 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
This paper presents a system for performing mask error correction on both Manhattan (including 45-degree and rotated-Manhattan) and curvilinear shapes. On the Manhattan shapes, the correction may move segments (dissected edges), and on the curvilinear shapes, the correction may move vertices. The segment movement preserves the Manhattan style of the original shapes. Optionally, the vertex movement may be applied on the Manhattan shapes and the corrected results change to be in the curvilinear style. The results of mask error correction on the post-OPC mask of a large logic layout will be reported. Dissection and target-point placement work differently between Manhattan and curvilinear shapes. We will analyze the quality and demonstrate optimization of the mask error correction strategies for input mask data consisting of both Manhattan and curvilinear shapes.
13216-56
Author(s): Hemant Kumar Raut, Arijit Das, Mahmudul Hasan, Murat Pak, imec (Belgium)
3 October 2024 • 9:05 AM - 9:20 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
To achieve cell densification in advanced memory devices, an EUV lithography-based process is developed to print 34 nm pitch 4F2 pillar arrays with low defectivity, by screening 4 different mask geometries: square, hexagon, corner cut and circle.
13216-57
Author(s): Amogh Raj, Rachit Sharma, Ranganadh Peesapati, Sayalee Gharat, Siemens EDA (India); Stephen Kim, Ingo Bork, Siemens EDA (United States)
3 October 2024 • 9:20 AM - 9:35 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Mask Rule Checks (MRCs) serve as a critical verification step to ensure design integrity and manufacturability of curvilinear masks. However, curvilinear masks can be more challenging to verify as they often involve freeform shapes, higher data complexity and large file sizes [5]. In this paper, we will introduce native Multigon-based curvilinear mask rule checks and present a comprehensive study assessing the effectiveness of these checks in scenarios involving Multigon to Multigon and Multigon to Piecewise-Linear (PWL) checks. We will also study and compare MRC checks on different types of Multigons, namely implicit, explicit and hybrid Multigons. Furthermore, we will study the runtime of Multigon-based CLMRC and compare the performance to equivalent PWL based checks.
13216-59
Author(s): Yung-Yu Chen, Chien-Yun Yang, Wen-Li Cheng, Jing-Wei Shih, Synopsys Taiwan Co., Ltd. (Taiwan); John Valadez, Linghui Wu, Synopsys, Inc. (United States)
3 October 2024 • 9:35 AM - 9:50 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
This paper reports a pre-processor that simplifies curvilinear figures coming at multiple scales to reduce the degrees of freedom. The downstream consumers include mask error correction (MEC), mask rule check (MRC), and other mask-data processing operations. The multi-scale shape processor provides extensible parameters to control the simplification and coordinate density. As the objective of optimization differs between the downstream consumers, the pre-processor needs to support multiple use-cases. MEC needs to obtain good placement of the vertices defining the curvilinear shapes. MRC and other operations will use different quality metrics. We will use the OASIS P49 Multigon data format to store the simplified results and analyze the benefits of the multi-scale processing system.
13216-117
Author(s): Mohamed Ramadan, Christopher J. Progler, Henry Kamberian, Jinju Beineke, Photronics, Inc. (United States); Michael Green, Photronics Inc (United States); Guangming Xiao, Synopsys, Inc. (United States); Ming-Feng Shen, Kai-Hsiang Chang, Synopsys Taiwan Co., Ltd. (Taiwan); Kyle Braam, Yu-Po Tang, Synopsys, Inc. (United States); Szu Ping Chen, Eric Huang, Gloria Yeh, Nicole Wu, Chun-Cheng Liao, Nanya Technology Corp. (Taiwan)
3 October 2024 • 9:50 AM - 10:05 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
One of the more challenging aspects in curvilinear adoption is on determining the optimum tradeoff between pattern complexity, mask manufacturability and wafer imaging. To maximize the benefits of curvilinear masks without incurring an undue impact from mask complexity, it is beneficial to develop layout validation checks such as MRC which can be implemented to achieve an optimum tradeoff. We will present a methodology to perform such curvilinear mask manufacturability optimization using a specially designed set of parametric curvilinear test patterns tied to geometrical MRC generation. Correlations between mask manufacturability and imaging performance are presented in effort to judge the effectiveness of generated mask rules to create an optimized design for a DRAM layer. We revisit the question on whether ILT allows relaxed MRC constraints compared to Manhattan designs for the same layer application. In addition, advanced mask characterization techniques such as 2D contouring are applied to evaluate the limitations of purely geometrical rule checking versus full model based approaches that consider mask pattern fidelity in ILT layout generation.
Break
Coffee Break 10:05 AM - 10:35 AM
Session 11: Mask Design and Corrections
3 October 2024 • 10:35 AM - 12:45 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Session Chairs: Seung-Hune Yang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of), Henry H. Kamberian, Photronics, Inc. (United States)
13216-60
Author(s): Danping Peng, Siemens Digital Industries Software, Inc. (United States)
3 October 2024 • 10:35 AM - 10:55 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Mask synthesis and writing is the first and the most critical step in chip making, and its quality has a direct impact on the final wafer yield. In the past two decades, the mask industry has been working with EDA vendors and foundry customers to address the challenges arising from feature-scaling, immortalized by Moore’s law and improve the yield while controlling the cost of mask making within check. Great progresses has been made, and currently three trends are the industry’s consensus: curvilinear masks; ML modeling; GPU for model calibration and mask correction. In this talk, the authors will briefly review the history of how different trends converged to the current status, and report some of the current status of Bezier-spline based curvilinear OPC and ILT on CPU and GPU, and the mask correction with embedded e-beam, lithography and etch models, and point some of the remaining challenges that call for the mask industry, software and hardware vendors, to work together to address them for the wide adoption of the new technologies at the most advanced nodes as well as the more mature nodes.
13216-92
Author(s): Michael Erickson, Mahesh Chandramouli, Alex Johnson, Michael Mroz, Vlad Liubich, Zach Rice, Arvind Chandramouli, Intel Corp. (United States); Kushlendra Mishra, Rachit Sharma, Siemens EDA (India); Ingo Bork, Alex Wei, Jörg Mellmann, Jiechang Hou, Siemens EDA (United States)
3 October 2024 • 10:55 AM - 11:15 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Meeting the CD (Critical Dimension) linearity and uniformity targets for high-NA EUV photomasks, requires tight control of a wide range of effects contributing to the distortion of the CD signature. So far, many of the factors degrading CD signature have been optimized independently, in particular the compensation of short- and long-range scattering and etch bias effects. Such independent optimization, however, limits the overall mask CD linearity and uniformity results achievable with co-optimization of the different components. In this work, we discuss the co-optimization of short- and long-range corrections on the e-beam writer and through MPC (Mask Process Correction).
13216-58
Author(s): Rachit Sharma, Kushlendra Mishra, Siemens EDA (India); Ingo Bork, Siemens EDA (United States)
3 October 2024 • 11:15 AM - 11:30 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In this paper, we present a methodology to analyze the mask curvature manufacturing limits using a calibrated mask process correction (MPC) model, that can simulate the exposure and etch characteristics of the process. A comprehensive set of well-defined test patterns with systematically varying local curvatures (both convex and concave) are used for this study. A well-converged Curvilinear Mask Process Correction (CLMPC) is applied to the patterns and the curvature printability is quantified by studying the final mask edge-placement-error (EPE) at the different curvature locations. The paper concludes that the method presented within can be applied to arrive at a realistic curvature MRC limit specification, which can then be tuned and further optimized, as applicable, based on real measurement data from the mask process.
13216-61
Author(s): Kevin Lucas, Yunqiang Zhang, Xiangyu Zhou, Linghui Wu, Zac Levinson, Lin Wang, Kevin Hooker, Soo Han Choi, James Ban, Synopsys, Inc. (United States)
3 October 2024 • 11:30 AM - 11:45 AM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Upcoming generations of integrated circuits will use anamorphic optics in high NA EUV scanners which will require two or more stitched mask exposures to achieve the equivalent exposure area of previous-generation scanners. The patterning at a stitching boundary between two mask exposures will be affected by additional process variation sufficiently large that the allowed patterns targeted to be printed at a stitching boundary must be restricted relative to patterns printed at other (single exposure) regions on the wafer. Therefore, the physical design of the chip being patterned must be aware of the stitching region and the design tuned to be stitching friendly. In this paper we will first describe the fundamental patterning difficulties involved in high NA EUV stitching. We will evaluate the potential of multiple design and mask synthesis options for enabling stitch-friendly patterning in high NA EUV. We will also show how co-optimization of design and mask synthesis solutions can help ensure manufacturability of stitched patterns while protecting against extensive physical design changes with high NA lithography.
13216-62
Author(s): Yuansheng Ma, Xuefeng Zeng, Haizhou Yin, Xiaoyuan Qi, Jiechang Hou, Le Hong, Yuyang Sun, Danping Peng, Siemens EDA (United States); Renyang Meng, Werner Gillijns, imec (Belgium)
3 October 2024 • 11:45 AM - 12:00 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
This paper presents an innovative use of machine learning (ML) to improve etch modeling by integrating monotonic machine learning methods with ML-based contour metrology. Unlike traditional methods that rely on single gauge-based data, our approach leverages comprehensive contour data extracted from SEM images to predict etching biases. It handles large datasets efficiently and adapts dynamically to new data. A primary element of our strategy involves constructing a retargeting layer with etch bias, derived from features at multiple sites or points of interest (POIs) on a reference layer which is generated with a fuzzy clustering model. These features and their corresponding etch biases serve as training data for our semi-supervised model which will be used for prediction on large scale designs.
13216-63
Author(s): Abdalaziz Awad, Friedrich-Alexander-Univ. Erlangen-Nürnberg (Germany)
3 October 2024 • 12:00 PM - 12:15 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Reaching the full potential of lithography process technology and maximizing yield requires careful modeling each the full process, including exposure, resist development, and mask-writing. The efficiency and scalability of lithography process modeling have become of prime importance, because scaling is necessary to deploy such models in integrated full-chip applications. The efficiency of lithographic exposure modeling has been extensively studied, as numerous compact physical computational models and AI-based models have been devised. On the other hand, modeling efforts for mask writing processes have not caught up. Errors caused by mask-writing have often been corrected with biases or corrections for the mask writing tool. We propose an ML-based mask process model as a solution for the aforementioned problems, as the differentiable nature of the model allows it to be integrated with other parts of the lithography process simulation. The integration of a differentiable mask process model can facilitate moving towards end-to-end mask optimization while eliminating most mask rule check requirements.
13216-64
Author(s): Scott Chegwidden, Chang Ju Choi, Joseph M. Rodriguez, Safak Sayan, Intel Corp. (United States); Avi Cohen, Vladimir Dmitriev, Carl Zeiss SMS Ltd. (Israel)
3 October 2024 • 12:15 PM - 12:30 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Registration correction by localized substrate expansion has been performed on conventional transmission photomasks for several years.  With the implementation of EUV lithography, registration correction has been a challenge due to the opaque backside blank requirements for electrostatic chucking on EUV scanners.  More recently, partially transparent back side coated blanks have been evaluated to enable registration correction on EUV masks.  We will discuss back side coating requirements which meet both scanner requirements and registration correction requirements.  Highlighting the need for back side coating and substrate transmission uniformity control and its impact on registration correction performance.
13216-65
Author(s): Jongkeun Oh, Geonhui Park, YongWoo Kim, JongJu Park, JungJin Kim, SangHee Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Hyun Yoon, Seryeyohan Cho, KiHoon Choi, SEMES Co., Ltd. (Korea, Republic of)
3 October 2024 • 12:30 PM - 12:45 PM PDT | Monterey Conf. Ctr., Steinbeck 2
Conference Chair
Seoul National Univ. (Korea, Republic of)
Conference Co-Chair
Synopsys, Inc. (United States)
Program Committee
Intel Corp. (United States)
Program Committee
UBC Microelectronics (Germany)
Program Committee
Siemens EDA (United States)
Program Committee
Entegris, Inc. (Korea, Republic of)
Program Committee
Micron Technology, Inc. (United States)
Program Committee
SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
Program Committee
D2S, Inc. (United States)
Program Committee
imec (Belgium)
Program Committee
Lasertec USA Inc. (United States)
Program Committee
Dai Nippon Printing Co., Ltd. (Japan)
Program Committee
Photronics, Inc. (United States)
Program Committee
HOYA Corp. USA (United States)
Program Committee
E-SOL, Inc. (Korea, Republic of)
Program Committee
IBM Thomas J. Watson Research Ctr. (United States)
Program Committee
Intel Corp. (United States)
Program Committee
Meta (United States)
Program Committee
Toppan Photomasks, Inc. (United States)
Program Committee
ASML (United States)
Program Committee
HOYA Corp. (Japan)
Program Committee
TSMC North America (United States)
Program Committee
imec (Belgium)
Program Committee
IBM Corp. (United States)
Program Committee
Canon Nanotechnologies, Inc. (United States)
Program Committee
Carl Zeiss SMS Ltd. (Israel)
Program Committee
KLA Corp. (United States)
Program Committee
SK Hynix System IC Inc. (Korea, Republic of)
Program Committee
Siemens EDA (United States)
Program Committee
Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)
Program Committee
ASML Netherlands B.V. (Netherlands)
Program Committee
Applied Materials, Inc. (United States)
Program Committee
FUJIFILM Corp. (Japan)
Program Committee
SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
Program Committee
NuFlare Technology, Inc. (Japan)
Program Committee
Meta (United States)
Program Committee
Keysight Technologies, Inc. (United States)