SPIE Photomask Technology + EUV Lithography 2023 is a global forum with two concurrent and tightly integrated conferences held in Monterey, California. It is an excellent opportunity for scientists, engineers, and industry leaders to meet, present and discuss results, challenges, and potential solutions in optical and EUV masks and lithography. Registration and travel support is available to encourage student participation in the conferences. Additionally, the symposium offers two industry-sponsored student presentation awards to recognize outstanding student research.

Photomask Technology, presented by the BACUS technical group of SPIE, provides a forum for the industry and academics to present and discuss photomask design, fabrication, quality control, and applications in semiconductor manufacturing and adjacent industries. Its co-location with the EUV Lithography Symposium in a single setting presents a unique opportunity to meet and share results, challenges, and potential solutions in both the EUV and DUV worlds.

EUV is now used in high-volume manufacturing, in parallel with on-going innovation in 193i lithography to support multiple patterning in critical layers as well as applications in prototyping and larger-feature layers. Research continues to develop new mask materials, EUV pellicles, MDP (OPC, MPC, fracture) methods, and metrology and inspection capabilities. Innovations in device packaging has become an important enabler in the improvement of IC performance following Moore’s Law. Substrates used in packaging have evolved into complex structures patterned with multi-mask layers. Additionally, the growth in legacy technology driven by AI as well as 5G, silicon photonics, IoT, MEMS, automotive and similar products is creating a resurgence in demand across nodes, challenging mask makers to not only maintain but expand capacity for established processes with mature equipment.

This conference is an excellent opportunity for exchanging information, addressing problems and generating ideas to keep our industry moving forward. We encourage technologists, engineers and students in the photomask and related fields to attend and share their work. Papers addressing the broad aspects of photomask research, development, manufacturing, and use are all solicited, including but not limited to the areas described below. Please consider these along with other tangential areas that leverage photomask technology and submit your abstract today. Whether you are driving leading-edge technologies or improving legacy ones, there are opportunities to share your work and learn something new along the way. We urge you to participate by submitting your abstract(s) and encouraging your colleagues to do the same. We are grateful for the technical contributions and participating companies’ support that make this symposium happen. ;
In progress – view active session
Conference 12751

Photomask Technology 2023

2 - 5 October 2023 | Monterey Conf. Ctr., Steinbeck 2
View Session ∨
  • Welcome and Monday Plenary Session
  • 1: High-NA EUVL: Joint Session with Photomask and EUVL Conferences
  • 2: Mask Inspection and Repair
  • 3: Curvilinear Mask Technologies
  • Poster Session
  • 4: Emerging Applications: AR/VR & Advanced Packaging
  • 5: Blank Technology
  • 6: Special Invited Topics on Mature Technologies
  • 7: Mask Patterning and Defects
  • Wednesday Plenary Session
  • 8: EUVL Extension (Low-NA): Joint Session with Photomask and EUVL Conferences
  • 9: Mask Metrology
  • All-Symposium Panel: How low can k1 go in EUV lithography?
  • 10: Mask Design and Corrections
  • 11: Mask Writers
  • Closing Remarks
Welcome and Monday Plenary Session
2 October 2023 • 8:20 AM - 10:00 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Session Chairs: Ted Liang, Intel Corp. (United States), Patrick P. Naulleau, The Ctr. for X-Ray Optics (United States)
8:20 AM to 8:40 AM
Announcements and Welcome
12750-503
Author(s): Vincent Tang, Lawrence Livermore National Lab. (United States)
2 October 2023 • 8:40 AM - 9:20 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
On December 5th, 2022, the National Ignition Facility (NIF) at Lawrence Livermore National Laboratory (LLNL) performed the first experiment demonstrating controlled fusion ignition in the laboratory. With 2.05MJ of UV laser drive energy delivered to the target, a fusion yield of 3.15MJ was achieved, providing a net target gain of 1.5x. The results of this experiment will be discussed, along with the decades-long developments in optical materials, laser architectures, target fabrication, and target diagnostics enabling this historical accomplishment. As a spin-off of this decades long development, an enduring partnership between the laser driven fusion and semiconductor community was formed to explore the possibility of laser driven light sources for EUV lithography, culminating in the establishment of the Virtual National Laboratory (VNL) by Lawrence Berkely National Laboratory, Lawrence Livermore National Laboratory, and Sandia National Laboratories as part of a public-private consortium consisting of VNL and multiple semiconductor companies such as Intel and AMD, led by EUV, LLC [1]. The partnership had the purpose of establishing the foundational technologies and integrated pilot systems needed to demonstrate the feasibility of laser driven EUV lithography. The work done by the consortium more than 20 years ago successfully formed the basis of the tools used today for the most advanced semiconductors. This talk will review the ignition breakthrough as well as the historical context and collaboration between our communities for EUV lithography. We will also discuss the next steps for NIF and provide an outlook on future applications and opportunities for continued partnership between our communities to develop transformational technologies, including technologies needed for the reinvigorated pursuit of Inertial Fusion Energy (IFE) and for next generation laser driven light sources for semiconductor fabrication. This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344. [1] S. Wurm, “The EUV LLC: An Historical Perspective”, Chapter 2 in EUV Lithography, 2nd Edition, V. Bakshi, Ed., SPIE Press, 2018. [https://doi.org/10.1117/3.2305675.ch2]
12751-501
Author(s): Bernard C. Kress, Google (United States)
2 October 2023 • 9:20 AM - 10:00 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
Optical lithography through photomask imaging has been the workhorse for 2D wafer scale nanostructure production sustaining Moore's law since more than 5 decades. NanoImprint lithography (or soft lithography) has been a great technique to produce arbitrary 3D wafer scale nanostructures since more than 2 decades. Optical lithography is generally limited to 2D nanostructures (gray scale lithography only providing some relief) and nanoimprint lithography is limited by small aspect ratio and relatively conventional nanostructure geometries as well as by the number of replicas a single hard master can provide. Merging the best of both worlds as in non contact 2D optical imaging to produce arbitrary 3D nanostructures could allow industry to move around such limitations. We will review the latest efforts done towards these goals and some potential developments for the next years.
Session 1: High-NA EUVL: Joint Session with Photomask and EUVL Conferences
2 October 2023 • 10:30 AM - 12:10 PM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Session Chairs: Ted Liang, Intel Corp. (United States), Jan van Schoot, ASML Netherlands B.V. (Netherlands)
12750-1
Author(s): Jara . Garcia-Santaclara, Rudy Peeters, Jeroen van Dongen, Rob van Ballegoij, Sjoerd Lok, Jan van Schoot, ASML Netherlands B.V. (Netherlands); Paul Gräupner, Peter Kuerz, Carl Zeiss SMT GmbH (Germany); Joerg Mallmann, Greet Stoorms, Peter Vanoppen, ASML Netherlands B.V. (Netherlands)
2 October 2023 • 10:30 AM - 10:50 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
To enable cost-effective scaling of technology nodes and extend Moore’s law for at least another decade, ASML has been developing the High NA EUV platform. With an increase of the numerical aperture (NA) from 0.33NA to 0.55NA, High NA EUV will bring multiple benefits to the semiconductor market, such as reduction of process complexity, yield improvement and higher resolution. This will be done while maximizing 0.33NA(NXE) and 0.55 NA (EXE) platforms commonality, making this an evolutionary step on EUV technology. This paper gives an overview of the progress and status of the first High NA EUV platform, the EXE:5000, through its different development and build phases.
12751-1
Author(s): Toshiyuki Todoroki, Ko Gondaira, Lasertec Corp. (Japan); Arosha Goonesekera, Lasertec USA Inc. (United States); Hiroki Miyai, Lasertec Corp. (Japan)
2 October 2023 • 10:50 AM - 11:10 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
In 2019, Lasertec successfully developed ACTIS, the world’s first actinic patterned mask inspection (APMI) system, and has since been providing it as an inspection solution to customers for use in production. ACTIS performs high-resolution, high-throughput inspection of EUV photomasks. It detects all types of mask defects making lithographic impact because it uses the wavelength of light used in EUV lithography as its light source. ACTIS performs both die-to-die and die-to-database inspection and can inspect all types of EUV masks including multi-die masks and single-die masks. The paper will discuss the die-to-database inspection capability for curvilinear masks and the development results of next generation APMI tool for high NA EUV lithography.
12751-2
Author(s): Roman Schmeissner, Susanne Toepfer, Mikhail Poretskiy, Sven Martin, Stephan Zschaeck, Martin Steinhardt, Carl Zeiss SMT GmbH (Germany); Vivek Mishra, Cindy Zheng, Kowtilya Bijjula, Malahat Tavassoli, Intel Corp. (United States)
2 October 2023 • 11:10 AM - 11:25 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
The latest generation of PROVE neXT tools from Carl Zeiss comes with an illumination wavelength of 193nm and a higher NA of 0.8 to provide inherent repeatability and resolution advantages on smaller features. Here, we will demonstrate the performance of a fleet of PROVE neXT tools in terms of their reproducibility, accuracy, and matching as well as their readiness to meet the requirements of upcoming technology nodes. All application cases will be reviewed and discussed from a mask shop point of view.
12750-2
Author(s): Natalia V. Davydova, ASML Netherlands B.V. (Netherlands); Lieve van Look, Ataklti I. Weldeslassie, Vincent I. Wiaux, imec (Belgium); Laura Huddleston, Bram Slachter, ASML Netherlands B.V. (Netherlands); Nick I. Pellens, imec (Belgium); Frank Timmermans, Friso Wittebrood, Eelco van Setten, Daniel Wilson, ASML Netherlands B.V. (Netherlands)
2 October 2023 • 11:25 AM - 11:40 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
In this publication, we consider stitching enablement for High NA EUVL, specifically ‘zooming in’ on vertical line stitching used to create a physical connection between fields on wafer. We discuss stitching CD metrology and analysis using experimental and simulation results for pitch 36 nm dense lines. Experimental results were obtained on the NXE:3400B scanner at imec. CD uniformity across wafer and through slit are investigated as well as the impact from image to image overlap variation and the contribution of reticle CD errors and mask 3D shadowing.
12750-3
Author(s): Stewart A. Robertson, KLA Texas (United States); Alessandro Vaglio Pret, KLA Italy Srl (Italy); Vincent I. Wiaux, imec (Belgium); Robert Schramm, KLA Texas (United States)
2 October 2023 • 11:40 AM - 11:55 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
It is well known that the production High NA (0.55) EUV scanner from ASML will employ an anamorphic demagnification ratio (4x across the slit and 8x in the scan direction). The manufacture of devices larger than half a current field will require field stitching, where two separate reticle designs are exposed with a small area of overlap. In this work, we use the stochastic modeling feature of the PROLITH™ simulation tool, which has been calibrated to accurately predict defectivity levels in conventional 0.33NA EUV lithography and extend it to study stochastic failure rates in the stitching region of a High NA process. We consider flare, out of band light, registration errors, and focus errors to see how these impact stochastic yield versus a standard single exposure using the same Metal-Oxide resist and reticle process.
12750-4
Author(s): Kaustuve Bhattacharyya, Diederik de Bruin, Rudy Peeters, Jara G. Santaclara, Herman Heijmerikx, Rob van Ballegoij, Eelco van Setten, Jan van Schoot, Sjoerd Lok, Greet Storms, ASML Netherlands B.V. (Netherlands)
2 October 2023 • 11:55 AM - 12:10 PM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
Cost-effective scaling of semiconductor devices is enabled by High NA EUV technology, securing a solution for several upcoming technology nodes. This new technology offers improvements in resolution and overlay capabilities, while we need to take the impact of the half-field exposure (inherent to anamorphic nature of High NA optics) into account. This is all done while meeting the tighter on-product performance requirements in future nodes. This paper will describe the on-product performance requirements and corresponding capabilities of the High NA EUV system at the advanced nodes; especially from overlay, imaging and focus point-of-view. This will include half-field exposure use-cases that provide increased flexibility in overlay control while optimizing the field-layout to maximize productivity. Stitching of two half-fields will also be discussed from both overlay and imaging perspective. Finally, we will review the requirements of the industry eco-system.
Session 2: Mask Inspection and Repair
2 October 2023 • 1:40 PM - 3:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan), Arosha W. Goonesekera, Lasertec USA Inc. (United States)
12751-3
Author(s): Hao-Ming Chang, Hsin-Fu Tseng, Chien-Hsing Lu, Sheng-Chang Hsu, Wei-Chung Hu, Ajay Nandoriya, Yi-An Huang, Yung-Sheng Chang, Vincent C.W. Wen, Frankie F. G. Tsai, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Hiroki Miyai, Masayasu Nishizawa, Atsushi Tajima, Hirokazu Seki, Lasertec Corp. (Japan)
2 October 2023 • 1:40 PM - 2:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Actinic inspection technology enhancement for N3 node and beyond
12751-4
Author(s): William D. Dick, MSP Corp. (United States); Lindsay A. Hegner, Erik R. Anderson, MSP, A Division of TSI (United States)
2 October 2023 • 2:00 PM - 2:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Photomask contamination standards are used by leading-edge equipment suppliers to develop, qualify, and calibrate defect mask inspection and review systems and by mask shops to qualify such tools during process development and for periodic quality checks during manufacturing. Standards are produced by depositing soft defects of known composition, size, and morphology at prescribed locations on a mask or pellicle surface. The electrophoretic deposition process is virtually indifferent to the substrate, having been applied to all types of masks, both DUV and EUV. Challenges met over the past decade and the evolution of applied particle materials are presented in this work.
12751-5
Author(s): Yian Huang, Owen Wang, Rick Lai, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan)
2 October 2023 • 2:20 PM - 2:35 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
X9ULTRA, the 193nm wavelength inspection tool, is applied to N3 EUV mask production successfully. The scope includes mask-making and Fab-rescan masks, with inspection mode of Die-to-Die, Die-to-Golden and Die-to-Database. Strong Defect Capture Capability is comparable to the commercial tool: after optical & objective lens adjustment, light calibration & shading optimization within specific in-chip pattern, blackborder & clear region, SNR is significantly boosted up. Inspection sensitivity can fulfill N3 requirement. While CIP ongoing, its capability is equal or better than the commercial tool. The Low Nuisance level after ADC (Auto Defect Classification) improves mass-production review efficiency. The noise level reduction benefits from diffusing the speckle effect in the illumination system. The Fast Runtime of defect detection goal can be reached under tsmc’s large GPU-Pool and can handle multiple inspection tools parallelly.
12751-123
Author(s): Priyank Jain, Dongxue Chen, Eric Kwon, Harry Huang, JiUk Hur, KLA Corp. (United States); Yura Chung, Sieun Jang, JuHyoung Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Paul Chung, KLA Corp. (Korea, Republic of)
2 October 2023 • 2:35 PM - 2:50 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
EUV Lithography has grown rapidly since its first production use in 2019. The reticle quality and defect control have become of utmost importance now than ever. KLA's Teron 647e reticle inspection system and its database inspection capability have been the workhorse for mask inspection at N5 and below. To support tighter defect requirements for EUV mask inspection at advanced design nodes, KLA has pushed the envelope of DUV inspection technology and developed the next-generation Teron 647e system with advanced super-algorithms, including a hybrid database algorithm and a deep learning database algorithm. In this paper, we will discuss the evaluation results of the new reticle inspection system on critical logic and DRAM layers using a hybrid database algorithm. The next-generation reticle inspector demonstrated better sensitivity at much-improved inspectability compared with systems utilizing previous-generation algorithms. One specific area where the hybrid database algorithm showed high values was in the ability to handle Flare OPC variation between die to die, thereby significantly eliminating modeling-induced false defects.
12751-6
CANCELED: Machine learning based defect sampling for weak pattern analysis
Author(s): Qian Xie, Siemens EDA (United States); Ku Fang, Chin-Juan Li, Garry Chen, Chia Wei Huang, Yen-chun Chen, Yung-Feng Cheng, United Microelectronics Corp. (Taiwan); Chuan-chun Lee, Siemens EDA (Taiwan); Le Hong, Siemens EDA (United States); Jack Lin, Siemens EDA (Taiwan); Fan Jiang, Siemens EDA (United States); Xiang Fang, Siemens EDA (Taiwan)
2 October 2023 • 2:35 PM - 2:50 PM PDT | Monterey Conv. Ctr., Steinbeck 2
12751-7
Author(s): Christopher F. Wieland, Kristy J. Kormondy, Annelise R. Beck, Britain J. Smith, Firoz Ghadiali, Jun Kim, Frank E. Abboud, Intel Corp. (United States); Tetsuya Sendoda, Naonari Kondo, Tomohiro Imahoko, Jeoung S. Kim, Lasertec USA (United States); Chikato Kaga, Arosha Goonesekera, Wonil Cho, Lasertec USA Inc. (United States); Sankaranarayanan Paninjath, Siemens Digital Industries Software, Inc. (India); Saikiran Madhusudhan, Siemens Digital Industries Software, Inc. (United States); Prakash Deep, Shivam Nln, Sasidhara R. Reddy, Ranganadh Peesapati, Siemens Digital Industries Software, Inc. (India)
2 October 2023 • 2:50 PM - 3:05 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Die-to-database inspection of optical patterned masks enables defect detection and their subsequent repair for production of defect-free masks with either single- or multi-die layouts. In this paper, we will present the first implementation of the die-to-database inspection flow on the MATRICS platform with system architecture that decouples tool and compute resources. Details of the mask pattern data preparation and system design will be presented alongside real examples of detection capability from an Intel mask shop, showing that three major inspection modes (die-to-database, die-to-die, and mask-to-mask) meet the must-catch detection line requirements on a single platform.
12751-8
Author(s): Maria Jose E. Cadena, Tod E. Robinson, Bruker Corp. (United States)
2 October 2023 • 3:05 PM - 3:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Results of novel nanomachining processes to repair TaN EUV absorber materials was shown using 1.8 aspect ratio (AR) AFM tips in line and space patterns down to 90 nm half-pitch. While these repair results were well within the requirements for EUV printability, they only demonstrated the capability to repair an absorber material which has become obsolete with the rapid development of EUVL technology. The introduction of boron into the absorber chemistry indicates a significant increase in the hardness of this material which can be a significant factor in tip deflection in nanomachining. In this work, test repair results are shown for an advanced EUV absorber stack containing a TaBN formulation. The repair dimensional accuracy and repeatability are analyzed along with the throughput and tip wear rates for this nanomachining process. The capability of the BitClean process to clean and finish these repairs will also be shown for this absorber type.
Session 3: Curvilinear Mask Technologies
2 October 2023 • 3:50 PM - 6:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Aki Fujimura, D2S, Inc. (United States), Danping Peng, TSMC North America (United States)
12751-201
Author(s): Frank E. Abboud, Mahesh Chandramouli, Arvind Sundaramurthy, Intel Corp. (United States)
2 October 2023 • 3:50 PM - 4:30 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Curvilinear masks provide substantial benefits for extending lithography boundaries of resolution and pattern fidelity in semiconductor manufacturing. However, more complex shapes in a curvilinear mask lead to an explosion in the vertex density and file size. The recent SEMI standard on MULTIGON record extension enabled a path for file size reduction while the multi-beam writers allow the patterning of high-resolution patterns without sacrifice in writing time. The curvilinear technology will be one of the key enablers for sub-2nm lithography. This talk will review masks made with end-to-end curvilinear corrections and present results and challenges in processing curvilinear masks through MDP, beam fracture, inspection and CD Metrology. Curvilinear benefits include improved wafer CD process window through focus, reduced MEEF and full utilization of MRC specs to guarantee the best OPC corrections for 2D geometries. Ecosystem enabling MULTIGON for sub 2-nm lithography will be discussed.
12751-9
Author(s): Bhardwaj S. Durvasula, Sayalee Gharat, Ranganadh Peesapati, Archana Rajagopalan, Rachit Sharma, Siemens EDA (India); Stephen H. Kim, Ingo Bork, Siemens EDA (United States)
2 October 2023 • 4:30 PM - 4:45 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The rapidly increasing complexity of photomasks arising from free-form curvilinear mask shapes generated by Inverse Lithography Technology (ILT) has called for exploration of efficient and accurate native curvilinear data representation methodologies. The curvilinear MULTIGON extension to SEMI P39 OASIS format has been approved as a preliminary standard for the industry to evaluate MULTIGON-based post-tape-out data preparation flows. In this paper, we will present perspectives on opportunities, challenges, and applications of MULTIGON-based curvilinear data handling and representation.
12751-10
Author(s): Abhishek Shendre, Aki Fujimura, D2S, Inc. (United States)
2 October 2023 • 4:45 PM - 5:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Enabled by multi-beam mask writing, curvilinear free-form ILT & GPU acceleration, curvilinear masks are quickly becoming the norm in leading edge masks. An industry standard for compactly representing curvilinear shapes using Multigon, is being developed by SEMI working group. With these infinite-resolution curvilinear formats, the vertex density would be lower than with piecewise linear polygons for a particular accuracy requirement. But it is still useful to know what density is theoretically sufficient. This paper explores the concept of rasterization and the mathematical dual between contours and pixel dose arrays given a particular known resolution limit. The paper further argues that curvilinear ILT, practically speaking, is computed in the pixel domain. Most curvilinear masks are written with multi-beam machines using pixel-dose arrays. The images taken of the resulting masks, whether for inspection, disposition, or for metrology are pictures taken as pixel dose arrays of some resolution with some image processing afterwards. This paper shows how 193i and EUV curvilinear masks curvilinear mask shapes can be reliably manufactured through the lens of information theory.
12751-11
Author(s): Linghui Wu, John Valadez, Jian Rao, Jim Burdorf, Yunqiang Zhang, Yongdong Wang, Alex Zepka, Folarin Latinwo, Synopsys, Inc. (United States)
2 October 2023 • 5:00 PM - 5:15 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
For leading edge technology node, many proximity effects during mask manufacturing process will change the mask details. Model-based Mask error correction (MEC) is needed for ensuring the mask fidelity. With the development of multi beam mask writers (MBMW), curvilinear mask offers many quality and performance advantages over Manhattan mask. It offers superior process window comparing to Manhattan mask for EUV process. In this paper, we discuss the results of model based curvilinear MEC based on Proteus platform. The quality and performance were compared between conventional compact model and Machine-Learning (ML) models. ML-based model can be accurately predicting mask printing signatures otherwise could not be predicted by convection compact model. Integrating MEC into Proteus platform offers seamless flow between different applications, like OPC, ILT and RET while preserve the device hierarchy.
12751-12
Author(s): Soo-Yong Lee, Jeeyong Lee, Sinjeung Park, Byungjoon Kang, Juyun Park, Bongkeun Kim, Joonsung Kim, Seung-Hune Yang, Seongtae Jeong, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
2 October 2023 • 5:15 PM - 5:30 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The curvilinear mask is a next-gen resolution enhancement technology for photomasks, but defining rules based on geometry alone is challenging. This study proposes a deep learning-based method using the Transformer architecture to detect Mask Rule Check(MRC) violations. The method outperforms traditional rule-based and CNN-based approaches and exhibits robustness in Recall. Attention maps provide explainability, revealing that MRC violations may stem from fabrication issues in specific designs rather than geometric features alone.
12751-13
Author(s): Apurva Bajpai, Rachit Sharma, Bhardwaj S. Durvasula, Ranganadh Peesapati, Sayalee Gharat, Siemens EDA (India); Stephen H. Kim, Siemens EDA (United States)
2 October 2023 • 5:30 PM - 5:45 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
This paper explores two different quantitative methodologies for conversion of MULTIGON input in fracture to PWL output, enabled by the Calibre Mask Data Preparation tools supporting multibeam fracturing. We investigate the impact of these two methodologies: edge length-based and deviation based, while processing MULTIGON inputs, and their impact on mask contour quality. We also analyze the impact of the different sampling methods and their sampling values on the mask contour using a nominal EUV mask process model and present results related to these experiments. Consequently, we aim to deduce the acceptable sampling methods and their limits while respecting the sampling frequency described by the mask data preparation process.
12751-14
Author(s): Jaeseo Lee, Inhwan Noh, Youngsu Sung, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Heebom Kim, ; Ji-hoon Kang, Seunghye Kim, Jin Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
2 October 2023 • 5:45 PM - 6:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
With the introduction of the multi-beam mask writing (MBMW) technology, efficient processing and precise patterning of curvilinear mask shapes are becoming increasingly important due to the wafer lithography advantages associated with the shapes. However, as the complexity of the curvilinear mask shapes increases, it becomes difficult to precisely characterize the curvilinear mask shapes. Barrier to this is prediction and reflection of the nature of curvilinear mask shapes. Therefore, in the industry, a novel algorithm method for accurate patterning is a major concern. In this study, we discuss the status of curvilinear mask shapes and patterning technology. By adopting machine learning, we develop a novel algorithm with considering the nature of curvilinear mask shapes. To evaluate practical use and accuracy of model, we demonstrate that the algorithm has significant value to guarantee the mask critical dimension (CD).
Poster Session
2 October 2023 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos Ballroom
Symposium attendees are invited to attend the Poster Session on Monday evening. This session provides an opportunity for attendees to meet with colleagues, network, view posters and interact with the authors. Attendees are requested to wear their conference registration badges.

Poster setup: Monday 2:00 PM to 6:00 PM
Poster authors, visit Poster Presentation Guidelines for set-up instructions.
12751-59
CANCELED: Lithography blazing of mid-ir gratings to enable broadband high efficiency
Author(s): Trevor Chen, Spectral Energies, LLC (United States); Bangzhi Liu, Chad Eichfeld, The Pennsylvania State Univ. (United States); Daniel Thul, Paul Hsu, Spectral Energies, LLC (United States)
2 October 2023 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos Ballroom
Show Abstract + Hide Abstract
To overcome issues of current grating manufacturing technologies (mechanical ruling and holographic recording) for Mid-IR grating, e-beam lithography is used to produce nearly perfectly blazed groove profiles to achieve broadband high efficiency. A global optimization approach using PC-Grate simulation software is used to design the MIR grating using Silicon <311> plane with its etching angle 29.5 degree as the near-blaze angle. Theoretical simulation with practical lithography and coating material considered shows the MIR grating efficiency to be > 90% for bandwidth of > 40% at 4um. A direct-write patterning e-bam processes is optimized to precisely align the groove direction with the [011] axis to achieve the accurate blaze angle. A prototype grating is fabricated with the grove profile discrepancy between fabrication and design to be < 0.05% (<2 nm error). Grating efficiency has been measured at 4.3um to be 92%, very close to the theoretical value of 94%.
12751-60
Author(s): Yilei Zeng, Yi Cheng, Mengyao Jin, Hunter Li, ChangXin Memory Technologies, Inc. (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
In this study, we used a special algorithm to combine die to die detection results with MEBES data, and defined the defects risk with the energy attenuation (energy loss) of the whole pattern region which different from traditional point-to-point comparison in KLA inspection equipment. Besides,we introduced sensitivity factor(S) for better evaluate the defect risk. The mathematical relationship between the size of the mask defect and the wafer CD are verified by experiments and based on the experimental results, we established the energy loss auto measurement system for monitoring and analysis system of the defect of the hole pattern mask by correlate the size of the defects to the light energy loss rate, which effectively reduces the process risk caused by the mask defect
12751-61
Author(s): Jiaying Luo, Irene Shi, Brian Zheng, Yuming Gan, Zhuowei Zhang, Tony Ge, Eric Guo, New Ray Mask Technology Corp. (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
CD-SEM is widely used to determine photomask CD performance, SEM image accuracy is crucial for CD measurement. SEM image blurring caused by charging on a type of binary photomask is a major issue we encounter during manufacturing, not only the image form is not clear on Isolated Clear patterns, also obvious CD deviation is observed on Isolated Dark patterns. We investigate into this issue and search for methods to remove residual charge on photomask. We found that these residual charge cannot be removed by electrolyte solutions in cleaning process but will disappear after a few days in fabrication environment. Furthermore, we found these phenomena reappear after UV radiation in close distance or O2 plasma sputtering on the photomask. In this research, we prove that sputtering a mixture gases plasma can effectively eliminate this phenomenon without any negative impact on the binary photomask itself.
12751-62
Author(s): Richard Beaudry, Digitho Technologies Inc. (Canada); Md. Iftekharul Islam, Amrid Amnache, Univ. de Sherbrooke (Canada); Maurice Delafosse, Digitho Technologies Inc. (Canada); Luc Fréchette, Univ. de Sherbrooke (Canada)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Digital lithography shortens development cycle time. Laser-based lithography is slow and lacks in overlay precision. The DIGITHO programmable photomask fits into standard photolithography steppers without system modifications. It can generate a different mask for each exposure. DIGITHO offers the most cost-effective solution for die-level serialization and fast prototyping to high throughput manufacturing.
12751-63
Author(s): Yilei Zeng, Xiuxuan Zhang, Levi Tang, Yingjie Wang, Pei Su, ChangXin Memory Technologies, Inc. (China); Adam Liu, Claire Zhang, ChangXin Memory Technologies (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
To improve CH patterns’ intra-field CDU, lithography process generally uses ASML scanner dose mapper (DOMA) solution. Here we introduce a new intra-field CDU improvement technology called CD Correction (CDC) by mask tuning, which is developed by Carl Zeiss and can obtain local illumination transmittance control with higher space resolution than DOMA. In our CDC application cases of contact hole (aka 2D pattern) layers, CDU in both X-Y directions is crucial for process, but different improvement results are found. When CDU in one direction is fully improved by CDC, improvement in the other direction is often insufficient or excessive and hard to achieve a win-win effect. By further experiments and analysis, the key factor we figure out is CDCR (CDC ratio), which is different in X-Y directions. In our work, first, we present a CDC implementation approach that trades off both X-Y directions of improvement. Second, the principle of different CDCR in X-Y directions is explored, it provides a theoretical interpretation for different CDCR and can predict CDCR in future applications.
12751-64
Author(s): Peng Xu, Juan Wei, Jingkang Qin, Jinlai Liu, Guangyu Sun, Song Sun, Cuixiang Wang, Qingchen Cao, Jiangliu Shi, Beijing Superstring Academy of Memory Technology (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
The technology node shrinks years after years. To guarantee the functionality and yield of IC production, the resolution enhancement technology becomes more and more important. Both optical proximity correction and inverse lithography technique need a precisely calibrated lithographic model. A mask of test patterns needs to be prepared and the lithographic experiment has to be done with it to obtain the CD SEM data for the model fitting. It is beneficial to select the test pattern efficiently. Fewer number of test patterns should be selected without compromising their coverage capability and the accuracy of the lithographic model fit by the selected data. We present a machine learning method based on the convolutional autoencoder and core set selection method to achieve above goal. We optimize the existing test pattern mask by selecting parts of gauges out. The OPC models calibrated with the selected data by our method are compared with the models calibrated with the selected data by the similar contemporary commercial feature to evaluate the performance of our method.
12751-66
Author(s): Junhao Gu, Beijing Superstring Academy of Memory Technology (China), Tsinghua Univ. (China); Yingying Shang, Peng Xu, Juan Wei, Song Sun, Qingchen Cao, Jiangliu Shi, Beijing Superstring Academy of Memory Technology (China); Xijin Zhao, Chun Zhang, Tsinghua Univ. (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
The contour data extracted from SEM wafer images after the lithography are widely used in the critical dimension (CD), edge placement error (EPE) measurement. It is important to obtain the contours fast and accurate before the analysis of lithographic process and calibration of the lithographic models. Without the accurate contour data, the complete CDU, process variation band analysis and inverse lithography technique are hard to realize. With the continuous shrink of the technology nodes, the demand for the accurate contour extraction increases. However, fast and accurate contour extraction from SEM images with defects and noises is challenging. We apply the U-Net to the semantic segmentation of SEM images. The contour extraction and evaluation can be done better after the image segmentation. Our experimental results show that satisfactory contour data of lithographic patterns can be obtained with noisy SEM images.
12751-67
Author(s): Ruihua Liu, Fu Li, Chunlong Yu, Jingjing Fan, Yu Mu, Song Sun, Chong Wang, Jiangliu Shi, Qingchen Cao, Beijing Superstring Academy of Memory Technology (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Mask corner rounding refers to the unintentional rounding deviation of sharp corners or edges during the mask making process, that is caused by the inherent limitations of the e-beam exposure system, such as beam blur, proximity effects, and the resist exposure process. It can have significant consequences on the lithography of chip manufacturing. This article compares the mask corner rounding behavior under different electron beam sizes and presents a novel Optical Proximity Correction (OPC) approach that incorporates mask corner rounding for various dimensional rectangular shapes, named Rounded Corner Aware OPC (RC-OPC). Contrasting with traditional OPC that rely on a single value for simulating mask corner rounding, this innovative OPC approach delivers substantial advantages including increased accuracy, exceptional lithographic performance, and better pattern fidelity, leading to a more dependable and robust process.
12751-68
Author(s): Yasutsugu Usami, Yoshifumi Ueno, Shinji Nagai, Fumio Iwamoto, Takuya Ishii, Tsuyoshi Yamada, Hiroaki Nakarai, Gigaphoton Inc. (Japan)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
An actinic EUV mask inspection system requires a EUV light source with high brightness and high operation availability. Gigaphoton has been developing a laser-produced plasma (LPP) EUV light source using Sn droplet method since 2002 and has been reported at many SPIE conferences. Based on our accumulated LPP technologies, we have developed a compact EUV light source for the mask inspection system. The developed EUV light source adopts a one-year maintenance-free structure and contributes to long-term stable inspection system operation. At the conference, we will present details of the EUV light source system concept.
12751-71
Author(s): Chunyan Song, Westlake Univ. (China); Aibin Huang, Shanghai Institute of Ceramics (China), Univ. of Chinese Academy of Sciences (China); Yijian Chen, Westlake Univ. (China); Xun Cao, Shanghai Institute of Ceramics (China), Univ. of Chinese Academy of Sciences (China); Xijun Li, Westlake Univ. (China)
2 October 2023 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos Ballroom
12751-72
Author(s): Futian Wang, Song Sun, Chunlong Yu, Yu Mu, Juan Wei, Xiaonan Liu, Cuixiang Wang, Liang Li, Qingchen Cao, Miao Jiang, Peng Xu, Beijing Superstring Academy of Memory Technology (China); Joshua Jeong, Yilei Zeng, Andy Lan, ChangXin Memory Technologies, Inc. (China); Jiangliu Shi, Beijing Superstring Academy of Memory Technology (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Inverse Lithography Technology (ILT) has seen wide applications in advanced Logic and Memory applications [1-3] to further improve imaging performance. Compared to the conventional OPC, ILT enables better process window (PW), edge placement error and wafer CD uniformity [2-4], etc. However, one of the biggest ILT challenges is its complex, nonrectilinear mask shapes will potentially cause more mask manufacturing errors. Therefore, it’s very important to quantify MEEF of ILT mask. In this work, we have quantitively studied MEEF of 2D patterns corrected by ILT and conventional OPC. The simulation results show that ILT mask has a smaller MEEF with an average of 7.45 and a mean square error of 0.27 than conventional OPC mask with an average of 9.20 and a mean square error of 0.63. Above conclusions are validated further by experiment data. It also has been demonstrated that ILT mask have increased the PW by over 90% comparing to conventional OPC mask for “hotspots” on chips.
12751-73
Author(s): Rebecca D. Stern, Applied Materials, Inc. (United States); Michael Grimbergen, Applied Materials (United States); Jeff Chen, Rao Yalamanchili, Applied Materials, Inc. (United States)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
The industry is looking for new EUV photomask absorber materials with a low refractive index (n) and a high extinction coefficient (k). The challenge is that these new materials are often difficult to etch. To identify the etching pathway for new EUV material candidates, this paper proposes the approach with thermodynamic characterization for various metal halides as etching byproducts. The Gibbs free energy of formation for these compounds can be collected at standard state conditions, so the potential for such chemical reaction can be evaluated. Meanwhile, the volatility of these reaction products can be estimated by the respective boiling points, which can be calculated from respective heats of vaporization at reduced pressures typically found in a plasma etch chamber. Collectively, this information can help to screen for new low-n / high-k absorber materials, to focus the selection only to candidates with potential etching feasibilities.
12751-74
Author(s): Yilei Zeng, Yi Cheng, Yu Zhang, Peisheng Li, Zhong Zhang, Min Zhou, ChangXin Memory Technologies, Inc. (China); Xin Zhang, ChangXin Memory Technologies (China)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
This paper mainly studies and compares the differences between the new low sensitivity and traditional photoresist, and conducts experiments to verify its performance on mask LCDU with extremely small hole patterns. It was found that the LCDU of the mask using new photoresist improved by ~12%. Furthermore, in the actual application of fab production, the wafer LCDU improved about 6~10%, and the pattern resolution and profile have also been improved to some extent, this research has played an important role in the development of advanced semiconductor processes.
12751-75
Author(s): Hsiang Jen Yang, Po-Sheng Wang, Yen-Hao Chen, Sheng-Hsin Sun, Ting-Ching Hu, Chia-Wei Lin, Taiwan Mask Corp. (Taiwan); Lance Lin, Applied Materials Taiwan, Ltd. (Taiwan)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
ALTA 4700DP, a new design laser mask pattern generator (LMPGs), is constructed with multi-core CPU server. Different from the traditional hardware-based data path LMPGs, the integrated software features of ALTA 4700DP provide the compensation function for critical dimension (CD) variation which caused from the post-exposure processing of the plate. The process includes the post-exposure bake, the developing of the photoresist, and the etching of the chrome. Through the correction of the density-dependent errors and process-footprint errors, the global CD uniformity can be improved.
12751-76
Author(s): Richard J. F. van Haren, ASML Netherlands B.V. (Netherlands); Steffen Steinert, Carl Zeiss SMT GmbH (Germany); Orion Mouraille, Oktay Yildirim, ASML Netherlands B.V. (Netherlands); Jan Hermans, imec (Belgium); Leon van Dijk, ASML Netherlands B.V. (Netherlands); Dirk Beyer, Carl Zeiss SMT GmbH (Germany)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Over the past years, we have demonstrated that off-line mask registration measurements as measured by the Zeiss PROVE tool correlate very well (R2 > 0.96) with the on-wafer measurements. The first correlation study was based on scanner wafer alignment marks. After we established the correlation, we continued the investigation by exploring overlay metrology targets. Also in this case, a very good correlation (R2 > 0.92) was found in the sub-nanometer regime. The residual level at wafer level between mask and on-wafer measurements was less than 0.14-nm (99.7%). The small residual placement mismatch that was left could be attributed to local mask writing variations inside the overlay metrology targets. The local variations triggered us to consider the mask writing impact on the placement errors for individual device features. Even for this case at device level, a very good correlation was observed between the mask registration measurements and the on-wafer results. This time, the on-wafer results were obtained by using a large field-of-view SEM. From all the findings above, we can basically conclude that off-line mask registration measurements can be used as overlay predictors i
12751-77
Author(s): Derek Nowak, Padraic O'Reilly, Molecular Vista, Inc. (United States); Brian Grennon, Grennon Consulting, Inc. (United States); Sung Park, Molecular Vista, Inc. (United States)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
With film thicknesses approaching a few monolayers in semiconductor processes, the chemical state and the cleanliness of the surfaces become critical in determining the outcome of many semiconductor processes. Currently available molecular analytical techniques with sufficient surface sensitivity such as XPS and ToF-SIMS lack the spatial resolution to analyze nanoscale defects and residues. While electron microscopy-based EDX can identify many atomic elements, they cannot provide chemical bonding information, which is needed to assess more accurately the nature and origin of the defects. In this paper, a relatively new hyperspectral technique called infrared photo-induced force microscopy (IR PiFM), which combines atomic force microscopy (AFM) and infrared (IR) spectroscopy with ~ 5 nm spatial resolution, is introduced. By utilizing a state-of-the-art tunable broadband IR laser, truly nanoscale PiF-IR spectra that agree with bulk FTIR spectra can be acquired without contact, i.e., it is non-contaminating and non-destructive, on films as thin as ~ 1 nm. PiF-IR spectra can be used to search existing IR databases to unambiguously identify the chemical species (both organic and inor
12751-78
Author(s): Minkyu Park, Mikyung Woo, Gyeongwon Seo, Yongdae Kim, Chulkyu Yang, Jonghwa Lee, Cheol Shin, S&S Tech Co. Ltd. (Korea, Republic of)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
In EUV lithography, resolution enhancement techniques are applied for manufacturing and also studied actively to improve resolution. Among them, PSM is one of the key item to improve the resolution limit in the conventional lithography. In this study, we investigated the novel EUV absorber material regarding to imaging properties and patterning process. The reflectivity of novel absorber material PSM was about 9% and litho simulation was achieved by PROLITH. As a result, this PSM structure shows better NILS(normalized image log slope) and image contrast. Also, this new PSM shows better process friendly properties such as etch rate and profile compared to the conventional Ru-based PSM that is currently being actively studied.
12751-79
Author(s): Rachit Sharma, Siemens Digital Industries Software, Inc. (India); Ingo Bork, Siemens Digital Industries Software, Inc. (United States); Archana Rajagopalan, Kushlendra Mishra, Siemens EDA (India); Mary Zuo, Siemens EDA (United States)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
In this paper, we will present the development of a new Multi-PNG (mPNG) format for efficient representation of full mask spatial maps (density map, dose map and/or geometric property map). The mPNG consists of multiple independent, interconnected and spatially non-overlapping PNG files, where each PNG file contains the density map for a unique section of the mask area (such that the full mask area is covered through the assembly of all files). Further, the paper will present mPNG file sizes and generation runtimes on full mask data for varying density map grid sizes that are relevant for correcting long range mask process effects in the sub-micron to millimeter range.
12751-81
Author(s): Yukihide Kohira, Haruki Nakayama, Naoki Nonaka, Univ. of Aizu (Japan); Tomomi Matsui, Atsushi Takahashi, Tokyo Institute of Technology (Japan); Chikaaki Kodama, KIOXIA Corp. (Japan)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Recently, Ising machines, which solve Quadratic Unconstrained Binary Optimization (QUBO) problems in a short computation time, have attracted attention. In this paper, we propose a mask optimization method using an Ising machine to obtain a mask with high fidelity to target patterns and high tolerance to process variation. In the proposed method, a mask pattern is improved by repeatedly solving QUBO problems by the Ising machine. In experiments, we applied the proposed method using the Ising machine to various patterns and evaluated it in comparison with existing methods in fidelity to target patterns, tolerance to process variation, and execution time.
12751-82
Author(s): Kushlendra Mishra, Rachit Sharma, Siemens Digital Industries Software, Inc. (India); Ingo Bork, Mary Zuo, Siemens Digital Industries Software, Inc. (United States); Christof Zillner, IMS Nanofabrication GmbH (Austria)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Although the curvilinear mask shapes generated by ILT improve the wafer lithography process window, the efficiency of mask data preparation steps and the MBMW data-path depends on the number of edges used to represent complex curvilinear shapes. Shape simplification methods have been shown to be effective in reducing the number of edges used to represent curvilinear mask data. In this paper, we present the development of an approach to analyze the impact of edge-length variation on curvilinear mask accuracy, which can be used as a practical guidance for edge-based representation of curvilinear shapes for a given mask process.
12751-83
Author(s): Mary Zuo, Siemens Digital Industries Software, Inc. (United States); Kushlendra Mishra, Rachit Sharma, Siemens Digital Industries Software, Inc. (India); Ingo Bork, Siemens Digital Industries Software, Inc. (United States); Nassima Zeggaoui, Siemens Digital Industries Software, Inc. (France)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
In the field of mask process correction (MPC), it is worth examining the potential advantages offered by constant width curvilinear SRAFs. Since all SRAFs must be printed on the mask but not on the wafer during the manufacturing process, the goal of MPC is the same for main features and SRAFs, aiming to ensure that patterns on the fabricated masks align precisely with target shapes and minimize edge placement errors (EPEs). This paper presents a comprehensive study of MPC accuracy and runtime performance when employing constant width SRAFs as input. A comparative analysis is conducted against the use of freeform SRAFs. Various MPC approaches, such as shape-based and dose-based corrections, treating SRAFs as a visible layer without edge bias, curvature-based prebias (CBB), and curvature-based fragmentation (CBF), are explored. The findings of this study provide valuable guidance for the generation of masks with constant width curvilinear SRAFs.
12751-84
Author(s): James Prince, Victor David, Diana Poullos, Larry S. Zurbrick, Keysight Technologies, Inc. (United States)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Stage positioning accuracy in mask writers and metrology equipment is typically stated as a single number by equipment manufacturers (average plus 3-sigma value). The error budget that constitutes this specification is seldom discussed with equipment purchasers and is usually confined to the equipment manufacturer and their suppliers. Interferometer subsystems consist of multiple technical disciplines including stable wavelength laser technology, optomechanics (interferometers), detectors, electronics, and algorithms (phase measurement and interface electronics). Each technology has significantly advanced since the introduction of laser interferometry on mask and wafer lithography and metrology equipment. This paper discusses the error budget related to the interferometry subsystem and possible improvements to this subsystem going forward.
12751-85
Author(s): Nitesh Pandey, Stefan Hunsche, ASML (United States); Adam Lyons, ASML US, Inc. (United States); Christoph Hennerkes, ASML (United States); Andreas Verch, Maximilian Albert, Grizelda Kersteen, Renzo Capelli, Carl Zeiss SMT GmbH (Germany); Werner Gillijns, Balakumar Baskaran, Joost Bekaert, imec (Belgium)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
In this paper, we present the use of Aerial images from the high-NA AIMS® EUV for calibrating mask model parameters of a Tachyon™ high-NA OPC model. We acquired high-NA Aerial images at Zeiss. The measurements included patterns such as line-space patterns for optical proximity and linearity variation, a diverse set of contact-hole patterns, tip-to-tip patterns, SRAFs, and curvilinear patterns. We then analyzed the data with the Tachyon™ platform to derive essential imaging and mask parameters such as image log slope (ILS), peak intensity (Imax), CD through focus and dose, and pattern shift through focus.
12751-86
Author(s): Kenjiro Ichikawa, Itaru Yoshida, Kazuaki Matui, Yosuke Kojima, Tatsuya Nagatomo, Mitsuharu Yamana, Toppan Photomask Co., Ltd. (Japan)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
In general, global errors on mask such as CDU (Critical Dimension Uniformity) and IP (Image Placement) are known as factors affecting EPE (Edge Placement Error). In recent years, Local CDU (LCDU) on wafers has also been discussed as a factor that cannot be ignored. In this paper, the mask impact on wafer LCDU in ArF lithography was investigated by using mask patterns with various mask LCDU and lithographic performance. In addition, the effect of mask optimization on wafer LCDU improvement was demonstrated on wafer.
12751-87
Author(s): Thomas Peoples, Applied Materials, Inc. (United States)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
A significant proportion of the world’s photomasks are written by the ALTA i-line laser mask writers that started shipping in 1994. Many of these masks are used for manufacturing devices in the growing “ICAPS” markets: the Internet of Things, Communications, Automotive, Power and Sensors. Every ALTA i-line writer shipped is still in production due to sustained investments, driven by the motivation to keep fully depreciated equipment running as long as possible. Technology developments recently created the opportunity for a comprehensive renewal of this installed base. A field upgrade package has been developed that replaces the legacy computing systems and electronics with modern equivalents, while substantially reducing the power consumption and significantly increasing productivity.
12751-88
Author(s): Wook Chang, Hyunwoo Min, In-yong Kang, Geunbae Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Donghwan Son, Taekwon Lee, Jiuk Hur, Vikram L. Tolani, Paul Chung, Suresh Lakkapragada, Zeyu Lei, Masaki Satake, Frank Liao, Jing Jiao, Kana Ohara, Peter Hu, Janny Wang, Will Wang, Yifu Wang, Yan Zheng, Derui Li, George Hwa, Erik Kwon, KLA Corp. (United States)
2 October 2023 • 6:00 PM - 7:30 PM PDT | Monterey Marriott, San Carlos Ballroom
Show Abstract + Hide Abstract
In order to break through the limits in EUV mask inspection, we studied how to utilize inspection images and developed EUV ADC & SEM ADC to contribute to EUV mask mass production.
12751-93
Author(s): Richard Beaudry, Digitho Technologies Inc. (Canada); Iftekharul Islam, Amrid Amnache, Université de Sherbrooke (Canada); Maurice Delafosse, Digitho Technologies Inc. (Canada); Luc Fréchette, Université de Sherbrooke (Canada)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Digital lithography shortens development cycle time. Laser-based lithography is slow and lacks in overlay precision. The DIGITHO programmable photomask fits into standard photolithography steppers without system modifications. It can generate a different mask for each exposure. DIGITHO offers the most cost-effective solution for die-level serialization and fast prototyping to high throughput manufacturing.
12751-125
Author(s): Hans Arts, FastMicro B.V. (United States); Hendrik Ketelaars, FastMicro B.V. (Netherlands)
On demand | Presented live 2 October 2023
Show Abstract + Hide Abstract
Opto-mechatronic systems are getting smaller and require higher accuracy. Improved surface particle cleanliness and defectivity control, preferably below the micrometer range, is required to keep performance and yield at the same level. To fill this need, the Fastmicro Product Scanner is developed to measure surface particle contamination levels directly on large product surfaces such as blank wafers, backside of wafers, reticles, pellicles (top & bottom), displays and other products. At Photomask Technology 2022 Fastmicro presented the applicability of the Product Scanner to measure high-end EUV pellicles, including its future roadmap. This manuscript describes tests and results of several (pellicle) measurements over the last year and the next generation Product Scanner with its improved capabilities like: - Improved sensitivity towards 200nm - Pellicle pinhole detection - Organic / non-organic particle detection
Session 4: Emerging Applications: AR/VR & Advanced Packaging
3 October 2023 • 8:30 AM - 10:00 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Lawrence S. Melvin, Synopsys, Inc. (United States), Larry S. Zurbrick, Keysight Technologies, Inc. (United States)
12751-15
Author(s): Yongan Xu, Applied Materials, Inc. (United States)
3 October 2023 • 8:30 AM - 8:50 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
This paper focuses on the application of photolithography, a widely adopted microfabrication technique, in the creation of SRG display. While photolithography is a well-established process, there exist notable challenges in utilizing it for SRG display manufacturing. This work addresses key concerns such as optical proximity correction (OPC), photomask quality, lithography materials, and optimal process conditions for achieving desirable waveguide performance. Moreover, this paper draws a comparison between the patterning requirements and differences in conventional semiconductor lithography and the lithography applied to AR display manufacture. By presenting an in-depth analysis of the intricacies associated with photolithography-based SRG display fabrication, this work aims to provide valuable insights into overcoming technical hurdles and enhancing the overall quality and efficiency of AR waveguide displays.
12751-16
Author(s): Bo Zhao, Meta (United States)
3 October 2023 • 8:50 AM - 9:10 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In the quest of searching for the next disruptive mobile computing platform after smartphones, Augmented Reality (AR) glasses show exciting promise in allowing humans to seamlessly interface with the real and the virtual world in unprecedented ways. Metastructure based Surface Relief Gratings (SRG) is an emerging technology with powerful capabilities and flexibilities such as diffraction order control, polarization control, dispersion control, etc., potentially creating a truly immersive AR display that has high efficiency, contrast, resolution, is light weight and compact in form factor, all while coming at a lower cost. In this talk, the author will walk through the benefits metastructures can bring to AR display, and the unique challenges to nanofabrication pipeline for metastructure based waveguide, including layout generation, biasing, proximity correction, nanoimprint master and photolithography mask fabrication, and how various fabrication failures impact final device.
12751-17
Author(s): Doug Shelton, Canon U.S.A., Inc. (United States); Hiromi Suda, Ken-Ichiro Mori, Yoshio Goto, Canon Inc. (Japan)
3 October 2023 • 9:10 AM - 9:30 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Heterogeneous Integration is one “More-than-Moore” strategy that can help continue the trend towards overall electronics system scaling and cost reduction. Heterogeneous Integration involves high-efficiency and high-density interconnection of multiple chiplets and/or dies using advanced packaging technologies to provide communication bandwidth beyond what can be accomplished through circuit scaling alone. This paper introduces the FPA-5520iV and FPA-8000iW steppers that are designed to meet the requirements of sub-micron Heterogeneous Integration applications. Topics include warped substrate handling, panel substrate processing, die-by-die overlay of highly distorted substrates, high-fidelity imaging across large exposure fields and high-accuracy stitching for exposure fields larger than 1 reticle.
12751-18
Author(s): Andrew M. C. Dawes, Synopsys, Inc. (United States); Zhixin Wang, Eulitha AG (Switzerland); Lawrence S. Melvin, Synopsys, Inc. (United States); Bernd Kuechler, Synopsys, Inc. (Germany); Wolfgang Demmerle, Al Blais, Synopsys, Inc. (United States); Kelsey Wooley, Eulitha US, Inc. (United States); Harun H. Solak, Eulitha AG (Switzerland)
3 October 2023 • 9:30 AM - 9:45 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Displacement Talbot Lithography (DTL) is an emerging photolithography technique for printing high-resolution periodic patterns. The image recorded through the DTL process differs from those created in projection printing or contact/proximity techniques, not only because it uses all longitudinal axis image planes, but also in the way the object (mask) transmission function is transformed into the recorded image. We present the main parameters involved in modeling the DTL patterning process and give examples relevant to applications such as AR/VR waveguide production. We also compare DTL to simulated projection lithography implementations. Like the well-known projection lithography case, the ability to accurately model and predict printing results is essential to exploring the process limits and possibilities with this new exposure technique.
12751-19
Author(s): Hideo Tanaka, Canon Inc. (Japan)
3 October 2023 • 9:45 AM - 10:00 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. In addition, resist is applied only where necessary, thereby eliminating material waste. That is very compelling for semiconductor memory applications. The purpose of this presentation is to review the performance improvements related to the stability of overlay and defectivity.
Session 5: Blank Technology
3 October 2023 • 10:25 AM - 12:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Takahiro Onoue, HOYA Corp. (Japan), Claire van Lare, ASML Netherlands B.V. (Netherlands)
12751-20
Author(s): Tomohiro Suzuki, Ryo Watanabe, Shohei Sakuma, Tomoro Ide, Lasertec Corp. (Japan)
3 October 2023 • 10:25 AM - 10:45 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Lasertec develops and manufactures inspection and measurement systems that meet the requirements of EUV lithography. Lasertec has successfully developed an actinic blank inspection (ABI) tool and released ABICS E120, a EUV mask blank inspection and review system that contributes to defect management and yield improvement in the production of EUV mask blanks. With the introduction of high-NA EUV scanners and the further progress of process nodes, actinic inspection tools will need to detect even smaller defects. Lasertec is developing a next-generation ABICS for such advanced nodes, eyeing its release in 2024. Its target performance is a sensitivity to detect defects 1nm high and 30nm wide with a coordinate accuracy of 10nm.
12751-21
Author(s): Katrina Rook, Mario Roque, Antonio Checco, Marjorie Chee, Meng H. Lee, Veeco Instruments Inc. (United States)
3 October 2023 • 10:45 AM - 11:05 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
EUV photomask blanks are coated with an ion-beam-deposited (IBD) multilayer mirror of Mo/Si,. IBD is further a candidate for pellicle processes, due to its low defect density and uniform coating. The within-mask uniformity requirement is currently +/- 0.015nm in Central Wavelength (CWL) across the 6” x 6” area., requiring film uniformity of ~ 0.1%. To avoid stitching under high-NA anamorphic projection, larger form-factor masks and pellicles are being considered. Proposals include 300mm round or 12” x 6” rectangular blanks. We present simulations and data for the uniformity performance of the Veeco ion beam deposition tool over the double-sized active area, namely a rectangle of 104 mm x 264 mm. We demonstrate that the non-uniformity of today’s processes-of-record could result in 3.3x higher nonuniformity over the extended area, implying a CWL uniformity of +/- 0.05 nm. We then demonstrate process and hardware modifications to enable CWL uniformity recovery to better than +/- 0.015 nm.
12751-22
Author(s): Shi-Hao Yang, Wei-Ting Chen, Chung Yang Huang, Chien-Min Lee, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Joy Huang, ; Shy-Jay Lin, Chun-lang Chen, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Yoshiaki Ikuta, Tomohiko Satoh, Yosuke Nakakita, AGC Inc. (Japan)
3 October 2023 • 11:05 AM - 11:20 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
EUV Mask for High Volume Manufacturing of semiconductor device have already became accomplished facts. Therefore, developing a flexible and controllable process capability for various film stack EUV blank structure and production is crucial. The requirements of high compatible process window need to sustain 1-nm critical dimensions (CD) control and etch stop on capping layer with zero damage. For conventional EUV blank, dual layer TaN substrate is proposed as state-of-the-art EUV photomask blank absorber material being comprehensively evaluated. Film stack material needs to be co-optimized with developing and etching process to keep pattern profile/fidelity, capping layer quality and durability, and defect density.   Hence first of all, the novel etching strategy for mitigating capping layer damage to have better Ru durability improvement will be reported. Secondly, the developing process optimization to lower the defect counts caused by wettability change due to various absorber material will show. Finally, the pattern fidelity change caused by various etching selectivity between hard mask and absorber will be discussed in this paper.
12751-23
Author(s): Antonio Checco, Katrina Rook, Mohammad Saghayezhian, Kenji Yamamoto, Meng H. Lee, Ashish Kulkarni, Veeco Instruments Inc. (United States)
3 October 2023 • 11:20 AM - 11:35 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Ion beam deposition (IBD) is the process-of-record for fabricating Mo/Si multilayers (MLs) for EUV mask blanks. This process affords outstanding ML performance in terms of defectivity, central wavelength control, and reflectivity. However, the above parameters are strongly dependent on physical and chemical inhomogeneities of the deposited MLs. Here we study systematically the effect of film purity, density, thickness uniformity, and interfacial roughness/intermixing, on the reflectance and imaging performance of Mo/Si MLs. Structural and spectral characteristics of ML are investigated experimentally, and the results compared to optical simulations. These findings may benefit the design and optimization of Mo/Si MLs with tailored spectral characteristics.
12751-24
Author(s): Luke T. Long, Stuart Sherwin, EUV Technology (United States); Ryan Miyakawa, Lawrence Berkeley National Lab. (United States); Thomas V. Pistor, Panoramic Technology Inc. (United States); Patrick Naulleau, EUV Technology (United States)
3 October 2023 • 11:35 AM - 11:50 AM PDT | Monterey Conv. Ctr., Steinbeck 2
12751-25
Author(s): Supriya L. Jaiswal, Astrileux Corp. (United States)
3 October 2023 • 11:50 AM - 12:05 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
We present the potential performance improvement provided by new materials and architectures for EUV Lithography. Typically EUV materials and their performance in photomasks are limited by either lack of absorption in an absorber layer, or too much absorption in a reflective multilayer. The fundamental absorption of materials is widely accepted as limiting at EUV wavelengths, as EUV radiation excites plasmons (quanta of charged coupled energy) above a given plasma frequency of the material. In the universe today very few materials exist that do not absorb. In this presentation we show how materials and architectures may be designed to modify the absorption of a material and therefore present more flexibility in lithography design and potential for new features and benefits in photomasks for high NA lithography.
12751-26
Author(s): Hiroshi Hanekawa, AGC Inc. (Japan); Taiga Fudetani, AGC Electronics Co., Ltd. (Japan); Takeshi Tomizawa, Yoshiaki Ikuta, AGC Inc. (Japan)
3 October 2023 • 12:05 PM - 12:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The EUV binary mask has been widely used since the EUV lithography was used for the high volume manufacturing of the leading edge semiconductor devices in 2019. The continuous advancement of the semiconductor devices requires the further improvements of the EUV lithography performance such as the resolution and imaging contrast. The EUV phase shift mask has been intensively investigated as one of candidate techniques to enhance the EUV lithography performance. However, the lithography performance of the EUV phase shift mask is relatively high sensitive to the phase shifter thickness, compared to the EUV binary mask, which is one of the potential concerns to implement the EUV phase shift mask. This paper will discuss about the dependence of the EUV lithography performance onto the phase shifter thickness and propose the unique EUV PSM blanks with less sensitive to the phase shifter thickness.
Session 6: Special Invited Topics on Mature Technologies
3 October 2023 • 1:45 PM - 3:15 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Kent H. Nakagawa, Toppan Photomasks, Inc. (United States), Henry H. Kamberian, Photronics, Inc. (United States)
12751-27
Author(s): Les B. Dahl, Bud T. Caverly, Toppan Photomasks, Inc. (United States)
3 October 2023 • 1:45 PM - 2:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Merchant photomask companies service the majority of the 14nm and greater nodes globally. These industry important nodes are facing mask supply challenges in the coming decade due to tool obsolescence and new organic growth. The photomask industry is facing significant equipment obsolescence for the mask tools that support mature technologies. Recent progress on equipment obsolescence issues will be reviewed. In addition, significant wafer capacity being added globally at mid and mature technologies (>=14nm) which will drive photomask demand. We will synthesize the tool availability and semiconductor growth factors into a forecasted demand for both mask equipment manufacturers and the merchant mask market and discuss the impact on overall semiconductor market.
12751-28
Author(s): Mohamed Ramadan, Christopher J. Progler, Michael Green, Henry H. Kamberian, Jinju Beineke, Photronics, Inc. (United States)
3 October 2023 • 2:00 PM - 2:15 PM PDT | Monterey Conv. Ctr., Steinbeck 2
12751-29
Author(s): Robert Eklund, Mikael L. Wahlsten, Mats O. Rosling, Martin Glimtoft, Peter Henriksson, Anders Svensson, Fredric Ihren, Mycronic AB (Sweden); Youngjin Park, Mycronic Co., Ltd. (Korea, Republic of)
3 October 2023 • 2:15 PM - 2:30 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Mycronic assessed the market for Laser Mask Writers and concluded that there was an opportunity if the product was reliable, had high uptime, was cost-efficient to compete with fully depreciated writers and was sustainable including having a small carbon footprint. To achieve this, the platform needed to be modern allowing to support flexibility in a rapidly changing environment and enable the possibility to develop new functionalities to meet future customer demands to last long, while keeping the development cost down. This paper describes the process and the decisions behind the SLX writer and how it has been received by the market. The future of the SLX will also be discussed and how key parameters like resolution and registration will be improved for future products.
12751-30
Author(s): Mathias Tomandl, Christof Klein, Hans Loeschner, Elmar Platzgummer, IMS Nanofabrication GmbH (Austria)
3 October 2023 • 2:30 PM - 2:45 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The Multi-Beam Mask Writer (MBMW) by IMS Nanofabrication has become the standard for photo mask patterning. The new MBMW-100 Flex extends its capabilities for mature and advanced mask node applications, offering advantages over traditional Variable Shaped Beam (VSB) writers a favorable cost of ownership. It covers a wide range of node requirements, supports various write modes, and brings the benefits of multi-beam technology to mature mask nodes. It enables the use of advanced correction techniques and low-sensitivity resists, improving pattern fidelity. Based on the successful MBMW-201, it ensures reliability and productivity. The MBMW-100 Flex is an exciting development in multi-beam technology, providing superior capabilities and overcoming VSB technology limitations.
12751-31
Author(s): Hideaki Hamada, Kazunari Egami, Shoji Kanai, Atma R. Gupta, Shingo Murakami, Katsuhiko Nakanishi, Ken-ichi Matsumura, Achintya K. Acharya, HTL Co. Japan Ltd. (Japan)
3 October 2023 • 2:45 PM - 3:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Since several years, there has been continuous focus on legacy tools for mask making and the critical requirements to address the end of life of equipment which has been workhorse for volume production. Toppan Photomask Company, Ltd. (TPC) has presented several papers on this subject and brought this to attention to many equipment manufacturers and other mask shops who have also shown keen interest to support the manufacturers who are willing to take up this task to provide solutions. HTL Co. Japan Ltd. and V Technology Japan has teamed up to manufacture equipment for the semiconductor mask making legacy tools and one such example is successfully manufacturing the mask repair system with support from TPC. Mask inspection, Registration, FIB repair and others also being addressed, and one attractive feature is to give an opportunity to enhance the performance of these new replacement tools by using AI software for defect classification for the system.
12751-32
Author(s): Ines A. Stolberg, Eike Linn, Ulf Weidenmueller, Matthias Slodowski, Vistec Electron Beam GmbH (Germany)
3 October 2023 • 3:00 PM - 3:15 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Photonics applications generate more and more interest and they are on the way from research to commercially available products. However, due to versatility and the currently related manufacturing volume of the potential applications, efficient patterning techniques are required. Vistec’s electron-beam lithography systems with Variable Shaped Beam (VSB) and Cell Projection (CP) provide a flexible solution to generate these kind of photonics structures even on large areas. The challenge for optical applications is to expose large-scale non-Manhattan layouts such as slanted gratings, circle arrays, or curved geometrical structures with high fidelity in an efficient way. To address these requirements Cell Projection as well as new and more efficient approximation strategies in the data preparation have been fully integrated in the e-beam writer and the related data preparation software.
Session 7: Mask Patterning and Defects
3 October 2023 • 3:45 PM - 5:05 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Ray Shi, KLA Corp. (United States), Dong-Seok Nam, ASML (United States)
12751-33
Author(s): Huaping Wang, Russ Raschke, Phil Glynn, Entegris, Inc. (United States)
3 October 2023 • 3:45 PM - 4:05 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
EUV pods are used to transport, store and protect EUV masks in the photo lithography processes of advanced semiconductor fabs. The EUV pod has two main functions, 1) protecting EUV masks from contamination and physical damage, 2) providing interface with automation to ensure reliable sensing and pick-and-place. This paper provides an overview of the requirements of the EUV pod, as well as how to achieve the above two main functions in design, and how to ensure the EUV pod continues to perform these two functions well in use. On the design, we will be discussion the dual pod architecture, material choice considerations, dimensions, and weight. On the preventive maintenance, we will cover pod cleaning and inspection. Comparison between a pellicle-capable EUV pod and a non-pellicle capable EUV pod will also be discussed.
12751-34
Author(s): Derk Brouns, Christian Cloin, Tahmid Hossain, Elena Nedanovska, ASML Netherlands B.V. (Netherlands)
3 October 2023 • 4:05 PM - 4:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Since the introduction of EUV, ASML and its industry partners have continuously improved the reticle defectivity levels in the volume manufacturing flows. In this paper we will show the progress over the years in reticle defectivity performance and what was done to achieve this. Next, an outlook of the defectivity improvements of the next product, NXE:3800 will be given. Finally, on the longer term, it will be shown how defectivity mitigation will be developed in the future platforms. In detail, these future developments extend the defect mitigations from the current cleanliness and flow optimizations further into the electrostatic realm. An overview will be given of the improvements planned in the EUV scanner, and the necessary changes needed on the EUV reticle infrastructure to fully benefit from these improvements. With all changes implemented it will be shown that electrostatic particle control can achieve a reticle defectivity reduction by more than 50%.
12751-35
Author(s): Michael Green, Photronics, Inc. (United States); Jed Rankin, Scott Halle, Martin Burkhardt, Romain Lallement, IBM Corp. (United States); Mohamed Ramadan, Henry H. Kamberian, Jinju Beineke, Chris Progler, Photronics, Inc. (United States)
3 October 2023 • 4:20 PM - 4:35 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As extreme ultraviolet lithography (EUVL) technology progresses, resolution enhancement techniques (RETs) including mask optical proximity correction (OPC) models and the addition of sub-resolution assist features (SRAFs) are now critical technology enablers. SRAFs are valuable tools to maximize the process window for a variety of critical layers which require both bright and dark field imaging. Regardless of mask tone, because of the short wavelength of EUV radiation, very small SRAFs are required, thus patterning SRAFs for EUVL poses significant mask process challenges. Patterning dark SRAFs for bright field masks involves the challenge of overcoming mechanical forces on these small structures that can cause feature toppling or collapse. In this study, we demonstrate a mask process capable of patterning dark SRAFs on mask at line widths below 25nm (4X) and assess the resulting impact on wafer performance.
12751-36
Author(s): Sang-Joon Cho, Byoung-Woon Ahn, Ah-Jin Jo, Park Systems Corp. (Korea, Republic of); Brian Grenon, Grenon Consulting, Inc. (United States); Yong-Woon Lim, Seung Yeon Sung, Dongchun Lee, Park Systems Corp. (Korea, Republic of); Stefan Kaemmer, Park Systems Corp. (United States)
3 October 2023 • 4:35 PM - 4:50 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
As semiconductor processes have been developed into nanometer-level processes, the transition from photo- to EUV-processes has accelerated for nano-pattern production. In line with this trend, the need for analytical techniques of sub-nanometer defects in 3D shapes and chemical components is significantly increasing. Correcting various types of defects in the EUV process becomes essential. We have developed defect characterization and defect-repairing techniques using nano-machining and AFM technology for the EUV and optical photomasks. The defects identified in the mask are primarily divided into a soft defect, which occurs during the process and sits randomly in the mask, and a hard defect, which mainly indicates a damaged or altered pattern. Based on the inline AFM system introduced as semiconductor inspection equipment, I would like to introduce the potential technologies to analyze the 3D shape and mechanical and chemical properties of defects occurring at the EUV or photomasks.
12751-37
Author(s): Kei Yamamoto, Kotaro Takahashi, FUJIFILM Corp. (Japan)
3 October 2023 • 4:50 PM - 5:05 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Wednesday Plenary Session
4 October 2023 • 8:20 AM - 9:40 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Session Chairs: Seong-Sue Kim, Seoul National Univ. (Korea, Republic of), Eric M. Panning, Lavorro Inc. (United States)
12751-500
Author(s): Linda K. Somerville, Micron Technology, Inc. (United States)
4 October 2023 • 8:20 AM - 9:00 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
The semiconductor industry is constantly evolving, and advanced OPC and mask technologies are at the forefront of this evolution. In this keynote address, we will explore the power of these technologies and their role in shaping the future of the industry. Photomask technology and quality significantly influence how well semiconductor chip design objectives are realized in the final product. From enabling higher resolution lithography to improving yield and reducing costs, advanced OPC and mask technology have the potential to revolutionize the semiconductor industry. Through practical examples, we will demonstrate the impact of these technologies and provide insights into the future of semiconductor manufacturing. Join us as we explore how advanced OPC and mask technology are shaping the future of the industry.
12751-504
Author(s): Ravi Mahajan, Intel Corp. (United States)
4 October 2023 • 9:00 AM - 9:40 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
Heterogeneous Integration (HI) is a powerful and crucial enabler for the continued growth of computing and communication performance. Advanced packaging technologies are critical enablers of HI because of their importance as compact, power efficient platforms. This talk will focus on the tremendous opportunities in different application environments and focus on the projected evolution of advanced packaging architectures. Interest in HI research has picked up in recent years and this opens up greater collaboration opportunities between academia and industry. Specific examples, showing how product implementations take advantage of currently available HI technologies, to provide an unprecedented level of performance, will be used to describe the challenges and opportunities in developing robust, next generation advanced package architectures. A broad scope roadmap of the future generated as part of an industry-academic collaboration will be discussed in this context to highlight the opportunities generated by HI. Opportunities in physical interconnect scaling, an important part of the HI Roadmap will be discussed in detail with a focus on processes to create fine pitch, high performance interconnects.
Session 8: EUVL Extension (Low-NA): Joint Session with Photomask and EUVL Conferences
4 October 2023 • 10:05 AM - 11:55 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Session Chairs: Bryan S. Kasprowicz, HOYA Corp. USA (United States), Bruno La Fontaine
12750-31
Author(s): Paolo A. Gargini, IEEE (United States)
4 October 2023 • 10:05 AM - 10:35 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
The International Roadmap for Devices and Systems (IRDS) is paving the way for CHIPS ACTS around the world! Paolo A. Gargini IEEE-Life Fellow JSAP International Fellow Chairman IRDS Shortages of many semiconductors during Pandemic triggered multiple CHIPS Acts around the world to boost domestic manufacturing. Before taking any action a reliable and foundational knowledge baseline was deemed necessary and this search led to the IRDS. Both Japan and Europe adopted in 2021/22 the IRDS to forecast future semiconductors’ requirements. More than 1,000,000 IRDS international page visits were cumulatively registered by the end of 2022. Recently NIST published a White Paper in the USA adopting many recommended made by the IRDS and even fully embraced the recommendation to domestically establish the type of organizational structure that led to the successful implementation of the ITRS before. Impact of various IRDS guided Chips Acts on the semiconductor industry will be presented.
12751-38
Author(s): Shy-Jay Lin, Chien-Min Lee, Yen-Liang Chen, Kuo-Lun Tai, Lee-Feng Chen, Chien-Chao Huang, Frankie F. G. Tsai, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan)
4 October 2023 • 10:35 AM - 10:55 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
The high numerical aperture EUV exposure systems aim to target a 16-nm pitch to extend Moore's law throughout the next decade1. However, thinner photoresist layers and worsened stochastic effects2 due to photons hitting the wafer at a shallower angle is a major concern. Furthermore, the projection optics utilize an anisotropic reduction factor, which remains an open issue, requiring a dual "half-field" mask exposure sequence or a 12-inch mask for each high-NA EUV layer. Therefore, the use of attenuated phase-shift masks (APSM) to extend 0.33NA to a 28-nm pitch becomes relevant. We will discuss the prospects on optical properties refractive index (n,k) optimization with material selection, feasibility of achieving a 28-nm pitch, 3D effect mitigation and the impact of mask tonality (dark tone vs clear tone). Finally, the challenges on the needs of new APSM materials that meet the mask process requirements the experimental results on the Ru-based candidates will be shown..
12751-39
Author(s): Takahiro Onoue, Naoki Hayase, Kazutake Taniguchi, Hitoshi Maeda, Teiichiro Umezawa, HOYA Corp. (Japan)
4 October 2023 • 10:55 AM - 11:15 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
EUV lithography was successfully launched with the first generation of EUV mask – TaBN based binary mask. However, the industry requires comprehensive performance advances such as reflectivity, mask manufacturability and durability on mask and in EUV scanner. In this paper, we present the experimental results to explore novel materials for EUV binary mask.
12751-40
Author(s): Elba Gomar-Nadal, Malahat Tavassoli, Kowtilya Bijjula, Intel Corp. (United States); Stuart Sherwin, Matt Hettermann, Christian Wilson, Feng Dong, Dave Houser, Alexander Khodarev, Chami Perera, Patrick Naulleau, EUV Technology (United States)
4 October 2023 • 11:15 AM - 11:35 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
With EUV Attenuated Phase Shift Masks (aPSMs) rapidly approaching maturity, actinic metrology soon will be required to ensure phase accuracy, uniformity, and stability. The target phase shift is carefully designed to an optimized value, which is not π, but typically around 1.2π for optimal printing at critical feature sizes. The additional 0.2π phase shift is necessary due to mask 3D effects (M3D), which increasingly distort the near-field scattering and phase as the feature size is reduced. Therefore, EUV attenuated phase shift masks require metrology, not only for the relative Fresnel phase shift between large-area multilayer and absorber regions, but also for the feature-dependent pattern phase shift in the near-field scattering. We demonstrate a metrology solution for measuring the in-pattern phase shift using spectroscopic variable angle scatterometry. The measurements are performed using the commercially available EUV Tech ENK (EUV n/k tool), based on a compact continuously tunable laser-produced plasma light source. In this presentation we describe experiments validating the accuracy and precision of actinic scatterometry-based pattern phase measurements conducted on the ENK
12750-32
Author(s): Kanzo Kato, Lior Huli, Nathan Antonovich, David Hetzer, Alexandra Krawicz, Nayoung Bae, Eric Liu, Akiteru Ko, TEL Technology Ctr., America, LLC (United States); Satoru Shimura, Shinichiro Kawakami, Dinh Conque, Tokyo Electron Kyushu Ltd. (Japan); Takahiro Kitano, Seiji Nagahara, Tokyo Electron Ltd. (Japan); Luciana Meli, Indira Seshadri, Martin Burkhardt, Karen Petrillo, IBM Corp. (United States); Steven Grzeskowiak, TEL Technology Ctr., America, LLC (United States)
4 October 2023 • 11:35 AM - 11:55 AM PDT | Monterey Conv. Ctr., Steinbeck 2/3
Show Abstract + Hide Abstract
To mitigate pattern defectivity and roughness at lithography and etch process and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns. The ESPERT (Enhanced Sensitivity develoPER Technology) technique has been developed and optimized to fulfil this novel development need. The ESPERT has demonstrated a capability that can enhance the developing contrast between the EUV exposed and unexposed areas. This paper reviews 23 nm pitch line and space and sub-40 nm pitch pillars and hole patterns were realized by optimized illuminators with 0.33 NA single exposure, and we will show the ESPERT helped reduce the minimum critical dimension size, defectivity and roughness at the finer patterns.
Session 9: Mask Metrology
4 October 2023 • 1:20 PM - 3:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Byung Gook Kim, E-SOL, Inc. (Korea, Republic of), Thomas Franz Karl Scheruebl, Carl Zeiss SMS Ltd. (Israel)
12751-41
Author(s): Takeo Watanabe, Tetsuo Harada, Shinji Yamakawa, Univ. of Hyogo (Japan)
4 October 2023 • 1:20 PM - 1:40 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The program of the research and development (R&D) on the EUV lithography has begun at the Laboratory of Advanced Science and Technology for Industry, Himeji Institute Technology (HIT) (present University of Hyogo) using the NewSUBARU synchrotron light source since 1996. For the evaluation of EUV masks, it was prepared that 1) the in-band reflectometer, 2) the bright field EUV microscope for the defect inspection of EUV masks, and 3) the EUV coherent scatterometry microscope for the defect characterization of EUV masks. In addition, the large reflectometer for the measurement of a large collector mirror for the EUV-laser-produced-plasma light source was installed at the BL10B beamline. Recently, it is prepared that 1) the OoB reflectometer for the EUV mask evaluation at BL03, and 2) the EUV irradiation tools in hydrogen atmosphere up to 70 Pa and EUV power up to 30W/cm2 on a sample to evaluate the radiation hardness of the materials which is used for the EUV masks and pellicles at BL09.
12751-42
Author(s): Victor Soltwisch, Till Biskup, Physikalisch-Technische Bundesanstalt (Germany)
4 October 2023 • 1:40 PM - 2:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
12751-43
Author(s): Jiun-Lung Lu, Chien-Hsing Lu, Hsin-Fu Tseng, Chih-Wei . Wen, Chun-Hung Chen, Yi-An Huang, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Yung Sheng Chang, E Ink Holding Inc. (Taiwan); Sagar V. Trivedi, Danping Peng, TSMC North America (United States); Takayuki Morisawa, Hiroki Miyai, Lasertec Corp. (Japan)
4 October 2023 • 2:00 PM - 2:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The EUV reticle masking process has revolutionized the creation of intricate integrated circuits, but ensuring defect-free control and evaluation is challenging. Our team successfully developed the EUV Actinic Mask Review System (AMRS ) to address this, utilizing a stable LPP EUV source, specialized SMO, and automation EUV defect analysis platform. This solution addresses all EUV repaired and potential defects, including 18nm HP L/S, ML defects, and even through EUV pellicle defects in technology nodes N5, N3, and beyond, representing a significant advancement in ensuring the quality and reliability of TSMC EUV reticle making.
12751-44
Author(s): Paolo Ansuinelli, Benjamin Bejar, Yasin Ekinci, Iacopo Mochi, Paul Scherrer Institut (Switzerland)
4 October 2023 • 2:20 PM - 2:35 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Ptychography is an attractive option for actinic patterned mask inspection (APMI) owing to its robustness, its relative ease of implementation, and the absence of costly optical lenses that are difficult to manufacture in the EUV to hard x-ray regions of the electromagnetic spectrum. Despite these advantages, ptychography is challenged by few limitations which hamper its throughput in practical applications. Both the data acquisition and the iterative reconstruction steps are time-consuming, posing considerable issues towards the potential deployment of ptychography microscopes in industrial facilities. In this paper, we present our recent results on the study of deep learning to achieve fast, high quality and phase-sensitive reconstruction of EUV masks. We discuss our Deep Neural Network (DNN) design and the pipeline for both the DNN reconstruction and the incorporation of prior information in the DNN design/optimization problem. We present results based on simulations data.
12751-45
Author(s): Deepan Kishore Kumar, Varun Mohan, Hatsey W. Frezghi, Adam A. Seeger, Malahat A. Tavassoli, Intel Corp. (United States); Masayuki Kuribara, Advantest Corp. (Japan); Kiyoshi Oura, Wataru Ito, Advantest America, Inc. (United States); Soichi Shida, Tatsuro Okawa, Advantest Corp. (Japan); Mark A. Sheppard, Advantest America, Inc. (United States); Toshimichi Iwai, Advantest Corp. (Japan)
4 October 2023 • 2:35 PM - 2:50 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Anamorphic High-Numerical Aperture (NA) EUV photomask manufacturing presents some unique challenges and opportunities in Critical dimension (CD) Scanning electron microscope (SEM) metrology. Novel methods of beam scanning condition are needed to improve image resolution and reduce image blurring to enable reliable metrology for the curvilinear mask era. Additionally, electron optics stigmation monitoring plays a major role in ensuring the horizontal to vertical (X-Y) CD Average to target (ATT) tool matching is not drifting due to aberrations, which are key for anamorphic EUV mask metrology. We present the key metrology advances needed for next generation CD-SEM tools for High NA EUV photomask metrology, such as automated column optics monitoring, shadow reduction scan, design-based site focusing, high degree of measurement precision better than 0.5 nm, charge mitigation capabilities, high Throughput (TPT), enhanced stage performance accuracy, among others.
12751-46
Author(s): Stuart Sherwin, Matt Hettermann, Dave Houser, Chami Perera, EUV Technology (United States); Patrick Naulleau, EUV Technology (United States), The Ctr. for X-Ray Optics, Lawrence Berkeley National Lab. (United States)
4 October 2023 • 2:50 PM - 3:05 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
With EUV attenuated phase shift absorbers rapidly approaching maturity, actinic metrology soon will be required to ensure phase accuracy, uniformity, and stability. The target phase shift for these absorbers is carefully optimized to a value typically around 1.2pi for optimal printing. The additional 0.2pi is necessary due to mask 3D effects (M3D), which increasingly distort the near-field scattering and phase as the feature size is reduced. Therefore, EUV attenuated phase shift masks require phase metrology not only for large-area multilayer and absorber, but also for feature-dependent in-pattern phase. We demonstrate in-pattern phase measurement using spectroscopic variable angle scatterometry with the commercially available EUV Tech ENK (EUV n/k tool). We describe experiments validating the accuracy and precision of actinic scatterometry-based pattern phase measurements conducted on the ENK platform through direct comparison to synchrotron reference scattering measurements.
12751-47
Author(s): Yifei Yu, Jinghua Zeng, Le Wang, Wei Chen, KLA China (China); Christian Holl, ; Claire Lu, KLA China (China); Phil Cha, Vic Chang, Robert Tsai, Jerry Wei, Lynne Yuan, Quanyi Mask Optoelectronics Technology (Jinan) Co., Ltd. (China)
4 October 2023 • 3:05 PM - 3:20 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The paper proposes extended applications of photomask registration tool LMS IPRO to measure photomask critical dimension. A calibration model with measurement range from 300nm to 10µm was obtained to cover low end photomask critical dimension measurement, sharing loading of current CD tools. This study demonstrates that LMS IPRO can meet low end mask CD measurement specifications. This study also highlights the applications of LMS IPRO in three areas: (a) writer qualification mask uniformity verification; (b) concurrent registration and CD measurement, and (c) pellicle mask CD measurement. These extended applications streamlined the photomask metrology process and achieved great efficiency.
All-Symposium Panel: How low can k1 go in EUV lithography?
4 October 2023 • 4:00 PM - 5:45 PM PDT | Monterey Conv. Ctr., Steinbeck 3
Panel 4:00-5:30PM

Moderators:
Patrick Naulleau, The Ctr. for X-Ray Optics (United States)
Seong-Sue Kim, Seoul National Univ. (Republic of Korea)

Panelists:
Anton DeVilliers, TEL (Japan)
Chan Hwang, Samsung (Republic of Korea)
Claire van Lare, ASML (Netherlands)
Kurt Ronse, IMEC (Belgium)
Marie Krysak, Intel Corporation (United States)

In this year's all-symposium panel discussion, we would like to aim to delve into the fascinating world of EUV lithography. As we all know, the industry has made tremendous strides in implementing EUV lithography for IC chip HVM since the second half of 2019. However, despite these remarkable advancements, we must acknowledge the significant gap that remains in the k1 factor, the process capability index of resolving power, compared to ArF-immersion technology. Given this current reality, our focus for this year's discussion centers around the theme: "How low can k1 go in EUV lithography?"

Awards 5:45-6:00 PM
Session 10: Mask Design and Corrections
5 October 2023 • 8:20 AM - 9:45 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Seung-Hune Yang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of), Jed H. Rankin, IBM Corp. (United States)
12751-48
Author(s): Yunqiang Zhang, Linghui Wu, Jian Rao, Yongdong Wang, Synopsys, Inc. (United States)
5 October 2023 • 8:20 AM - 8:40 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Curvilinear OPC has been developed for improved process window, more freedom in mask constraint and better MRC enforcement. Combined Curve OPC with ILT can further improve mask synthesis flow. We will demonstrate few hybrid curve OPC/ILT flows. Correction of all angle layout is challenging. We will present our Curve OPC in handle of all angle layout. We will also present our high NA Curve OPC solution. Curve OPC can be deployed in co-optimization flow like litho-etch OPC, process window aware OPC, etc.
12751-49
Author(s): Ai Kaneko, Taigo Fujii, Itaru Ono, Ahmad Syukri Bin Abdollah, Yohei Torigoe, Nippon Control System Corp. (Japan); Mincheol Kim, Sukho Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Eok Bong Kim, Samsung Electronics Co., Ltd (Korea, Republic of); Sanghee Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
5 October 2023 • 8:40 AM - 9:00 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Curvilinear mask is getting a key technique for resolution enhancement with the progress of feature size shrinkage and multi-beam mask writer adoption. It is known that pattern fidelity degrades at high curvature region by process blur. This degradation leads to various issues such as curvature loss and poor CD quality on printed masks. Furthermore, due to anamorphic lithography systems, high-NA mask data is likely to contain higher curvatures compared to 0.33NA mask. Therefore, improving pattern fidelity on high curvature region using mask process correction (MPC) is becoming more important. In this paper, we present a novel MPC method which deforms shape of high curvature region of any curvilinear pattern aggressively to achieve good fidelity. Using simulation and printed results of ellipse patterns with various curvatures, we will show that this MPC technique can improve pattern fidelity in regions of high curvature.
12751-50
Author(s): Soeun Shin, Boram Lee, Sukho Lee, Eokbong Kim, Mina Kim, Jin Choi, Sanghee Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Yutaro Sato, Ahmad Syukri, Itaru Ono, Yohei Torigoe, Nippon Control System Corp. (Japan)
5 October 2023 • 9:00 AM - 9:15 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Extreme Ultraviolet (EUV) mask has Critical Dimension (CD) errors from various kinds of sources. Those errors are controlled for and corrected by proper correction methods such as fogging effect correction (FEC), loading effect correction (LEC), proximity effect correction (PEC), mask process correction (MPC) and so on. The corrections are mostly done independently. For example, conventionally CD nonlinearity has been the scope of mask process correction (MPC) and proximity effect has been that of proximity effect correction (PEC) because the interaction range considered is different from each other. But in order to improve the CD quality, we may need to consider the residual errors of PEC in MPC as well. For this purpose, we evaluated a new MPC method, named PEC-aware MPC, which considers writer's internal PEC for both model optimization and correction. In this paper, we introduce PEC-aware MPC which simultaneously suppresses PEC residual errors and nonlinear errors.
12751-51
Author(s): Chih-I Wei, Siemens EDA (Belgium); Rehab K. Ali, Siemens EDA (Egypt); Andrew Burbine, Fan Jiang, Germain Fenger, Siemens EDA (United States); Seulki Kang, Kotaro Maruyama, Yuichiro Yamazaki, TASMIT, Inc. (Japan); Sujan Sarkar, Matteo Beggiato, Youssef Drissi, Werner Gillijns, Christophe Beral, Sandip Halder, Gian Lorusso, Philippe Leray, imec (Belgium)
5 October 2023 • 9:15 AM - 9:30 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Pattern sampling for good OPC models becomes more complex when we consider the nature of a full curvilinear photomasks. Due to the continuously changing angle of post-OPC edges, all angle diffraction spectrum are created in the scanner pupil entrance. For modeling test patterns to cover the possible OPC shapes, various dimensions and curvatures are taken into consideration in the test pattern design. Compared to Manhattan patterns, curvilinear patterns in OPC model calibration requires a multitude of variables to obtain the same coverage. To make the data sampling more effective and efficient, a machine learning-based fuzzy classification of feature vectors is applied. SONR is used to cluster similar patterns based on factors directly related to printability. Then, a representative cluster is chosen to guarantee full coverage of different patterns on the full chip level. These patterns are then used to calibrate OPC models.
12751-52
Author(s): Lianghong Yin, Marko Chew, Shumay Shang, Le Hong, Fan Jiang, Ilhami Torunoglu, Siemens EDA (United States)
5 October 2023 • 9:30 AM - 9:45 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In this paper, we present our innovative work of using Siemens EDA Calibre® Machine Learning (ML) assisted Optical and Process Correction (OPC) verification tool to effectively capture all kinds of hotspots using one single constraint across the whole layout for each failing mechanism, for example one constraint for bridging failing mechanism, one constraint for pinching failing mechanism, etc. The pattern differentiation is accomplished by ML classifier. The output data volume is controlled by using classification limiting function instead of tuned constraints. This work significantly improves the effectiveness of capturing and not missing real hotspots yet simplifies the OPC verification recipe setup and engineering workload. The unique hotspots count on full chip using this new strategy can be at thousand level. This makes the Machine Learning assisted hotspot capture new strategy practical to prepare hotspot monitoring points for wafer verification, for example SEM inspection.
Session 11: Mask Writers
5 October 2023 • 10:15 AM - 12:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Session Chairs: Frank E. Abboud, Intel Corp. (United States), Jin Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
12751-53
Author(s): Christoph Spengler, Christof Klein, Hans Loeschner, Elmar Platzgummer, IMS Nanofabrication GmbH (Austria)
5 October 2023 • 10:15 AM - 10:35 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Since IMS Nanofabrication introduced the MBMW series of multi-beam mask-writers in 2016, multi-beam technology has continued to improve and mature. Since then, multi-beam has increasingly become the standard solution for leading-edge mask patterning due to its superior writing performance on medium and low sensitivity resists, as well as its capability to pattern even the most complex curvilinear structures at maximum throughput - especially for EUV masks. Following the release of evolutionary improvements with the MBMW-201 in 2019 and the MBMW-261 in 2022, IMS Nanofabrication releases its third multi-beam tool-generation in 2023: the MBMW-301 – a tool that is aimed at the 2nm-node and High-NA EUV mask production. This presentation provides an overview of the key features of IMS Nanofabrication's latest generation of multi-beam mask-writers and discloses recent write performance results.
12751-54
Author(s): Hiroshi Matsumoto, Jumpei Yasuda, Tomoo Motosugi, Hayato Kimura, Michihiro Kawaguchi, Yoshinori Kojima, Hiroshi Yamashita, Masato Saito, Takao Tamura, Noriaki Nakayamada, NuFlare Technology, Inc. (Japan)
5 October 2023 • 10:35 AM - 10:55 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The multi-beam mask writer MBM-3000 is launched in 2023 for next generation EUV mask production. It is equipped with 12-nm beamlets and a powerful cathode that brings out a current density of 3.6 A/cm2, in order to achieve better resolution and writing speed than our current writer MBM-2000PLUS. New optics with a next-generation blanking aperture array (BAA) is installed to have a 2X beam count. A data generation system has a 2X speed so that it can handle layouts of next-generation EUV masks without a data processing overhead. In this paper, we will introduce a design and actual writing performances of the MBM-3000 from factory acceptance tests and performance tests.
12751-55
Author(s): Patrick Lee, Frankie F. G. Tsai, Harry Ku, M. D. Cheng, Joey Wang, Peter Chang, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Jacqueline Atanelov, Anh-Dai Dang, Samuel Kvasnica, IMS Nanofabrication GmbH (Austria)
5 October 2023 • 10:55 AM - 11:15 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
A new MEMS process has been developed to manufacture Aperture Plate System (APS) that’s a core engine for Multi-Beam Mask Writer (MBMW). While moving to the advanced technology nodes of CMOS devices, the needs for smaller features and extensive Optical Proximity Correction (OPC) are more imperative.Therefore, smaller pitch, higher resolution, larger amount of data transfer and faster communication are required for the next generation of MBMW. In this study, high aspect ratio electrodes with vertical profile have been formed by using emerging MEMS processes to fulfill the criteria of small pitch and high resolution.
12751-56
Author(s): Christopher Leavitt, Michael Hunsweck, Florence O. Eschbach, Yang Liu, Kyle T. Vogt, Jun Kim, Andrew T. Sowers, Frank E. Abboud, Intel Corp. (United States); Mikael L. Wahlsten, Robert Eklund, Mats O. Rosling, Peter Henriksson, Anders Svensson, Fredric Ihren, Mycronic AB (Sweden); Youngjin Park, Mycronic Co., Ltd. (Korea, Republic of)
5 October 2023 • 11:15 AM - 11:30 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
The high throughput time that can be achieved with laser-based lithography tools provide a tangible benefit for exposure of large areas with loose CD requirements. In this paper we present a recently developed DUV laser-based photolithography tool, supplied by Mycronic, that has been installed and qualified for optical and EUV lithography process at Intel Mask Operations. The tool utilizes a solid-state laser system for low power consumption and sustainable operation, modern electronics providing extensive logging capabilities, and an offline datapath that enables write times independent of pattern complexity. It also features multi-pass printing options that can be selected based on CD and REG requirements and throughput time, altogether providing flexibility and low cost of ownership. Tool specifications for critical dimensions and registration results will be presented in addition to tool matching and qualification data.
12751-57
Author(s): Shingo Yoshikawa, Tsukasa Abe, Yukihiro Fujimura, Mei Ebisawa, Izumi Hotei, Issei Sakai, Masataka Yamaji, Yasutaka Morikawa, Tatsuya Tomita, Koji Ichimura, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan)
5 October 2023 • 11:30 AM - 11:45 AM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
In semiconductor manufacturing for the 3nm node, 2nm node and beyond generations, Extreme ultraviolet lithography (EUVL) is an essential technology, and within that, photomask technology plays an important role. Currently, photomasks for EUVL are manufactured with a multi beam mask writer (MBMW) that uses over 200,000 electron beams to achieve high efficiency and high precision. However, it is said that the chemical amplification resist for EB lithography has already reached its limit, and forming a 10nm pattern on a mask is extremely difficult. Last year, We reported mask development using Ultra High Resolution CAR resists. In this report, we present the latest mask development status using chemical amplification resists and alternative resists to achieve even higher resolution.
12751-58
Author(s): Ingo Bork, Siemens Digital Industries Software, Inc. (United States); Rachit Sharma, Malavika Sharma, Siemens Digital Industries Software, Inc. (India); Archana Rajagopalan, Siemens EDA (India); Bhardwaj S. Durvasula, Kushlendra Mishra, Siemens Digital Industries Software, Inc. (India); Mary Zuo, Siemens Digital Industries Software, Inc. (United States)
5 October 2023 • 11:45 AM - 12:00 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Show Abstract + Hide Abstract
Critical Dimension Uniformity (CDU) of photomasks written with 50 kV e-beam writers is very sensitive to scattering and mask process effects such as etch loading. The interaction distances of those effects vary by about 6 orders of magnitude and range from around 10 nm to around 10 mm. With the introduction of 50 kV e-beam writers, tool manufacturers also developed methods for compensating the most significant effects, namely backscattering, often called PEC for Proximity Effect Correction and fogging (also called FEC for Fogging Effect Correction). This paper describes a method for integrating the e-beam writer dose modulation into the MPC engine, so that the actual exposure dose at any given location on the mask is taken into account when applying shape based, short-range MPC. With this extension of MPC, a fully consistent short- and long-range correction is possible, even when the actual long-range corrections are applied on the e-beam writer and not directly during offline MPC.
Closing Remarks
5 October 2023 • 12:00 PM - 12:10 PM PDT | Monterey Conv. Ctr., Steinbeck 2
Closing remarks by conference chairs Ted Liang and Seong-Sue Kim
Conference Chair
Intel Corp. (United States)
Conference Co-Chair
Seoul National Univ. (Korea, Republic of)
Program Committee
Intel Corp. (United States)
Program Committee
UBC Microelectronics (Germany)
Program Committee
Siemens EDA (United States)
Program Committee
Entegris, Inc. (United States)
Program Committee
SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
Program Committee
D2S, Inc. (United States)
Program Committee
imec (Belgium)
Program Committee
Lasertec USA Inc. (United States)
Program Committee
Dai Nippon Printing Co., Ltd. (Japan)
Program Committee
Photronics, Inc. (United States)
Program Committee
HOYA Corp. (United States)
Program Committee
ESOL, Inc. (Korea, Republic of)
Program Committee
IBM Thomas J. Watson Research Ctr. (United States)
Program Committee
Synopsys, Inc. (United States)
Program Committee
Meta (United States)
Program Committee
Toppan Photomasks, Inc. (United States)
Program Committee
ASML (United States)
Program Committee
HOYA Corp. (Japan)
Program Committee
TSMC North America (United States)
Program Committee
IBM Corp. (United States)
Program Committee
Canon Nanotechnologies, Inc. (United States)
Program Committee
Carl Zeiss SMS Ltd. (Israel)
Program Committee
KLA Corp. (United States)
Program Committee
SK Hynix System IC Inc. (Korea, Republic of)
Program Committee
ASML Netherlands B.V. (Netherlands)
Program Committee
Applied Materials, Inc. (United States)
Program Committee
FUJIFILM Corp. (Japan)
Program Committee
SAMSUNG Electronics Co., Ltd. (Korea, Republic of)
Program Committee
NuFlare Technology, Inc. (Japan)
Program Committee
Keysight Technologies, Inc. (United States)