Photosensitized chemically amplified extreme-UV/electron beam resist

Combination lithography using electron-beam or extreme-UV pattern exposure with UV flood exposure can achieve a near-10-fold increase in resist sensitivity with no loss in other properties.
13 March 2014
Seiichi Tagawa

Lithography is a key technology for high-volume manufacturing of semiconductor devices. Extreme-UV (EUV) lithography has been the most promising contender for next-generation lithography, although electron beam (EB) lithography is also a candidate. EUV/EB techniques generally have higher resolution than optical lithography, which requires complex and costly techniques such as multiple patterning to compensate for its lower resolution. The most critical issue in EUV/EB lithography techniques remains the low throughput (number of wafers per hour). Throughput is mainly determined by the exposure light intensity and resist sensitivity. EUV light sources are urgently required, but development has been slow, and the source intensity is still very low for high-volume manufacturing. Light-source intensity and resist sensitivity have a complementary relationship: that is, EUV resist sensitivity must be increased to compensate for the low intensity of the EUV light source. However, dramatically improving the resist sensitivity of chemically amplified resist (CAR)—the only resist that can be used for high-volume manufacturing—is a persistent challenge because of the so-called resolution/line-width roughness/sensitivity (RLS) trade-off: see Figure 1(1). This is a fundamental limitation to simultaneously achieving low roughness, high sensitivity, and high resolution in any standard CAR process.1

Purchase SPIE Field Guide to Optical LithographyThe RLS trade-off model consists of two parts.1 First, the aerial image, properly normalized, is taken as the probability distribution for where a photon will be absorbed and cause an acid to be released. The second part deals both with the acid-catalyzed deprotection reactions induced by thermal diffusion and the resist development process. The acid distribution part is oversimplified. Actually, the very dramatic change in the acid-generation reaction mechanisms from photochemistry to radiation chemistry has been made clear by time-resolved spectroscopy and product analysis.2 Acid-generation efficiency is determined by the absorption efficiency of the incident energy and the quantum yield of acids.3 If the initial acid yield increases from (A) to (B) with the same acid distribution as in Figure 1(2), the RLS trade-off is improved from (A) to (B) in Figure 1(1). However, this orthodox approach is inadequate to compensate for a more than 10-fold-lower intensity of the EUV source (that is, 10-fold lower than the light intensity required for the reaction to take place) even with improvement of the physical and chemical limits.3


Figure 1. Schematic drawing of (1) resolution/line-width roughness/sensitivity (RLS) trade-off, and (2) initial distributions and yields of acid. If initial acid yield increases from (A) to (B) with the same distribution, the RLS trade-off is improved from (A) to (B). LWR: Line-width roughness.

Accordingly, we must change the standard processes and materials of CARs. We must develop new sensitization enhancement mechanisms, including acid amplification by a nonthermal diffusion process at room temperature. In other words, we must use combination EB/EUV pattern exposure (lithography) together with secondary UV flood exposure. We call this pattern flood (PF) combination lithography of photosensitized CAR (PS-CAR). Figure 2 compares the conventional lithography process for high-volume manufacturing and the new PS-CAR process. The difference between them is the secondary UV flood exposure, and the kinds of resists used.


Figure 2. Schematic drawing of (a) conventional lithography for high-volume manufacturing and (b) lithography that combines pattern exposure with UV flood exposure (pattern flood, or PF, combination lithography) of photosensitized chemically amplified resist (PS-CAR).4 PEB: Post-exposure bake. HVM: High-volume manufacturing.

Figure 3 shows a schematic drawing of CAR acid generation by conventional single pattern exposure (A) and the new PS-CAR acid-generation process (B). This process produces photosensitizer and acid with the initial low-power pattern exposure, and then amplifies the acid with an intense UV flood exposure. After the initial pattern exposure, the UV flood exposure induces acid only in the initial pattern exposure area. The nonpatterned exposure area is unaffected because PS-CAR has no absorption in the wavelength region of UV flood exposure. The acid amplification induced by UV flood excitation of PS and the nonthermal diffusion processes occur at room temperature. The distribution profile of the much higher acid yields generated by the UV flood exposure is the same as that for the photosensitizer generated by the pattern exposure, which can substantially improve the RLS trade-off.


Figure 3. Schematic drawing of (A) conventional lithography for high-volume manufacturing and (B) PF combination lithography of PS-CAR.4

We also achieved a sensitivity enhancement of more than a factor of 10 with respect to conventional EB single-exposure lithography, with no loss in spatial resolution.4 EB and EUV resists involve different energy absorption processes. But the resist sensitivities for EUV exposure can be easily predicted from the exposure results for EB lithography.5 Consequently, by evaluating the equivalent EB exposure, we get an idea of the reaction mechanism of EUV pattern exposure with PF combination lithography of PS-CAR.

The sensitization of the EUV resist reduces the required EUV intensity and, in turn, the EUV source development cost, EUV exposure system price, radiation damage to EUV optical components, and production cost of semiconductor devices. The new sensitivity enhancement processes can be applied not only to EB/EUV/argon fluoride pattern exposure but also to other types of pattern exposure. In the near future, we expect the PF combination lithography of PS-CAR to improve not only sensitivity and the RLS trade-off but also photon shot noise, as sophisticated new ideas are applied to this technique. Our recent progress in PF combination lithography of PS-CAR was reported at SPIE Advanced Lithography 2014.6 Our future research in this field will focus on the creation of new concepts of nanolithography and nanofabrication and their application to industry.


Seiichi Tagawa
Osaka University
Ibaraki, Japan

Seiichi Tagawa has published about 500 original papers in international journals, more than 100 of which are on resist materials and processes. Many of these have been presented at SPIE Advanced Lithography conferences.


References:
1. G. M. Gallatin, Resist blur and line edge roughness, Proc. SPIE 5754, p. 38, 2005. doi:10.1117/12.607233
2. S. Tagawa, S. Nagahara, T. Iwamoto, M. Wakita, T. Kozawa, Y. Yamamoto, D. Werst, A. D. Trifunac, Radiation and photochemistry of onium salt acid generators in chemically amplified resists, Proc. SPIE 3999, p. 204, 2000. doi:10.1117/12.388304
3. T. Kozawa, S. Tagawa, Radiation chemistry in chemically amplified resists, Jpn. J. Appl. Phys. 49, p. 030001, 2010.
4. S. Tagawa, S. Enomoto, A. Oshima, Super high sensitivity enhancement by photo-sensitized chemically amplified resist (PS-CAR) process, J. Photopolym. Sci. Technol. 26(6), p. 825, 2013.
5. T. G. Oyama, A. Oshima, D. T. Nguyen, S. Enomoto, M. Washio, S. Tagawa, Prediction of resist sensitivity for 13.5-nm EUV and 6.x-nm EUV extension from sensitivity for EBL, Proc. SPIE 8679, p. 86792A, 2013. doi:10.1117/12.2011442
6. S. Tagawa, A. Oshima, S. Enomoto, High-resist sensitization by pattern and flood combination lithography, Proc. SPIE 9048, 2014. (Submitted.)
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research