Proceedings Volume 6883

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics

cover
Proceedings Volume 6883

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 7 February 2008
Contents: 10 Sessions, 35 Papers, 0 Presentations
Conference: MOEMS-MEMS 2008 Micro and Nanofabrication 2008
Volume Number: 6883

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 6883
  • Micro- and Nanoreplication I
  • Nanofabrication I
  • Micro- and Nanoreplication II
  • Micro- and Nano-Optics
  • 3D Nanofabrication
  • Materials: Deposition and Etching
  • Nanofabrication II
  • Applications
  • Poster Session
Front Matter: Volume 6883
icon_mobile_dropdown
Front Matter: Volume 6883
This PDF file contains the front matter associated with SPIE Proceedings Volume 6883, including the Title Page, Copyright information, Table of Contents, the Conference Committee listing, and Plenary Paper.
Micro- and Nanoreplication I
icon_mobile_dropdown
Fabrication of nanophotonic structures for information processing
Sean M. Spillane, Qianfan Xu, David A. Fattal, et al.
Nanophotonic structures can be used to dramatically enhance interactions between light and matter. We describe some of our recent progress in fabricating optical nanostructures suitable for both classical and quantum information processing. In particular, we present our progress using nanoimprint lithography, a low cost nanoreplication method, to fabricate low loss photonic crystals.
Formation of antireflective structure on the surface of optical glass by molding
Kazuhiro Yamada, Makoto Umetani, Takamasa Tamura, et al.
Formation of a surface having a periodic subwavelength structure (SWS) is a well-known technique for reducing the Fresnel reflection of transmissive optical elements. We fabricated an anti-reflective structured (ARS) surface with a twodimensional periodic structure with the period of 300 nm on an optical glass by a precision molding process using a silica glass mold coated with a thin carbon film. The surface structure was formed on the mold using reactive ion etching (RIE) with fluorocarbon plasma. A thin chromium film was patterned using electron beam lithography and a wet etching process. The anti-reflective surface was formed on a phosphate glass with a deformation point of 412°C and a refractive index of 1.60 at a wavelength of 462 nm. The phosphate glass was molded at 420°C for 510 s under a pressure of 5 MPa. The height of the periodic structure on the mold was 550 nm and the height of that on the formed glass was 480 nm. Therefore, the filling rate of the phosphate glass to the mold was 87%. The surface reflectance of the glass was estimated as 0.56% at a wavelength of 462 nm, which was approximately 1/10 that of the optically polished surface.
Fabrication of plasmonic waveguides by nanoimprint and UV lithography
We present a nanoimprint lithography based method for the fabrication of plasmonic waveguides in the form of V-grooves in a metal surface which support propagation of channel plasmon polaritons (CPPs). The developed method is compatible with large scale production, easily adaptable to different device designs and offers wafer-scale parallel fabrication of plasmonic components. The metal quality is improved in terms of surface roughness when compared to previous demonstrations where grooves were made by direct milling of metal, and the design allows easy fiber access at both ends of the waveguide. We demonstrate the design, fabrication and scanning near-field optical characterization of channel plasmon polariton waveguides at telecom wavelengths. Optical characterization of the fabricated waveguides shows low-loss (propagation length ~ 120 μm) CPP guiding.
Advances in roll to roll processing of optics
Today, there are a number of successful commercial applications that utilize roll to roll processing and almost all involve optics; unpatterned film, patterned film, and devices on film. The largest applications today are in holograms, and brightness enhancement film (BEF) for LCD. Solar cells are rapidly growing. These are mostly made in large captive facilities with their own proprietary equipment, materials and pattern generation capability. World wide roll to roll volume is > 100M meters2 year-1, and generates sales of > $5B. The vast majority of the sales are in BEF film by 3M.
Nanofabrication I
icon_mobile_dropdown
Silicon photonic wire circuits for on-chip optical interconnects
William M. J. Green, Fengnian Xia, Solomon Assefa, et al.
The continued scaling of power performance in electronic hardware for high-performance computing is rapidly being limited due to the large power consumption and restricted throughput of traditional electrical interconnects. One possible solution is to replace conventional global interconnects with a CMOS compatible intra-chip optical network, based on Silicon-On-Insulator (SOI) photonic integrated circuits. While the bandwidth and power consumption advantages of SOI optical interconnects are potentially immense, ensuring the performance of chip-scale networks places stringent requirements upon the control of the manufacturing process, and its influence upon the operation of individual optical components. I will present recent work on the design, fabrication, and demonstration of various passive and electrooptic devices required for high speed optical interconnect applications, including high-order optical filters and modulators. Various aspects of the CMOS compatible fabrication process used at IBM Research for manufacturing SOI photonic wire circuits will be discussed, including waveguide loss, surface roughness, device dimensions, and microresonator frequency uniformity.
Metamaterials for free space on a chip applications
Uriel Levy, Maxim Abashin, Kazuhiro Ikeda, et al.
We present our recent progress on the design, fabrication and characterization of metamaterials that can be used as basic building blocks for "free space on a chip" nanophotonic system integration. These devices are realized by fabricating nanostructures with space variant duty cycle on Silicon on insulator (SOI) material platform. The devices are characterized with Heterodyne Nearfield Scanning Optical Microscope that allows a direct measurement of both amplitude and phase of the near field. Using the described concept, we show specific examples of light bending, focusing and splitting. A design for mode matching between two different waveguides is also shown.
Fabrication techniques for creating a thermally isolated TM-FPA (thermal microphotonic focal plane array)
A novel fabrication strategy has produced optical microring-resonator-based thermal detectors. The detectors are based on the thermo-optic effect and are thermally isolated from a silicon wafer substrate so as to maximize the temperature excursion for a given amount of incident radiation and minimize the impact of thermal phonon noise. The combination of high-Q, thermal isolation, and lack of Johnson noise offers thermal microphotonic detectors the potential to achieve significantly greater room temperature sensitivity than standard bolometric techniques. Several batch fabrication strategies were investigated for producing thermal microphotonic detectors using waveguide materials such as LPCVD Silicon Nitride (Si3N4) on Oxide and Silicon on Insulator (SOI). Fabrication challenges and loss reduction strategies will be presented along with some initial infrared detection results.
Toward sub-10-nm resolution zone plates using the overlay nanofabrication processes
Weilun Chao, Erik H. Anderson, Peter Fischer, et al.
Soft x-ray zone plate microscopy has proven to be a valuable imaging technique for nanoscale studies. It complements nano-analytic techniques such as electron and scanning probe microscopies. One of its key features is high spatial resolution. We developed an overlay nanofabrication process which allows zone plates of sub-20 nm zone widths to be fabricated. Zone plates of 15 nm outer zones were successfully realized using this process, and sub-15 nm resolution was achieved with these zone plates. We extend the overlay process to fabricating zone plates of 12 nm outer zones, which is expected to achieve 10 nm resolution. In addition, we have identified a pathway to realizing sub-10 nm resolution, high efficiency zone plates with tilted zones using the overlay process.
Micro- and Nanoreplication II
icon_mobile_dropdown
Microtransfer molding of SU-8 micro-optics
SU-8 is a very promising polymer for micro-optics. It is mechanically robust with high thermal and chemical resistance, has high transmission at visible and near-infrared wavelengths, and has relatively high refractive index after curing. While lithographic patterning of SU-8 is relatively common, molding of SU-8 is more difficult due to challenges with solvent removal and cross linking. In this paper, we discuss techniques for micromolding of micro- and nano-optics in SU-8. Elastomeric mold templates are first cast from master structures fabricated using standard techniques. The elastomeric templates are then used in low pressure molding processes to produce high-fidelity refractive and diffractive micro-optics in SU-8. The use of the elastomeric replica mold enables realization of a wider variety of optical surfaces than can be achieved with conventional lithographic patterning in SU-8, and further enables conformal fabrication of SU-8 micro-optics on non-planar surfaces. Molding processes and experimental results for both thin (diffractive) and thick (refractive) elements are presented. Replication of SU-8 micro-optics on both planar and non-planar surfaces, and hybrid processes combining molding and lithographic exposure are demonstrated.
Step and flash imprint process integration techniques for photonic crystal patterning: template replication through wafer patterning irrespective of tone
Mike Miller, Cindy Brooks, David Lentz, et al.
Photonic crystal structures in for example light emitting diodes (LED) have been demonstrated to improve performance by preferential mode coupling near the surface of the diode.1 Such demonstrations were limited by using direct write e-beam lithography due to long write times, a single tone and only small areas patterned for study. S-FIL technology provides a means to pattern entire wafers in a single imprint step using templates replicated by step and repeat (S&R) imprint2. Large area template replication by S-FIL/R has been described using S&R templates3. Photonic crystal based LED manufacturers prefer holes in substrates requiring pillar tone templates for S-FIL patterning. Pillar tone templates are not easily derived from the preferred e-beam tone for sub-200 nm template fabrication. Therefore step and repeat and/or whole wafer template replication by the combination of S-FIL and/or S-FIL/R can be used to produce the desired working template tone. These processes further enable the desired tone and wafer die layout for fully patterning wafers to their edge with no missing die or edge fields. The advantages of using S-FIL processes for template and wafer patterning are clear in that there is no tone preference required by the original e-beam generated pattern, which allows the preferred positive tone to be used for e-beam patterning of templates. The present work will describe template replication processes for the fabrication of either pillar or hole tone templates and subsequent wafer pattern processes, through oxide hard mask, producing both pillar and hole tone patterns. In summary process flows exist so that any e-beam written template tone can be used to produce either tone in replicated templates and/or patterned wafers.
Micro- and Nano-Optics
icon_mobile_dropdown
Nonplanar surface structures of inorganic materials fabricated by femtosecond laser lithography
H. Nishiyama, M. Mizoshiri, J. Nishii, et al.
Silica-based nonplanar surface structures were fabricated by use of femtosecond laser lithography-assisted micromachining (FLAM), which is a combined process of femtosecond laser lithography and plasma etching. Diffractive optical elements (DOEs) are widely used for photonic applications such as optical pickup, interconnection and so forth. Most DOEs have been produced by semiconductor fabrication process. Although this process is useful to form complicated fine structures, there exist two problems. First, it is rather difficult to fabricate nonplanar surfaces including slopes and curves, which is effective to enhance diffraction efficiencies of DOEs. Second, microstructures cannot be fabricated onto nonplanar substrates. In the FLAM, nonplanar patterns are directly written inside resists by use of femtosecond laser-induced nonlinear optical absorption. Then, the patterns are transferred to underlying silica glasses by CHF3 plasma. By use of FLAM, we successfully fabricated silica-based microFresnel lenses including curves and slopes on planar substrates and cross-grating structures onto a convex microlens. In particular, as for the latter, uniform grating structures with smooth surfaces were observed even at top and curved regions of the lenses. The FLAM is expected to be useful for the fabrication of highly functional DOEs such as diffractive/refractive hybrid microlenses.
Spin-on-glass smoothing of diamond turned optics for use in the extreme ultraviolet regime
Mirror substrates intended for use in the extreme ultraviolet (EUV) regime have exceedingly stringent requirements on surface finish. Rendering a substrate reflective at EUV wavelength and near normal angles of incidence requires these mirrors to be coated with high-quality multilayer coatings forming a Bragg reflector. These coatings typically have period requirements on the order of 7 nm. It is evident that any appreciable roughness on this scale would destroy the resonant behavior the Bragg structures significantly degrading the reflectivity of the mirror. A rule of thumb is that approximately 2% reflectivity is lost per Å of surface roughness on the substrate. These requirements significantly increase the cost and fabrication time for EUV optics. This is especially true when dealing with non-conventional shapes such as toroids and fly-eye mirrors. Here we present a spin-on-glass process capable of generating super-polished parts from inexpensive substrates. The method is used to render complex diamond-turned optics compatible for use in the EUV regime. The method is described in detail and experimental results are presented demonstrating the effectiveness of the process. Issues of figure control are also discussed.
Free-form machining for micro-imaging systems
Michael L. Barkman, Brian S. Dutterer, Matthew A. Davies, et al.
While mechanical ruling and single point diamond turning has been a mainstay of optical fabrication for many years, many types of micro-optical devices and structures are not conducive to simple diamond turning or ruling, such as, for example, microlens arrays, and optical surfaces with non-radial symmetry. More recent developments in machining technology have enabled significant expansion of fabrication capabilities. Modern machine tools can generate complex three-dimensional structures with optical quality surface finish, and fabricate structures across a dynamic range of dimensions not achievable with lithographic techniques. In particular, five-axis free-form micromachining offers a great deal of promise for realization of essentially arbitrary surface structures, including surfaces not realizable through binary or analog lithographic techniques. Furthermore, these machines can generate geometric features with optical finish on scales ranging from centimeters to micrometers with accuracies of 10s of nanometers. In this paper, we discuss techniques and applications of free-form surface machining of micro-optical elements. Aspects of diamond machine tool design to realize desired surface geometries in specific materials are discussed. Examples are presented, including fabrication of aspheric lens arrays in germanium for compact infrared imaging systems. Using special custom kinematic mounting equipment and the additional axes of the machine, the lenses were turned with surface finish better than 2 nm RMS and center to center positioning accuracy of ±0.5 μm.
Hollow waveguide optimization for fluorescence based detection
Evan J. Lunt, Brian S. Phillips, Cory J. Jones, et al.
Previously, we created antiresonant reflecting optical waveguides (ARROWs) with hollow cores that guide light through gas and liquid media. We have demonstrated that these ARROWs can be used in sensing applications with single particle sensitivity using fluorescence correlation spectroscopy. To increase sensitivity for single molecule sensing, we have improved our initial designs and fabrication methods to decrease ARROW background fluorescence and improve transitions between solid and hollow waveguides. Photoluminescence of ARROW layers creates background fluorescence that masks the desired fluorescence signals. To improve sensitivity, we have optimized the PECVD ARROW layers to minimize the photoluminescence of each layer. Sensing applications require that hollow waveguides interface with solid waveguides on the substrate to direct light into and out of test media. Our previous ARROW designs required light at these interfaces to pass through the anti-resonant layers. Although in theory, high transmission through ARROW layers can be achieved, in practice, passing through these layers has limited transmission efficiencies. A new design coats the top and sides of the hollow core with only silicon dioxide, allowing light at interfaces to pass directly from silicon dioxide into the hollow core. This new design exhibits good mode confinement in the hollow core.
3D Nanofabrication
icon_mobile_dropdown
Three-dimensional recording inside dielectrics for photonic applications
Saulius Juodkazis, Vygantas Mizeikis, Toshiaki Kondo, et al.
Three-dimensional (3D) structuring of polymers by tightly focused femtosecond laser pulses and interference is demonstrated. Photo-structuring by the tightly focused sub-1 ps laser pulses realizes a direct laser writing inside dielectric materials without self-focusing. Photo-polymerization of 3D photonic crystal templates with photonic stop bands in IR-spectral region has been achieved. Holographic photo-polymerization of photonic crystal templates with counter-propagating beams was numerically modeled. Three-dimensional structures with an axial period smaller than the lateral can be recorded using the counter-propagating beam alignment. Photo-polymerization of large-area polymeric structures with a high surface-to-volume ratio is demonstrated.
Fabrication of variable effective refractive index artificial media
Optical properties of periodic structures formed at the sub-wavelength scale differ significantly from those of the bulk materials in which these structures are formed. Prior research has shown that periodic structures at the subwavelength scale possess a polarization sensitive artificial effective refractive index. This effective index is dependent upon both the duty cycle for a constant period and the period to wavelength ratio. Artificial diffractive structures have been formed in structured media by spatially varying the duty cycle dependent refractive index variation. In this paper we describe a novel technique for the patterning and fabrication of sub-wavelength structures with the effective refractive index spatially varying across the optic using a combination of additive lithography and analog optics technology that our group has previously developed. A two dimensional grating was formed in the resist by delivering a partial exposure dose and superimposed with an analog intensity profile generated from a phase mask to saturate the resist exposure. The exposure was tailored such that the point of least intensity will still completely expose the photoresist in any of the holes in the array. The local size of the opening created upon development is dependent upon the amount of controlled over-exposure. The optic was then transferred into the desired substrate by dry etching. The exposure process is studied by modeling and diffractive and refractive structures with analog phase functions are demonstrated. The optical response of the fabricated structures as a function of duty cycle variation is analyzed by numerical modeling.
Three-dimensional chiral photonic crystals by direct laser writing
We review our recent work on three-dimensional chiral photonic crystals. High-quality polymeric three-dimensional spiral and layer-by-layer photonic crystals are fabricated via direct laser writing. Polarization stop bands for circularly polarized light are observed, leading up to a suppression of a factor 52 for one circular polarization with respect to the other. The transmittance for the passing polarization is as high as 95 % . These chiral elements are sandwiched between one-dimensional lamellae structures acting as quarterwave plates to form thin-film polarizers, which can be operated under perpendicular incidence.
Metallo-dielectric nanophotonic materials via direct laser writing and electroless metallization
Interest in three-dimensional (3D) metallo-dielectric photonic crystals (MDPCs) has grown considerably given their potential applications in optics and photonics. MDPCs can exhibit intriguing and potentially useful optical properties, including ultra-wide photonic bandgaps, engineered thermal emission, and negative refractive index. Yet experimental studies of such materials remain few because of the difficulties associated with fabricating 3D micron- and sub-micron-scale metallic structures. We report a route to MDPCs based on metallization of a 3D polymeric photonic crystal (PC) fabricated by multi-photon microfabrication (MPM). Polymeric PCs having face-centered tetragonal symmetry and micrometer-scale periodicity were created using a cross-linkable acrylate or epoxide pre-polymer. The resulting PCs were metallized by electroless deposition of silver or copper. Analysis of the metallized structures in cross-section by scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy shows that silver deposited conformally onto the entire micro-porous lattice. The dielectric and metallized PCs were characterized by Fourier transform infrared (FTIR) spectroscopy. The polymer photonic crystals exhibit a stop band with strong reflectance near 4 to 6 microns, depending upon the lattice period. In contrast, FTIR spectra of the metallized PCs show widened stop bands of nearly 6 microns and greater and maximum reflectance exceeding 90%. The appreciable broadening of the stop band due to the presence of the deposited metal is a result consistent with previously reported theoretical and experimental data for all-metallic 3D PCs. Thus, the approach reported here appears suitable for fabricating 3D MDPCs of many symmetries and basis sets and provides a path for integrating such structures with other micron-scale optical elements.
Materials: Deposition and Etching
icon_mobile_dropdown
New approach for antireflective fused silica surfaces by statistical nanostructures
M. Schulze, H.-J. Fuchs, E.-B. Kley, et al.
In this work we present a new technique in order to create antireflective surfaces with the help of statistical nanostructures on fused silica. A specific plasma etching process was found to serve this purpose, as thereby nanostructures are created through self-masking. Under specific etching conditions the micro-contaminations create pillars on the surface with dimensions down to 20 nm, which act as an antireflective nanostructure. Those structures raise the transmission of fused silica in the wavelength range from 370 nm to 500 nm to more than 99.5% (both sides etched). Within the close UV range (from 200 nm up to 400 nm) the transmission can be raised by 4.8% to 97.1% on average.
Grayscale homogenizers in calcium fluoride
Jeffrey Lawrence, Lamarr Simmons, Andrew Stockham, et al.
Standard UV materials, such as ArF-grade fused silica, have impurities that lead to low transmittance, high absorption, and fluorescence when exposed to high irradiance. Calcium fluoride (CaF2), on the other hand, is a promising material for use as an optical diffuser for applications at 157nm, 193nm, and 248nm due to its low defect density and high transmission in the deep UV regime. In this paper, we discuss our method for fabricating Gaussian homogenizers in calcium fluoride using a grayscale photolithography process. Refractive microlens array homogenizers and Gaussian homogenizers have been fabricated in CaF2 and tested at 193nm for efficiency and uniformity. Using an excimer laser, uniformity results were obtained for cylindrical lens arrays in tandem and crossed to observe the homogeneity in an imaging configuration and for producing a square output. Efficiency, uniformity, and zero order measurements are provided for the Gaussian homogenizers.
Spectroscopic ellipsometry characterization of silicon/silicon-dioxide superlattices for photoluminescence and electroluminescence
Tim Creazzo, Lindsay Prather, Brandon Redding, et al.
Silicon based light emitting materials are of particular interest for integrating electric and photonic devices into an allsilicon platform. The progress of nano-scale fabrication has led to the ability to realize silicon emitters based on quantum confinement mechanisms. Quantum confinement in nano-structured silicon overcomes the indirect bandgap present in bulk silicon allowing for radiative emissions. Silicon/silicon dioxide superlattices employ two-dimensional confinement leading to light emission. Strong photoluminescence (PL) has been demonstrated in Si/SiO2 superlattices, confirming the presence of quantum confinement effects. Our super lattice structures are grown using plasma enhanced chemical vapor deposition (PECVD) with alternating layers of silicon and silicon dioxide. Sub-10 nanometer periods are confirmed via transmission electron microscopy (TEM) and x-ray reflectivity (XRR) studies. However, consistent and predictable PL and electroluminescence (EL) relies on precise measurement and characterization of the deposition process. Spectroscopic ellipsometry (SE) offers a non-destructive extremely sensitive method of optical characterization which provides us with the required control. We present characterization of our superlattice structures using spectroscopic ellipsometry. The ellipsometer allows us to measure optical properties of the individual layers of ultra-thin silicon as a part of Si/SiO2 superlattices. We demonstrate the change in the imaginary part of the dielectric function and the bandgap for a-Si. We also generate deposition rate curves for very specific PECVD recipes and apply this information to further SE characterization and modeling of multi-period superlattice structures.
Nanofabrication II
icon_mobile_dropdown
Fabrication and testing of plasmonic optimized transmission and reflection coatings
A. A. Cruz-Cabrera, L. I. Basilio, D. W. Peters, et al.
We designed, fabricated, and tested surface-plasmon-based transmissive coatings in the MWIR (mid wave infrared) and LWIR (long wave infrared). This method offers certain advantages over current coatings technologies such as thin-film stacks and two-dimensional surface structuring (e.g. motheyes) while exploring an entirely different physical mechanism for achieving transmission. Thin-film stack technology relies on interference between layers of the stack, and often many layers are required for high efficiency performance. Two-dimensional surface structuring can optimize transmission over a broad spectral and angular domain1. Here the physical mechanism is an effective index matching between air and the substrate due to subwavelength surface features, such as tall pyramids. These pyramids must have a high-aspect ratio, resulting in a surface of many tall thin features, which may not be mechanically robust. In this work, we created a transmissive surface out of a metal skin perforated with an array of subwavelength apertures. The surface is the infrared analog of a frequency selective surface (FSS) common in the microwave regime. Such perforated metal surfaces are predicted to have nearly 100% transmission over selected wavelength and angular ranges. These ranges are determined by array geometry, period, and aperture size and shape, allowing the designer considerable freedom. Array geometry and aperture shape were investigated for tailoring spectral features.
Fabrication approaches for metallo-dielectric plasmonic waveguides
Maziar P. Nezhad, Steve Zamek, Lin Pang, et al.
Different techniques for fabricating long-range plasmonic metallo-dielectric waveguides are discussed. The approaches depend strongly on the material system in use. Specific results are presented for SU8 and PDMS.
Bottom-up tailoring of photonic nanofibers
Frank Balzer, Morten Madsen, Ralf Frese, et al.
Aligned ensembles of nanoscopic nanofibers from organic molecules such as para-phenylenes for photonic applications can be fabricated by self-assembled molecular growth on a suited dielectric substrate. Epitaxy together with alignment due to electric surface fields determines the growth directions. In this paper we demonstrate how aligned growth along arbitrary directions can be realized by depositing the molecules on a micro-structured and gold covered Silicon surface, consisting of channels and ridges. For the correct combination of ridge width and deposition temperature fibers grow perpendicular to the ridge edge, emitting light polarized along the ridges after UV excitation.
Applications
icon_mobile_dropdown
Highly dispersive dielectric transmission gratings with 100% diffraction efficiency
A new approach for the realization of highly dispersive dielectric transmission gratings is presented. By covering conventional transmission gratings with a plane substrate a complete suppression of any reflection losses and, thus, 100% diffraction efficiency can be achieved. Theoretical design considerations, a physical investigation of the diffraction as well as very promising experimental results are shown.
Spiral zone plate imaging for soft x-ray microscopy
Phase sensitive x-ray microscopy techniques are important in the study of samples that exhibit phase contrast. One way to detect these phase effects is to optically implement the radial Hilbert transform by using spiral zone plates (SZPs), resulting in the imaging of the amplitude and phase gradient in a sample. This is similar to differential interference contrast imaging in light microscopy. Soft x-ray microscopy using a SZP as a single element objective lens was demonstrated through the imaging of a 1 μm circular aperture at a wavelength of 2.73 nm. A regular zone plate, a charge 1 SZP, and a charge 2 SZP were fabricated on a silicon nitride membrane using electron beam lithography. The negative e-beam resist hydrogen silsesquioxane (HSQ) was used for patterning, and the zone plates were electroplated with nickel. These zone plates were then used as the imaging optic in a soft x-ray microscopy setup.
Tailored surfaces for managing thermal emission: plasmon/photon coupling using diffractive optics technology
We present simulations and measurements of a technology that can manipulate thermal angular and wavelength emission. This work is representative of Sandia National Laboratories' efforts to investigate advanced technologies that are not currently accessible for reasons such as risk, cost, or limited availability. The goal of this project is to demonstrate a passive thermal emission management surface that can tailor the direction of emission as well as the wavelength bands of emission. This new proposed technology enables thermal emission pattern management by structuring the surface. This structuring may be in either the lateral or depth dimension. A lateral structuring consists of a shallow grating on a metal surface. This air/metal interface allows photon/plasmon coupling, which has been shown to coherently and preferentially emit at certain wavelengths.
100% reflectivity from a monolithic dielectric microstructured surface
Frank Brückner, Tina Clausnitzer, Oliver Burmeister, et al.
Here, we propose a new mirror architecture which is solely based upon a monolithic dielectric micro-structured surface. Hence, the mirror device, which consists of a possibly mono-crystalline bulk material, can in principle simultaneously provide perfect reflectivity and lowest mechanical loss. By specifically structuring the monolithic surface, resulting in T-shaped ridges of a subwavelength grating, a resonant behavior of light coupling can be realized, leading to theoretically 100% reflectivity.
Coherent thermal source formed by periodic microcavities
An extraordinary coherent thermal emission from an anisotropic microstructure is experimentally and theoretically presented. The enhanced coherency is due to coherent coupling between resonant cavities obtained by surface standing waves, where each cavity supports a localized field that is attributed to coupled surface phonon-polaritons. We show that it is possible to obtain a polarized quasi-monochromatic thermal source from a SiC microstructure with a high quality factor Q ~ 600 at the resonant frequency of the cavity, and a spatial coherence length 760λ which corresponds to angular divergence of 1.3mrad.
Poster Session
icon_mobile_dropdown
A fabrication technique for microlens array with high fill-factor and small radius of curvature
In this paper, we report a technique for microlens array (MLA) fabrication based on lithography and thermal reflow process. The boundary of MLA was defined by a thin photoresist (PR) layer. Then, the second thick PR cylinders were patterned inside the micro-holes from the first PR layer. MLA was formed after reflowing the second think PR cylinders. In the previous processes, the gaps between the thick PR cylinders were large due to the diffraction effect. To increase the fill-factor, some residual PR between the cylinders makes the PR flow outward. But the PR cylinders merge together easily due to inexact reflow time and temperature distribution. It results in small radius of curvature and low uniformity. In our work, the first thin PR became a limiting wall so that no cylinders merge together even at over reflow time. Therefore, both the uniformity and the radius of curvature can be well controlled. Besides, the gap is small and the fill-factor is very high due to the diffraction effect is not significant at first thin PR layer. The results show that the gaps are only 2 um and the radius of curvature is approximately 24 um for MLA with 50 um in pitch and hexagonal arrangement.
Design of axially super-resolving phase pupil filter for high-numerical aperture applications
Toufic G. Jabbour, Stephen M. Kuebler
The method of generalized projection was used to design a phase pupil filter that super-resolves the axial point-spread-function (PSF) by 29% while holding the side-lobe intensities at below 52% of the peak intensity in the non-paraxial regime. The resulting phase filter has a binary 0/π eleven-zone rotationally symmetric profile. Although the filter's performance is theoretically satisfactory, it can be greatly compromised by imperfections introduced during experimental implementation. Such imperfections include fabrication errors, surface quality variation, and optical misalignment. A model based on vectorial diffraction was used to simulate and analyze quantitatively the effect of these imperfections on the superresolved PSF.
Experiments and characterization of two photon polymerization using 1 kHz femtosecond laser system
Nitin Uppal, Panos S. Shiakolas
Two Photon Polymerization (2PP) is a powerful technique for the fabrication of 2-D and 3-D microstructures by focusing ultrashort laser pulses inside a polymer resin mixture consisting of concentration of monomer and photo initiator. By scanning the focused laser beam in the bulk resin, a complex three dimensional pattern could be fabricated with micrometer resolution. This work presents the current state of our research towards fabricating microstructures using 2PP with a Ti:Sapphire femtosecond laser working at 800 nm wavelength and a pulse width of about 150 fs. The maximum pulse repetition rate of the laser system is 1 kHz, which is much smaller than the MHz systems normally used for the 2PP process. A mathematical model representing the polymerization process is presented. The governing partial differential equations for the process are solved numerically and the results are discussed. Characterization experiments are performed on commercially available acrylate monomer and photoinitiator to evaluate polymerization and damage threshold. In addition, the effects of self-focusing and self-trapping on the fabrication of microfeatures are presented.
Enhancing direct-write laser control techniques for bimetallic grayscale photomasks
Novel grayscale photomasks are being developed consisting of bimetallic thin-films of Bismuth on Indium (Bi/In) and Tin on Indium (Sn/In) with optical densities (OD) ranging from ~3.0 OD to <0.22 OD. To create precise threedimensional (3D) microstructures such as microlenses, the mask's transparency must be finely controlled for accurate gray level steps. To improve the quality of our direct-write masks, the design of a feedback system is presented where the mask's transparency is measured and used to adjust the mask-patterning process while making the mask. The feedback would account for local variations in the bimetallic film and enhance the control over the mask's transparency such that >64 gray level photomasks become possible. A particular application of the feedback system is towards the production of beam-shaping masks. When placed in the unfocussed path for the photomask-patterning system, they can improve the consistency of the grayscale patterns by altering the laser to have a more uniform "top-hat" power distribution. The feedback system aids the production of beam-shaping masks since the processes of patterning, verifying, and using the mask are all performed using the same wavelength. In developing the feedback system, two methods were examined for verifying grayscale patterns. The first utilizes the mask-patterning system's focused beam along with two photodiode sensors; the second utilizes image analysis techniques on lower resolution microscope images. The completed feedback design would also account for drifts in the laser power used to pattern the bimetallic thin-film photomasks.
Fabrication of 3D polymer photonic crystals for near-IR applications
Peng Yao, Liang Qiu, Shouyuan Shi, et al.
Photonic crystals[1, 2] have stirred enormous research interest and became a growing enterprise in the last 15 years. Generally, PhCs consist of periodic structures that possess periodicity comparable with the wavelength that the PhCs are designed to modulate. If material and periodic pattern are properly selected, PhCs can be applied to many applications based on their unique properties, including photonic band gaps (PBG)[3], self-collimation[4], super prism[5], etc. Strictly speaking, PhCs need to possess periodicity in three dimensions to maximize their advantageous capabilities. However, many current research is based on scaled two-dimensional PhCs, mainly due to the difficulty of fabrication such three-dimensional PhCs. Many approaches have been explored for the fabrication of 3D photonic crystals, including layer-by-layer surface micromachining[6], glancing angle deposition[7], 3D micro-sculpture method[8], self-assembly[9] and lithographical methods[10-12]. Among them, lithographic methods became increasingly accepted due to low costs and precise control over the photonic crystal structure. There are three mostly developed lithographical methods, namely X-ray lithography[10], holographic lithography[11] and two-photon polymerization[12]. Although significant progress has been made in developing these lithography-based technologies, these approaches still suffer from significant disadvantages. X-ray lithography relies on an expensive radiation source. Holographic lithography lacks the flexibility to create engineered defects, and multi-photon polymerization is not suitable for parallel fabrication. In our previous work, we developed a multi-layer photolithography processes[13, 14] that is based on multiple resist application and enhanced absorption upon exposure. Using a negative lift-off resist (LOR) and 254nm DUV source, we have demonstrated fabrication of 3D arbitrary structures with feature size of several microns. However, severe intermixing problem occurred as we reduced the lattice constant for near-IR applications. In this work, we address this problem by employing SU8. The exposure is vertically confined by using a mismatched 220nm DUV source. Intermixing problem is eliminated due to more densely crosslinked resist molecules. Using this method, we have demonstrated 3D "woodpile" structure with 1.55μm lattice constant and a 2mm-by-2mm pattern area.