Proceedings Volume 6317

Advances in X-Ray/EUV Optics, Components, and Applications

cover
Proceedings Volume 6317

Advances in X-Ray/EUV Optics, Components, and Applications

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 29 August 2006
Contents: 9 Sessions, 42 Papers, 0 Presentations
Conference: SPIE Optics + Photonics 2006
Volume Number: 6317

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Sources, Systems, and Instruments I
  • Sources, Systems, and Instruments II
  • Metrology
  • Optical Coatings and Multilayers
  • Optics and Instruments
  • Optical Constants and Measurement Techniques I
  • Optical Constants and Measurement Techniques II
  • Optical Constants and Measurement Techniques III
  • Poster Session
Sources, Systems, and Instruments I
icon_mobile_dropdown
Novel compact spectrophotometer for EUV-optics characterization
For the development of pioneering optical components for beam collimation and shaping, test set-ups are indispensable for characterizing the reflectance and transmittance over the relevant spectral range. Since radiation sources with a sufficiently high brilliance were only available at synchrotron devices up to now, the characterization of the spectral characteristics was concentrated at large-scale research institutions. In contrast to that, a strong need can be noticed for innovative small and medium companies to use compact and flexible in-house spectrophotometers accelerating product development. In the framework of the present collaboration, a novel table-top spectrophotometer for measuring the spectral characteristics of medium scale EUV-optics (up to 50mm diameter) in the spectral range from 11 to 20nm was developed. The device is based on a new polychromatic measurement principle using the direct irradiation of a compact EUV-tube for illuminating the sample and a broad-band spectrometer for detecting the probe and reference beam. The samples can be investigated under different angles of incidence and in respect to lateral dependencies. In the present paper, first results with different reflecting and transmitting EUV-optical elements demonstrate flexibility, and the achieved spectral resolution and accuracy is presented.
GOES N-P SXI in-flight calibration using the Crab Nebula
A potential calibration strategy for the N-P series Solar X-ray Imager (SXI), on the Geostationary Operational Environmental Satellite (GOES), that uses an astronomical X-ray source (the Crab Nebula) is analyzed below. The Crab Nebula is one of the brightest X-ray sources in the sky, and is located near the ecliptic, making consideration of such a calibration possible due to its annual proximity to the sun. The results of these analyses show that in-flight calibration of SXI N-P using the Crab Nebula is possible. Using the longest single exposure which the instrument is capable of making (65 sec) yields a signal-to noise-ratio somewhat insufficient to meet SXI N-P's 20% photometric accuracy requirement. However, summing several 65 second images would increase the signal to noise ratio, making such a calibration readily possible. This analysis demonstrates that effective calibration of SXI N-P could be carried out with only the relatively small cost of operations and analysis to the government, using approximately 12 hours of observing time per year. Expensive calibration underflights, using sounding rockets, would not be needed for SXI N-P. It is important to note that if the GOES R SXI, the follow on series to GOES N-P, uses a normal incidence primary mirror design, an astrophysical calibration with the Crab nebula will not be possible because of the change in instrument spectral response. However, other astrophysical sources could be examined.
Dark-field image of full-field transmission hard x-ray microscope in 8-11 keV
Gung-Chian Yin, Fred Duewer, Xianghui Zeng, et al.
We have demonstrated dark-field imaging using a full-field hard x-ray microscope by using a custom capillary-based condenser. The condenser provides illumination with a numeric aperture about 3-mrad with high efficiency. This high illumination angle allows full-resolution imaging using a 50 nm hard x-ray zone plate. The zeroth order beam from the condenser is well out of the zoneplate range - which allows a high signal-to-noise ratio in the image plane. Small particles with high scattering power, such as colloidal gold markers used in biology are well-suited for dark-field imaging. Combining with high brightness source from NSRRC BL01B, the dark field image can be acquired within several minutes with high contrast ratio. In this paper, the dark field image of IC and the zoneplate defect will be demonstrated and studied in different energy under dark field mode.
Compact x-ray microscopes for EUV- and soft x-radiation with spectral imaging capabilities
David Schäfer, Thomas Nisius, Rolf Früke, et al.
We report on a compact full-field transmission microscope (CTXM) and a scanning transmission microscope (CSTXM) developed for imaging at laboratory scale X-ray sources. The microscopes are based on zone plates for imaging in the EUV and water window region (wavelength 2.3 nm to 4.4 nm). The radiation for the full-field microscope is generated by focusing short laser pulses with an energy of 100 mJ on a 20 μm cryogenic liquid nitrogen jet. A condenser zone plate in conjunction with an aperture is used to provide monochromatic sample illumination. This allows for easy wavelength selection within the N2-Emission spectrum. Thus, the presented setup offers the possibility of spectral imaging. A micro zone plate generates a magnified image detected by a back illuminated TE-cooled CCD camera (1,340 x 1,300 pixel). The actual configuration provides magnifications up to 1,000x at exposure times in a range of a few ten minutes with sub-100 nm resolution. Our compact scanning microscope (CSTXM) operates with a zone plate, focusing the radiation onto a sample which is placed on a piezo driven xy-stage with 1 nm lateral resolution. Using high-harmonic radiation at 13 nm wavelength sub-micron resolution is achieved. With light at 17 nm wavelength originating from the O-VI emission line of a laser plasma source based on an ethanol jet, 500 nm structures were imaged in less than 20 minutes resulting in an 100 x 40 pixel image.
EUV radiation from gas-puff laser plasma focused by multi-foil optics
We present the recent progress in high intensity micro focused EUV beam generation. Ellipsoidal thin glass foils were used in Multi-foil optical systems for focusing radiation in 50 eV to 150 eV energy band from gas-puff laser plasma source. Multifoil optic (MFO) condenser was designed and tested for applications with Xe laser plasma gas-puff source. High intensity EUV beam focal spot was recorded, analyzed and compared with theoretical results from computer ray-tracing. Direct EUV lithography using radiation induced decomposition and ablation of TEFLON was studied.
Sources, Systems, and Instruments II
icon_mobile_dropdown
Compact EUV source and optics for applications apart from lithography
In recent years technological developments in the area of extreme ultraviolet lithography (EUVL) have experienced great improvements. So far there are already intense light sources based on discharge or laser plasmas, light guiding and imaging optics, and detection equipment. Currently, the application of EUV radiation apart from microlithography, such as metrology, high-resolution microscopy, or surface analysis comes more and more into focus. The aim is to make use of the strong interaction between soft x-ray radiation and matter for surface-near probing, modification or structuring techniques. In this contribution, along with first applications, we present a comparison of different optical setups capable of guiding and imaging EUV radiation. A table-top EUV source based on a laser plasma was used for the experimental investigations. A modified Schwarzschild objective is adapted to this source in order to produce a focus of high energy density. The objective consists of two spherical mirrors with Mo/Si-multilayer coatings, providing a transmittance of around 42 % (reflectivity ~ 65 % @ 13.5 nm per mirror). With a demagnification of 10x a small spot is created (diameter ~ 30 μm, energy density ~ 100 mJ/cm2), which can be used in material interaction studies. First experiments showed the potential of this setup - color centers in LiF were generated and the ablation of polymers (PMMA, polyimide) could be shown. In the latter case the influence of out-of-band radiation was determined. A sample holder with a precision translation stage allows high-resolution direct structuring. Another promising optics for guiding EUV radiation consists of two grazing incidence cylindrical mirrors (Kirkpatrick-Baez arrangement). In contrast to the Schwarzschild objective it is able to transmit over a broad spectral range, accomplishing alternative experimental techniques. A spectrally resolving reflectometer with a Kirkpatrick-Baez module as condensing optic is currently being built.
SXR optical diagnostics of capillary discharge plasma
L. Pina, A. Jancarek, M. Vrbova, et al.
Pinching capillary discharge in nitrogen is investigated for the purpose of development of laser recombination pumping. An apparatus, previously realized for argon capillary laser pumping, was used to understand details of pinching mechanism and emission characteristics for capillary filled by nitrogen. Time dependences of radiation intensities emitted in the wavelength range 1.9 - 2.5 nm and time integrated in the spectral range 10 - 20 nm were measured under various pressures. A computer model is used to describe the pinch dynamics and to estimate the radiation characteristics. EUV reflection grating spectrometer coupled to BI CCD camera and filtered PIN diode were used for time integrated and time resolved spectral measurements respectively. The measured profiles of radiation intensities are compared with the computer simulations of time dependences of selected energy level populations that correspond to the hydrogen- and helium- like ion line emission in the detected spectral range. Complex method for spectral image restoration was developed.
Metrology
icon_mobile_dropdown
At-wavelength figure metrology of total reflection mirrors in hard x-ray region
We realized nearly diffraction-limited performance with a FWHM focal spot size of 25 nm at an x-ray energy of 15 keV at SPring-8. We explain performances of fabricated x-ray mirror, its fabrication technologies and future plan for realizing sub-10-nm focusing. We developed a novel method of at-wavelength metrology for evaluating the focusing hard x-ray beam in a grazing-incidence optical system. The metrology is based on the numerical retrieval method using the intensity distribution profile around the focal point. We demonstrated the at-wavelength metrology and estimated the surface figure error on a test mirror. An experiment for measuring the focusing intensity profile was performed at the 1-km-long beamline (BL29XUL) of SPring-8. The obtained results were compared with the profile measured by the optical interferometer and confirmed to be in good agreement with it. This technique has potential for characterizing wave-front aberration on elliptical mirrors for the sub-10-nm focusing.
Positioning errors of pencil-beam interferometers for long trace profilers
We analyze the random noise and the systematic errors of the positioning of the interference patterns in the long trace profilers (LTP). The analysis, based on linear regression methods, allows the estimation of the contributions to the positioning error of a number of effects, including non-uniformity of the detector photo-response and pixel pitch, read-out and dark signal noise, ADC resolution, as well as signal shot noise. The dependence of the contributions on pixel size and on total number of pixels involved in positioning is derived analytically. The analysis, when applied to the LTP II available at the ALS optical metrology laboratory, has shown that the main source for the random positioning error of the interference pattern is the read-out noise estimated to be ~0.2 μrad. The photo-diode-array photo-response and pixel pitch non-uniformity determine the magnitude of the systematic positioning error and are found to be ~0.3 μrad for each of the effects. Recommendations for an optimal fitting strategy, detector selection and calibration are provided. Following these recommendations will allow the reduction of the error of LTP interference pattern positioning to a level adequate for the slope measurement with 0.1-μrad accuracy.
Surface gradient integrated profiler for x-ray and EUV optics: 3D mapping of 1m-long flat mirror and off-axis parabolic mirror
Y. Higashi, Y. Takaie, K. Endo, et al.
A new ultra-precision profiler has been developed in order to measure such as asymmetric and aspheric profiles. In the present study, the normal vectors at each points on the surface are determined by the reflected light beam goes back exactly on the same path as the incident beam. The surface gradients at each point are calculated from the normal vector and the surface profile is obtained by integrating the gradient. The measuring instrument was designed according to the above principle of the measuring method. In the design, four ultra-precision goniometers were applied to the adjustment of the light axis for the normal vector measurement. The angle positioning resolution and accuracy of each goniometer are respectively 0.018 μrad and 0.2 μrad. In the measuring instrument, the most important item is the measuring accuracy of the normal vectors by the goniometers. Therefore, the rotating angle positioning errors were measured and calibrated. Then the measurement of a concave mirror with 300 mm radius and 460mm, 1m long plane mirrors were measured. Then, The 3D surface profile of the mirror such 1m-long flat mirror, a concave mirror with 2000 mm radius and off-axis parabolic mirror are obtained by integrating the interpolated gradient.
X-ray optics for beamlines at Diamond Light Source
A dedicated optics and metrology team has been assembled at Diamond Light Source to take responsibility for designing, procuring and testing a wide range of state-of-the-art x-ray optics, providing the Diamond beamlines with effective solutions to condition and focus synchrotron light. Advanced efforts are underway to design and construct a cleanroom laboratory to house a suite of metrology instruments. This will complement the Test beamline, used for a wide range of tasks including x-ray optics and detector developments, and proof of principle experiments. In collaboration with industrial and academic partners, these experimental facilities will be used to measure and develop the next generation of x-ray optics, and help the Diamond beamlines to achieve world leading performance. Details of the planning and early construction phase of the Metrology laboratory are presented, and preliminary examples of x-ray metrology measurements and research programmes.
Air convection noise of pencil-beam interferometer for long trace profiler
In this work, we investigate the effect of air convection on laser-beam pointing noise essential for the long trace profiler (LTP). We describe this pointing error with noise power density (NPD) frequency distributions. It is shown that the NPD spectra due to air convection have a very characteristic form. In the range of frequencies from ~0.05 Hz to ~0.5 Hz, the spectra can be modeled with an inverse-power-law function. Depending on the intensity of air convection that is controlled with a resistive heater of 100 to 150 mW along a one-meter-long optical path, the power index lies between 2 and 3 at an overall rms noise of ~0.5 to 1 microradian. The efficiency of suppression of the convection noise by blowing air across the beam optical path is also discussed. Air-blowing leads to a white-noise-like spectrum. Air blowing was applied to the reference channel of an LTP allowing demonstration of the contribution of air convection noise to the LTP reference beam. The ability to change (with the blowing technique presented) the spectral characteristics of the beam pointing noise due to air convection allows one to investigate the contribution of the convection effect, and thus make corrections to the power spectral density spectra measured with the LTP.
Optical Coatings and Multilayers
icon_mobile_dropdown
Graded multilayers for focusing hard x-rays below 50 nm
Ch. Morawe, O. Hignette, P. Cloetens, et al.
Laterally graded W/B4C multilayers were conceived for the focusing of hard X rays at 3rd generation synchrotron sources. They were deposited using a differential sputter coating technique. The multilayer mirror was bent to the correct shape on a dynamical bending device applying automated alignment routines. During experiments on the ESRF beamline ID19 the undulator source was focused vertically to a 41 nm (FWHM) wide line using a photon energy of 24 keV. The measured line width can be attributed to the finite source size, to diffraction effects, and to slope errors of the mirror. The potential impact of beam penetration into the multilayer will be discussed.
Ion-beam sputter deposition of x-ray multilayer optics on large areas
Peter Gawlitza, Stefan Braun, Sebastian Lipfert, et al.
Most important requirements for the deposition of x-ray optical multilayers are a) using a stable and reproducible deposition technique and b) to find growth conditions where the interfaces between adjacent layers are abrupt (no interdiffusion σd) and smooth (no roughness σr). The interface width σ (σ2 = σd 2 + σr 2) becomes increasingly important for smaller period thicknesses. Furthermore, the kinetic energies of the condensing particles on the substrate surface are of special importance for the interface formation. The ion beam sputter deposition technique (IBSD) provides stable and well adjustable particle energies combined with medium to high deposition rates allowing the fabrication of precise multilayer stacks for x-ray optical applications. We will present our newly installed large area IBSD facility with 400 x 100 mm2 linear ion sources and substrate sizes of up to 200 mm diameter (circular) or 500 x 100 mm2 (rectangular) and its characteristics concerning thickness homogeneity and process stability. First experimental results of metal/non-metal multilayer depositions with thickness uniformities of 99,9% over the entire substrate area are discussed. Different material combinations (Ni/B4C, Ni/C, Mo/Si) with period thicknesses between 2 nm and 10 nm have been fabricated and characterized by x-ray and EUV reflectometry. Interface widths are typically in the order of 0.3 nm. For the Ni-based multilayers Cu-Kα reflectances of R > 80 % can be obtained with period thicknesses dP greater than or equal to 2.5 nm (Ni/B4C) and dP greater than or equal to 3.0 nm (Ni/C). EUV reflectances of the Mo/Si multilayers are as high as R = 68,0 % at λ = 13,5 nm (incidence angle α = 5 deg).
Reflectivity and stress responses of multilayers upon isothermal treatment
Periodic multilayers exposed to a non-destructive annealing sequence have shown reversible and irreversible structural modifications. In-situ x-ray reflectometry experiments at the ESRF bending magnet beam line BM5 demonstrate that the overall periodic structure remains stable during the annealing process. At the same time, initially present asymmetric interdiffusion layers have been reduced, in particular, in Ru/B4C. The controlled thermal treatment of multilayer optics before its installation on synchrotron beam lines can help to avoid alterations during their use as optical elements. An important issue is the reduction of stress introduced during the coating process. The evolution of stress in multilayer test coatings deposited on wafers was worked out from measurements done by optical metrology before and after coating and annealing. The investigation of the influence of a thermal action on their reflectivity response is a real challenge. We will present our experimental approach: deposition technique, multilayer choice, isothermal sequence, reflectivity and stress measurements. We will also discuss compromises made to keep both reflectivity and stress optimized versus thermal treatment. Future studies will have to deal with the impact of radiation on multilayer optics and its distinction from annealing effects.
Film stress studies and the multilayer Laue lens project
A Multilayer Laue Lens (MLL) is a new type of linear zone plate, made by sectioning a planar depth-graded multilayer and used in Laue transmission diffraction geometry, for nanometer-scale focusing of hard x-rays. To produce an MLL, a depth-graded multilayer consisting of thousands of layers with a total thickness of tens of microns is needed. Additionally, the multilayer wafer has to be sectioned and polished to a thickness of ~10 to 25 microns to yield a diffracting grating to focus x-rays. The multilayers must have both low stress and good adhesion to survive the subsequent cutting and polishing processes, as well as sharp interfaces and accurate layer placement. Several partial MLLs using WSi2/Si multilayers with precise zone-plate structures have been successfully fabricated. A W/Si multilayer with the same structure, however, cracked and peeled off from the Si substrate after it was grown. Here we report results of our film stress studies of dc magnetron-sputtered WSi2, W, and Mo thin films and WSi2/Si, W/Si, and Mo/Si multilayers grown on Si(100) substrates. The stress measurements were carried out using a stylus profiler to measure the curvatures of 2-inch-diameter, 0.5-mm-thick Si(100) wafers before and after each coating. The physical origins of the stress and material properties of these systems will be discussed.
EUV multilayer mirrors with enhanced stability
The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi2/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (≥ 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi2/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi2 layers.
Transmittance and reflective coatings for the 50-200-nm spectral range
Mónica Fernández-Perea, Juan I. Larruquert, José A. Aznárez, et al.
This proceeding reviews the performance of a far-extreme ultraviolet (FUV-EUV) reflectometer-deposition system available at GOLD-Instituto de Fisica Aplicada, CSIC and the different sorts of coatings for the EUV that can be prepared with this equipment. Coatings both for wavelengths longer and shorter than the LiF/MgF2 cutoff wavelengths are prepared. Regarding coatings above these cutoffs, they are based in the combination of Al and MgF2. High reflectance coatings of Al protected with MgF2 can be prepared with a reflectance of ~80% at the H Lyman α line at 121.6 nm. Narrowband transmittance filters based on (Al/MgF2)n are also deposited, with a high peak transmittance down to wavelengths as short as 121.6 nm. Regarding coatings for wavelengths shorter than the fluoride cutoffs, wideband reflective coatings based on a single layer of SiC and also on Al/MgF2/SiC multilayers are prepared with a high reflectance at wavelengths longer than ~80 nm. In addition to the above coatings, a plan for the deposition in the near future of narrowband reflective coatings tuned at wavelengths as long as 95 nm (but shorter than the LiF cutoff) is discussed.
Optics and Instruments
icon_mobile_dropdown
Measurement of zone plate efficiencies in the extreme ultraviolet and applications to radiation monitors for absolute spectral emission
John Seely, Glenn Holland, James C. Bremer, et al.
The diffraction efficiencies of a Fresnel zone plate (ZP), fabricated by Xradia Inc. using the electron-beam writing technique, were measured using polarized, monochromatic synchrotron radiation in the extreme ultraviolet wavelength range 3.4-22 nm. The ZP had 2 mm diameter, 3330 zones, 150 nm outer zone width, and a 1 mm central occulter. The ZP was supported by a 100 nm thick Si3N4 membrane. The diffraction patterns were recorded by CMOS imagers with phosphor coatings and with 5.2 μm or 48 μm pixels. The focused +n orders (n=1-4), the diverging -1 order, and the undiffracted 0 order were observed as functions of wavelength and off-axis tilt angle. Sub-pixel focusing of the +n orders was achieved. The measured efficiency in the +1 order was in the 5% to 30% range with the phase-shift enhanced efficiency occurring at 8.3 nm where the gold bars are partially transmitting. The +2 and higher order efficiencies were much lower than the +1 order efficiency. The efficiencies were constant when the zone plate was tilted by angles up to ±1° from the incident radiation beam. This work indicates the feasibility and benefits of using zone plates to measure the absolute EUV spectral emissions from solar and laboratory sources: relatively high EUV efficiency in the focused +1 order, good out-of-band rejection resulting from the low higher-order efficiencies and the ZP focusing properties, insensitivity to (unfocused) visible light scattered by the ZP, flat response with off-axis angle, and insensitivity to the polarization of the radiation based on the ZP circular symmetry. EUV sensors with Fresnel zone plates potentially have many advantages over existing sensors intended to accurately measure absolute EUV emission levels, such as those implemented on the GOES N-P satellites that use transmission gratings which have off-axis sensitivity variations and poor out-of-band EUV and visible light rejection, and other solar and laboratory sensors using reflection gratings which are subject to response variations caused by surface contamination and oxidation.
Off-plane grazing-incidence fan-groove blazed grating to serve as a high-efficiency spectral purity filter for EUV lithography
Reflecting large-blaze-angle diffraction gratings operating in the off-plane grazing configuration can be used to advantage as high-efficiency tools permitting separation and focusing of a 2%-wavelength about 13.5-nm. A cooled multiple grating with fan-groove geometry is used as a model to select the desired spectral range and obtain a record-high efficiency and stigmatic image focusing for a high dispersion in adjacent orders. A maximal relative efficiency of 0.96-0.99 and reflectances of 0.71-0.96 can be readily obtained with an off-plane fine-pitch grating intercepting at incidence angles of 70-85° a converging unpolarized light beam from an EUV collector, a figure higher than that of a grating in an in-plane mount. An absolute efficiency of ~0.72, calculated with a PCGrate-SX code using the AFM-measured groove profile, is reached in the -1st order of a Mo-coated 200-nm-pitch Si test grating in unpolarized light.
Beryllium and lithium x-ray lenses at the APS
Compound refractive lenses (CRLs) are arrays of concave lenslets used to focus X-rays. For a given incident X-ray beam energy, the focal length of a CRL depends on the material and shape of the individual lenslets, and in particular is inversely related to the number of lenslets in the array. The throughput of a lens array is heavily affected by absorption of the X-rays in the lens. For this reason, it is necessary to employ low-atomic-number materials and fabricate the lenses as thin as possible, especially for low to moderate X-ray energy range (~ 5 - 20 keV) photons. Lithium and beryllium are two of the best candidate materials for X-ray lenses due to their relatively high (real decrement) index of refraction and low X-ray absorption. Lithium is very malleable, however, and reacts strongly with moisture in the air, requiring a special fabrication environment and housing. Beryllium, on the other hand, is a solid metal and is easy to machine and handle. This paper summarizes the recent work at the Advanced Photon Source (APS) on parabolic lithium and cylindrical beryllium lenses. These lenses have been tested on APS X-ray beamlines. Their performance in terms of the focal size and gain is described and further improvements including tighter manufacturing tolerances and thinner lens walls are discussed.
Tunable highly efficient crystal analyzer based on active optics
A crystal-optic x-ray fluorescence analyzer has been designed and tested, which combines the features of electron-Volt energy resolution, large solid angle coverage, and tunability over several thousand electron-Volts. Dependent on experimental requirements the crystal shape can be chosen within the elasticity limits of the crystal. This allows the use of the device not only for high resolution X-ray spectroscopy, but also for imaging purposes. We present the basic design, a self-alignment algorithm, and a basic discussion about the principal feasibilities and limitations of this concept. Additionally, first experimental results on ultra dilute systems and other applications will be presented.
Optical Constants and Measurement Techniques I
icon_mobile_dropdown
Determination of the transmittance and extinction coefficient of Yb films in the 23-1700 eV range
Juan I. Larruquert, Mónica Fernández-Perea, José A. Aznárez, et al.
The transmittance of thin films of Yb deposited by evaporation in ultra high vacuum (UHV) conditions have been investigated in the 23-1,700 eV spectral range. Transmittance measurements were performed in situ on Yb films deposited over grids coated with a thin, C support film. Transmittance measurements were used to obtain the extinction coefficient of Yb films at each individual photon energy investigated. The energy range investigated encompasses M, N, and O edges of Yb. The current results, along with data in the literature, show that Yb has an interesting low-absorption band in the ~12-24 eV range. The promising characteristics of Yb as a filter material in this region requires the development of a protection material due to the reactivity of Yb under normal atmosphere. The low absorption of Yb in the above range makes it also a candidate for a component of multilayer coatings in a spectral region in which few developments have been performed due to the lack of low absorption materials. The fl sum-rule was applied to extinction coefficient data in the whole spectrum that included the current data along with those of the literature, resulting in a value of 70.26, which is close to the expected value of 69.32.
Coated photodiode technique for the determination of the optical constants of reactive elements: La and Tb
A novel technique, utilizing thin films with protective capping layers deposited onto silicon photodiode substrates, has been developed to accurately determine the optical constants of reactive elements such as the rare earths and transition metals. Depositing protected layers on photodiode substrates has three primary advantages over the study of the transmittance of free-standing films and the angle-dependent reflectance of coatings on mirror substrates. First, it is easy to deposit a thin protective capping layer that prevents oxidation or contamination of the underlying reactive layer. Second, very thin layers of materials that have intrinsically low transmittance can be studied. Third, the optical constants are determined from the bulk properties of the protected layer and are not influenced by reflectance from the top surface that can be affected by oxidation or contamination. These and other benefits of this technique will be discussed, and results for La and Tb will be presented. The determined optical constants are significantly different from the CXRO and other tabulated values. The rare earth (lanthanide) elements with atomic numbers 57-71 have 5d or 4f open shells, and this open shell structure results in transmission windows in the extreme ultraviolet wavelength range >45 nm where materials typically have low transmittance. These transmission windows make possible the fabrication of a new class of multilayer interference coatings, based on rare earth elements, with relatively high peak reflectances and narrow reflectance profiles, both important factors for the imaging of solar and laboratory radiation sources with multilayer telescopes.
Optical constants determination of neodymium and gadolinium in the 3- to 100-nm wavelength range
The optical constants (n, k) of the wavelength-dependent index of refraction N = n+ik = 1-δ+ik of Nd (Neodymium) and Gd (Gadolinium) are determined in the wavelength range of 3 nm to 100 nm by the transmittance method using synchrotron radiation. Nd and Gd films with thicknesses ranging from 5 nm to 180 nm were fabricated on Si photodiodes (which served as the coating substrates as well as the detectors) and capped with Si layers to protect these reactive rare earth elements from oxidation. The imaginary part (k) obtained directly from the transmittance measurement is used in the derivation of the real part (δ) of the complex index of refraction N through the Kramers- Kronig integral. The measured optical constants are used in the design of currently developed Nd- and Gd-based multilayers for solar imaging applications. Our results on Nd and Gd optical constants and the reflectance of some Nd- and Gd-based multilayers are presented.
Transmittance and extinction coefficient of Ce films measured in situ in the extreme ultraviolet and soft x-rays
Mónica Fernández-Perea, José A. Aznárez, Juan I. Larruquert, et al.
The research on rare earths conducted by the current team addresses in this proceeding the transmittance measurement of Ce films. Several thin films of Ce were deposited by evaporation in ultra high vacuum (UHV) conditions and their transmittance was measured in situ in the 6-1,200 eV spectral range. Ce films were deposited onto grids coated with a thin, C support film. Transmittance measurements were used to obtain the extinction coefficient of Ce films at each individual photon energy investigated. Literature data for Ce films had been restricted to some parts of the current range, with various experimental data at the strong N edge, a single set of data at the M edge, and no data at the O edge. The energy range here investigated provides data encompassing M, N, and O edges of Ce, and this data set is extended towards smaller energies with experimental data and towards larger energies with semi-empirical data and calculations. Ce, as has been measured with other lanthanides, has a low-absorption band right below the O edge, with lowest absorption at 16.1 eV. This makes Ce a promising material for filters and multilayer coatings in this spectral range, in which few developments have been performed due to the lack of low absorption materials. The f1 sum-rule was applied to the extinction coefficient data in the whole spectrum that included the current data along with those of the literature, resulting in a value close to predictions, which shows the consistency of the current data.
Optical Constants and Measurement Techniques II
icon_mobile_dropdown
Construction of an extreme ultraviolet polarimeter based on high-order harmonic generation
N. Brimhall, J. C. Painter, M. Turner, et al.
We report on the development of a polarimeter for characterizing reflective surfaces throughout the extreme ultraviolet (EUV). The instrument relies on laser high-order harmonics generated in helium, neon, or argon gas. The 800 nm laser generates a discrete comb of odd harmonics up to order 100 (wavelengths from 8-62 nm). The flux of EUV light is a couple orders of magnitude less than a synchrotron source but 30,000 times greater than a plasma source currently in operation at BYU. The polarimeter determines the reflectance from surfaces as a function of incident angle, linear light polarization orientation, and wavelength. The instrument uses a wave plate in the laser beam to control the orientation of the harmonic polarization (linear, same as laser). After reflecting from the sample, the harmonic beams are dispersed by a grating and focused onto a micro-channel plate coupled to a phosphor screen. We have demonstrated the feasibility of this project with a simple prototype instrument, which measured the reflectance of samples from 30 nm to 62 nm. The prototype demonstrated that sensitivity is sufficient for measuring reflectances as low as 0.5% for both s- and p-polarized light. The full instrument employs extensive scanning mobility as opposed to the fixed angle and fixed wavelength range of our earlier prototype. An advantage of employing harmonics as a source for EUV polarimetry is that a wide range of wavelengths can be measured simultaneously. This project represents an authentic 'work-horse' application for high-order harmonics, as opposed to merely demonstrating proof of concept.
Optical constant measurements of the uppermost layer of a reflection multilayer using reflection and total electron yield spectra
Takeo Ejima, Tetsuo Harada, Atsushi Yamazaki
Total electron yield (TEY) is a method for obtaining optical constants by measuring the angle dependence of the yield intensity in the soft X-ray region [S. V. Pepper, J. Opt. Soc. Am. 60, 805 (1970)]. In this study, previous methods are extended by rewriting the previous formulae of yield intensity: the intensity was directly related to a reflectance and phase value corresponding to both the thickness of the uppermost layer and the reflection phase. Phase values obtained practically from the reflection and TEY measurements were found to change in accordance with increases in the thickness of the uppermost Mo layer. Refractive indices were derived from the phase differences between the two different phase values corresponding to the variation in the uppermost Mo layer. Practical study showed that the refractive indices of the uppermost Mo layer are close to those of MoO2.
Optical Constants and Measurement Techniques III
icon_mobile_dropdown
Thorium dioxide thin films in the extreme ultraviolet
Nicole F. Brimhall, Amy B. Grigg, R. Steven Turley, et al.
We have measured the reflectance and transmittance of thorium dioxide thin films from 50-280 eV. We have developed several methods for fitting this data that gives the most reliable values for the complex index of refraction, n = 1 - δ + iβ. These fitting methods included fitting film thickness using interference fringes in highly transmissive areas of the spectrum and fitting reflectance and transmittance data simultaneously. These techniques give more consistent optical constants than solitary unconstrained fitting of reflectance as a function of angle. Using these techniques, we have found approximate optical constants for thorium dioxide in this energy range. We found that the absorption edges of thoria were shifted 4 eV and 2 eV to lower energies from those of thorium. We also found that the peak in δ was shifted by 3 eV to lower energy from that of thorium.
Understanding DC-bias sputtered thorium oxide thin films useful in EUV optics
William R. Evans, Sarah C. Barton, Michael Clemens, et al.
We used spectroscopic ellipsometry to determine the optical constants of seven thin-film ThO2 samples deposited by radio-frequency sputtering, thickness ranging between 24 and 578 nm, for the spectral range of 1.2 to 6.5. We used a hollow-cathode light source and vacuum monochromator to measure constants at 10.2 eV. None of the deposition parameters studied including DC-bias voltages successfully increase the n of (that is, densify) thoria films. The value of n at 3.0 eV is 1.86 ± 0.04. We find compelling evidence to conclude that the direct band gap is at ~5.9 eV, clarifying the results of others, some of whom observed the absorption edge below 4 eV. The edge in the two thickest films is of a narrow feature (FWHM=0.4 eV) with modest absorption (α~6μm-1, k~0.1). Absorption may go down briefly with increasing energy (from 6.2 to 6.5 eV). But at 10.2 eV absorption is very high and index low as measured by variable-angle reflectometry, α = 47.3 ± 5.5 μm-1 and k = 0.48 ± 0.05, and n=0.87 ± 0.12.
Optical constants in the EUV soft x-ray (5÷152 nm) spectral range of B4C thin films deposited by different deposition techniques
G. Monaco, D. Garoli, R. Frison, et al.
B4C optical coating represents, together with Ir, Pt, SiC, one of best choice for high reflectance in the extreme ultraviolet region (EUV 160-30 nm). This material is also used in multilayer for soft X-ray applications, such as Si/B4C or W/B4C, or as interlayer in Mo/Si multilayer to avoid interdiffusion also because of its high thermal stability. Our work concerns on B4C thin films deposited on Si [100] substrates by means of three different deposition techniques: RF plasma magnetron sputtering, e-beam evaporation, pulsed laser ablation (PLD). We performed reflectance vs incidence angle measurements from 5 nm to 152 nm on different samples deriving the optical constants with a least-square fitting method. Complete films characterization have been carried out with compositional (XPS), structural (XRD) and morphological (AFM, SEM) analyses.
Determination of the optical constants of amorphous carbon in the EUV spectral region 40-450 eV
The extreme ultraviolet (EUV) optical constants δ(ω) and β(ω) of amorphous carbon were determined on the basis of transmission measurements at =18-450 eV, the first-principles calculation of the dielectric tensor at <25 eV, and the Kramers-Kronig calculation of δ(ω). Our optical constants generally agree with the CXRO data, excluding the vicinity of the K-edge. First-principles analysis shows that two thresholds of absorption (at 284 eV and 291 eV) found in the present study are caused, respectively, by the π- and σ- bonds. Their weights are controlled by an orientation of graphene sheets in a-carbon.
Poster Session
icon_mobile_dropdown
Development of Mg/SiC multilayer mirrors
Go Murakami, Kazuo Yoshioka, Ichiro Yoshikawa
A multilayer coating mirror of Mo/Si is usually used for space science in the spectral range of extreme ultraviolet (EUV), especially for He-II (30.4 nm) radiation, because it is highly stable under vacuum and atmosphere. It has the fairly high reflectivity of 15-20%. But the space science community needs the coating of higher reflectivity at 30.4 nm radiation for the future satellite missions, especially for the small satellite (to reduce the size of optics). In this work, for developing a new multilayer mirror for He-II radiation, we report the performance of a multilayer consisting of Mg/SiC and the aging in reflectivity under atmosphere and vacuum.
Narrow-band x-ray imaging for core temperature and density maps retrieval of direct drive implosions
Riccardo Tommasini, Jeffrey A. Koch, Nobuhiko Izumi, et al.
We present recent results using multi-monochromatic X-ray imaging of direct drive implosions at the OMEGA laser facility. An array of pinholes coupled to a flat multilayer mirror provides multi-spectral images distributed over a wide spectral range. Using Argon as a dopant in the DD-filled plastic shells produces emission images in the Ar He-β and Ly-β spectral regions. When used in conjunction with gated imaging detectors the instrument provides images with spatial resolution of ~10μm and temporal resolution of ~50ps. A special algorithm has been developed to reconstruct narrow-band images, which will allow the retrieval of temperature, and density maps of the core as it evolves through peak compression.
Wave-optical simulations for designing and evaluating hard x-ray reflective optics
Focusing methods using mirror optics are intensively studied in the field of X-ray microscopy because mirror optics has useful features such as high photon efficiency and no chromatic aberrations. Employing a wave-optical method, we investigated the relationship between the nature of figure errors on the mirror surface and optics performances. We also evaluated glancing angle sensitivity to focused beam and beamwaist stuructures. Obtained results showed unprecedented degrees of surface figure accuracy such as higher than 4 nm was required to realize nearly diffraction limited nanobeam. This simulation can also give important information for align KB mirrors setup.
High-spatial-resolution scanning x-ray fluorescence microscope with Kirkpatrick-Baez mirrors
Satoshi Matsuyama, Hidekazu Mimura, Mari Shimura, et al.
We developed a high-spatial-resolution scanning X-ray fluorescence microscope (SXFM) with Kirkpatrick-Baez mirrors. As a result of focusing tests at 15 keV, the focused beam having a FWHM of 30 x 50 nm2 was achieved. Additionally, the size was controllable within the wide range of 30 ~ 1400 nm merely by adjusting the X-ray source size. The observation of a fine test chart suggests that SXFM enables us to visualize the element distribution inside the pattern at a spatial resolution better than 30 nm. We applied the SXFM to observe intracellular elemental distributions at a single-cell level, so that we could acquire element distribution maps with a spatial resolution of sub-100 nm and lower detection limit of 0.01 fg.
Evaluation of a modern soft x-ray monochromator with high resolving power over 10,000
Haruhiko Ohashi, Yasunori Senba, Eiji Ishiguro, et al.
A new soft x-ray monochromator with varied-line-spacing plane gratings has been installed in SPring-8. The energy resolution of the monochromator is estimated by the photoabsorption spectra of the Ne 1s excitation and by the photoelectron spectra of Xe 5p at the same photon energy of 867 eV. The highest possible resolving power of the monochromator is over 15,000 at 867 eV.
Focusing properties of aperiodic zone plates
Fresnel zone plates are conventional focusing and imaging elements used for X-ray and extreme ultraviolet radiation. The distribution of the transmissive and opaque circular rings in a Fresnel zone plate is periodic along the squared radial coordinate. In this communication we present a novel family of zone plates in which this periodicity is broken. These aperiodic zone plates present interesting focusing properties. We found two different kinds of designs. One of them produces a bifocal device with predictable separation between the foci. The other one produces a focal distribution along the optical axis with a fractal structure which is governed by the lacunarity of the zone plate. Different parameters allow a flexible design of both kinds of zone plates.
Design and characterization of an UHV compatible artificial channel cut monochromator
We present design and characterization results of a novel ultra-high-vacuum-compatible artificial channel-cut monochromator that has been installed at the undulator beamline 8-ID-I at the Advanced Photon Source. The monochromator has been designed to meet the challenging stability and optical requirements of the x-ray photon correlation spectroscopy program hosted at this beamline. In particular, the device incorporates a novel in-vacuum sine-bar drive mechanism for the combined pitch motion of the two crystals and a flexure-based high-stiffness weak-link mechanism for fine tuning the pitch and roll of the second crystal relative to the first crystal.
Wavefront analysis and beam profiling from 40 eV up to 40 keV
Thomas Nisius, David Schäfer, Rolf Früke, et al.
A wavefront sensing and beam monitoring system applicable to various kinds of X-ray and EUV sources like synchrotron radiation from undulators, X-ray lasers and laser induced plasma sources in the spectral range from 40 eV up to 40 keV is presented. One of the main applications will be the feedback for adaptive optical elements, e.g. deformable mirrors, for X-rays above 10 keV. It also provides the possibility to estimate the source dimension and distance. The wavefront sensor is based on a modified Hartmann setup; the beam is sampled by a rectangular grid and generates a distorted image on the detector. Comparison of this image with a known reference yields the wavefront's local slope at an extensive number of points. However, the Hartmann pattern is not directly imaged but is converted to the visible spectral range by a scintillator. This offers the possibility to use off the shelf cameras for image acquisition. First measurements, performed at the VUV-FEL (DESY, Hamburg) and at ELETTRA (Trieste), show the feasibility of this approach. The Hartmann principle offers the advantage to measure both, intensity and phase, thus allowing to reconstruct not only the wavefront but also the beam profile. Wavefront resolution of up to λ/100 (λ = 1 nm) can be achieved. The intensity profile and the beam shape can be measured with a spatial resolution better than 10 μm. With the current setup it is also possible to analyze the temporal and spatial stability of the source.
Thermal and mechanical joints to cryo-cooled silicon monochromator crystals
A. MacDowell, S. Fakra, G. Morrison
We describe the performance of various materials used as the thermal interface between silicon to silicon and silicon to copper joints when operated at ~120K and loaded with ~20 watts of thermal power. We find that only the indium based silicon-to-silicon joint is reliable.
ESAD shearing deflectometry: potentials for synchrotron beamline metrology
Deflectometric profilometers provide the metrology for the accurate measurement of optical surfaces which are not easily accessible to classical interferometers, e.g., due to the dynamics of their topography, their size, or the need of independence from calibrated reference surfaces of matched topography. Applications include the measurement of optics used in synchrotron beamlines and the definition of flatness standards. One application of deflectometric scanning is the Extended Shear Angle Difference (ESAD) method, which has been developed to provide highly accurate measurements of the slope and topography of near-plane and slightly curved optical surfaces. ESAD combines deflectometric and shearing techniques in a unique way to enable error minimization and traceability. A facility achieving sub-nm repeatability, reproducibility, and uncertainty of topography measurement was built at the Physikalisch-Technische Bundesanstalt (PTB). ESAD utilizes the straight propagation of light as well as traceable measurands to provide a flatness standard with highest accuracy which is to serve as the starting point of the traceability chain in flatness measurement. It will be reported on the ESAD device and on measurement results. Measurement comparisons involving ESAD deflectometry and an independent deflectometric scanning system will be presented. Information on the adjustment of the pentaprism, the specimen under test, and the axes of the autocollimator will be given. It will be reported on the reduction of the systematic errors in the angle difference measurement down to the milliarcsec (few nrad) level.