Proceedings Volume 5713

Photon Processing in Microelectronics and Photonics IV

cover
Proceedings Volume 5713

Photon Processing in Microelectronics and Photonics IV

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 12 April 2005
Contents: 21 Sessions, 71 Papers, 0 Presentations
Conference: Lasers and Applications in Science and Engineering 2005
Volume Number: 5713

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Laser Ablation and Analytical Techniques
  • Poster Session
  • Modification of Optical Properties in Materials
  • Laser Processes for Silicon and Semiconductor Component Manufacture
  • Laser Transfer and Deposition Processes
  • Keynote Presentation
  • Femtosecond Laser Micromachining: Interaction Mechanisms
  • Femtosecond Laser Micromachining: Surface Processing
  • Femtosecond Laser Micromachining: Nanostructures
  • Femtosecond Laser Micromachining: Fabricating Microfluidics
  • Laser Application and Modeling for MEMS
  • Industrial Laser Applications and Manufacturing
  • Laser Processing in Wet Environments
  • Poster Session
  • Fundamentals and Reviews
  • Cutting and Separation Technology
  • Surface Structuring and Cleaning
  • Ablation and PLD
  • Joining
  • Drilling
  • Poster Session
  • Laser Formation and Analysis of Nanocrystals
  • Laser Plasma and Pulsed Laser Deposition of Nanoparticles
  • Poster Session
  • Laser Formation and Analysis of Nanocrystals
Laser Ablation and Analytical Techniques
icon_mobile_dropdown
Micromachining of inorganic transparent materials using pulsed laser plasma soft x-rays at 10 nm
Tetsuya Makimura, Youichi Kenmotsu, Hisao Miyamoto, et al.
We have investigated micromachining of inorganic transparent materials by direct soft X-ray ablation. The pulsed soft X-rays were generated by irradiation of a Ta target in a vacuum chamber with Nd:YAG laser light at 532 nm, with a pulse duration of 7 ns, at a fluence of 104 J/cm2. The laser plasma soft X-rays (LPSX's) were focused on the surfaces of specimens using an ellipsoidal mirror that is made from silica glass and coated with Au. The ellipsoidal mirror is designed so that LPSX's at arount 10 nm are focused efficiently. The fluence of LPSX's on the specimens is roughly estimated to be 0.1 J/cm2. We found that quartz glass plates are machined by pulsed LPSX's irradiation at a rate of 48 nm/shot. Furthermore, the quartz plates have smooth surfaces with a roughness less thatn 10 nm after 10 shots of LPSX irradiation and sharp edges with a steepness less than 100 nm. In addition to quartz glass, the LPSX processing can be applied to micromachining of a variety of materials such as Pyrex, CaF2, LiF, LiNbO3, Si and silicone. We found a transient state induced by LPSX irradiation of quartz glass. The transient state absorbs 266 nm light. We irradiated quartz glass with 266 nm Nd:YAG laser light and LPSX's simultaneously and found that ablation is enhanced to have a rate of 85 nm/shot. With X-ray imaging optics, nanomachining of inorganic transparent materials should be achieved.
Investigation of laser ablation of CVD diamond film
Choung-Lii Chao, W. C. Chou, Kung-Jen Ma, et al.
Diamond, having many advanced physical and mechanical properties, is one of the most important materials used in the mechanical, telecommunication and optoelectronic industry. However, high hardness value and extreme brittleness have made diamond extremely difficult to be machined by conventional mechanical grinding and polishing. In the present study, the microwave CVD method was employed to produce epitaxial diamond films on silicon single crystal. Laser ablation experiments were then conducted on the obtained diamond films. The underlying material removal mechanisms, microstructure of the machined surface and related machining conditions were also investigated. It was found that during the laser ablation, peaks of the diamond grains were removed mainly by the photo-thermal effects introduced by excimer laser. The diamond structures of the protruded diamond grains were transformed by the laser photonic energy into graphite, amorphous diamond and amorphous carbon which were removed by the subsequent laser shots. As the protruding peaks gradually removed from the surface the removal rate decreased. Surface roughness (Ra) was improved from above 1μm to around 0.1μm in few minutes time in this study. However, a scanning technique would be required if a large area was to be polished by laser and, as a consequence, it could be very time consuming.
Poster Session
icon_mobile_dropdown
Quantum cascade lasers tuned by amorphous As2S3 claddings
Daniel L Recht, Zhijun Liu, Khandaker R. Rahman, et al.
Quantum cascade lasers (QCLs) have become a key component in the development of optical approaches to sensing applications. Recent experiments have shown the ability to achieve second harmonic generation from these structures suggesting the possibility of achieving short wave-infrared output. However, in these cases the power of the non-linear mode is limited by its phase mismatch with the fundamental cavity mode. By modifying the effective index of the laser system through cladding, one may ameliorate the mismatch to increase power output. In this study, we develop a simple method for drop-coating arsenic sulfide (As2S3) glass on QCLs. The glass is processed by above band-gap illumination, which induces a change in the refractive index resulting in a shift of the output mode of the laser. We observe the effective index to change by a factor 1.3 x 10-4 after 20 minutes of illumination at 312 nm for the fundamental wavelength of the QCL. Below band-gap irradiation, such as that occurring during device operation, is confirmed to have no effect on the material. Studies of the output of QCLs reveal for the first time mode shifting of the spectra as a result of optical modification to a cladding layer.
Modification of Optical Properties in Materials
icon_mobile_dropdown
F2-laser writing of silica optical waveguides in silicone rubber
F2-laser writing of silica (SiO2) optical waveguides has been successfully demonstrated on the surface of silicone [(SiO(CH3)2)n] rubber by the photochemical modification of silicone into silica. The 2-mm-thick silicone rubber was exposed to the 157-nm F2-laser beam through a thin (~0.2 mm) air layer. A proximity Cr-on-CaF2 photomask with 8- to 16-micron-wide slits controlled the exposure size to define the width of the silica waveguide. Optimum laser conditions to generate crack-free waveguides with good transparency were found by varying the laser fluence, pulse repetition rate and total exposure. The optimized waveguides were found to guide both red (~635-nm) and infrared (~1550-nm) wavelengths with light end-fired from standard single-mode fiber.
Thermal heating effects in writing optical waveguides with 0.1 - 5 MHz repetition rate
High-repetition rate (>200 kHz) ultrafast lasers drive novel heat accumulation processes attractive for rapid writing of low loss optical waveguides in transparent glasses. Heat accumulation is significant at ~1 MHz when thermal diffusion is insufficient to remove the absorbed laser energy from the focal volume (<10-μm diameter) during the interval between pulses. A novel femtosecond fiber laser system (IMRA, FCPA μJewel) providing variable repetition rate between 100 kHz and 5 MHz was applied to waveguide writing in fused silica and various borosilicate glasses in order to investigate the relationship of such heat accumulation effects. Waveguides were formed with <400-fs pulses at 1045-nm at pulse energies of 2.5 μJ at 100 kHz to >150 nJ at 5 MHz. Wide variations in waveguide properties were encountered, particularly when processing 1737F and AF45 borosilicate, at repetition rates greater than 200 kHz. Waveguide characterization revealed unique material-dependent thresholds for cumulative and single pulse phenomenon. Of these materials, fused silica is unusual in resisting waveguide formation at the fundamental wavelength of 1045 nm, but amenable to waveguide writing at the second harmonic of 522 nm. Laser processing windows are presented for several silica-based glasses for creating symmetric waveguides with low insertion loss when coupled to standard optical fibers. The effects of material and laser parameters on thermal accumulation and waveguide characteristics are discussed.
Investigation of the process for manufacturing optoelectronic devices using nonorganic photoresists
Sergiy Al. Kostyukevych, Andrey A. Kryuchin, Anna N. Morozovska, et al.
The results of experimental and theoretical investigations aimed at applying non-organic photoresists for mastering optoelectronic devices are represented. Samples for investigations were prepared using thermal evaporation of the glass with different As-S-Se compositions in vacuum onto a glass substrate. When exposing photoresist to obtain interference 2D/3D patterns, we used He-Cd laser irradiation with the wavelength 440 nm. The exposure was chosen from 20 to 150 mJ/cm2, and spatial frequencies of obtained gratings were from 600 to 3600 mm-1. The resist samples were processed using a waterless organic selective etchant based on amines. Results of investigations showed that As40S60-xSex (x=0-20) photoresist is characterized by higher holographic sensitivity (i.e. energetic expose necessary for providing given value of the diffraction efficiency). This enables us to create various combined optical-digital protective elements and master-copies for all types of optical disks (CD, DVD).
Laser Processes for Silicon and Semiconductor Component Manufacture
icon_mobile_dropdown
Laser technology for wafer dicing and microvia drilling for next generation wafers
Laser micromaching systems are being used in mainstream high-volume semiconductor applications. Two of those processes, via drilling and thin wafer dicing, are discussed in this paper. Via drilling has been proven viable for forming through chip and blind vias. The inherent flexibility of the laser process makes it possible to control via depth, diameter and sidewall slope. As a mask-less process, laser via drilling can be cost affective and highly flexible in its application. Thin wafer dicing reduces the breakage and damage to thin silicon wafers. A new process has been developed that improves the die strength of laser singulated devices beyond that obtained using conventional sawing techniques.
Laser doping of germanium
T. J. Mahaney, Andrey V. Muravjov, Maxim V. Dolguikh, et al.
A direct-write pulsed Nd:yttrium-aluminum-garnet laser treatment in an aluminum-containing gas was applied to the polished surface of an undoped Ge wafer. After KOH etching to remove metallic aluminum deposited on the surface, secondary ion mass spectroscopy (SIMS) revealed ~60-200 nm penetration for Al at a concentration of ~1017 cm-3. Atomic force microscopy showed that surface roughness is much less than the measured penetration depth. Laser doping of Ge is a potential low cost, selective-area, and compact method, compared with ion-implantation, for production of high current ohmic contacts in Ge and SiGe opto-electronic devices.
Development of line-shaped optical system for YAG2omega laser annealing used in the manufacture of low-temperature poly-Si TFT
Tatsuki Okamoto, Kazutoshi Morikawa, Atsuhiro Sono, et al.
We have developed a new optical system that transforms the circle profile beam generated with near Gaussian intensity distribution by the YAG2ω laser (second harmonics of a Q-switched Nd:YAG laser) into a line-profile beam. This transformed bean has uniform distribution to within 5% in the longitudinal direction, and is about 100 mm long and 40 micron wide. For homogenization in the longitudinal direction, we employ a waveguide plate homogenizer. The laser beam is divided by waveguide plates, and the divided beams are overlapped on the surface of the works. We successfully reduced interference fringes by increasing the fixed optical pass difference beyond the inherent time and coherent length for every divided pair of adjacent beams under a controlled space coherent length. In the width direction, the laser beam is focused up to the limited M2 value.
Surface morphology and recrystallization behavior of amorphous Si after ArF laser irradiation
Ta-Tung Chen, Chih-Yu Chang, Choung-Lii Chao, et al.
193nm-ArF excimer laser irradiation of amorphous silicon (a-Si) thin film has great potential on the production of poly-crystalline silicon (poly-Si) thin film transistor liquid crystal displays (TFT-LCDs). The main reason for applying poly-Si thin films instead of a-Si thin films on fabricating high performance electronics devices is due to its higher electron mobility, which is strongly influenced by the film's surface morphology, grain size, microstructure and defect density. The specimens used in this study have a 100 nm-thick a-Si thin films deposited on glass substrate by plasma enhanced chemical vapor deposition (PECVD) technique. The effects of annealing parameters, such as shot number, repetition rate and the fluence, on the surface morphology and recrystallization behaviour of a-Si thin films were investigated. The results showed that the threshold fluence of partial melting of a-Si thin films at 1Hz and single shot was around 150 mJ/cm2. Further increasing the fluence and shot numbers leads to the formation of microvoids trapped inside poly-Si film due to the evolution of hydrogen gas during the laser annealing process. The surface morphology formed during the recrystallization of amorphous Si thin films is found depending upon the laser fluence and shot numbers. The super-lateral grown (SLG) poly-Si grain can be obtained at the fluence around 190 mJ/cm2.
Laser Transfer and Deposition Processes
icon_mobile_dropdown
Microdroplet deposition by laser-induced forward transfer
A unique form of Laser-Induced Forward Transfer (LIFT) has been developed that is capable of depositing single micrometer-sized droplets. LIFT was performed using a 7 ns Nd:YAG laser operating at 1064 nm. One micron films of aluminum and nickel supported on glass donor substrates were used as samples. Films were irradiated at the interface between the film and donor substrate using the standard LIFT technique. At fluences slightly above the melting threshold, single droplets were transferred to the acceptor substrate, with deposit sizes between 1 and 2 microns. This is significant since the laser beam diameter (> 12 microns) is much larger than the deposited droplets. SEM images of the original donor films after laser irradiation indicated a re-solidified melt pool with a raised bump at the center, the point of ejection of the transferred droplets. The physical origins of the droplet formation and transfer are unclear, but appear to be a result of the combined effects of surface tension and volumetric expansion during the solid-liquid phase change process.
Laser based hybrid inkjet printing of nanoink for flexible electronics
Seung Hwan Ko, Jaewon Chung, Yeonho Choi, et al.
Many applications require delivery of small quantities of functional materials into locations on a substrate in the form of liquid solution. Consequently, interest in nongraphical inkjet printing is growing. In addition, higher resolution for printing flexible electronics is becoming more critical to enhance the performance of printing electronics. Since the resolution of inkjet process is limited by the nozzle size and the statistical variation of droplet flight and spreading phenomena, hybrid inkjet printing has emerged as an attractive processing method. In this work, surface monolayer protected gold nanoparticle was printed in a liquid solution form and cured by laser irradiation to fabricate electrically conductive microlines on glass or polymer substrate at a reduced temperature. Continuous laser curing enabled local heating and the morphology could be controlled as well. Thermal penetration into the substrate could be minimized by using pulsed laser beam. Nanoparticle film was effectively removed by applying femtosecond laser, so that small feature size was obtained. Printing on a heated substrate has advantages over room temperature printing. The solvent evaporates soon after contact, so that a thick layer can be deposited with high jetting frequency. The rapid liquid evaporation also eliminated uneven wetting problems and the smaller feature size was obtained.
Scope for electric field assisted removal of ablated debris from laser machined features in silicon
The problem created by the re-deposition of ablated material when laser machining structures in silicon wafers is investigated. The study focuses on the specific case of machining wafer grade silicon with femtosecond pulses centered at a wavelength of 775 nm. Based on the evidence that a highly ionised plasma state exists immediately after laser ablation, this work explores the potential of using electric fields to channel the debris out of the laser machined feature before it becomes deposited. To this extent the work discusses the step-by-step development of different experimental arrangements, by first evaluating its effects, then identifying its limitations and finally by proposing and investigating potential solutions. It is found that a reduction in the amount of re-deposited debris is observed when a carrier-depleted region is generated in silicon materials.
Keynote Presentation
icon_mobile_dropdown
Femtosecond versus picosecond laser ablation
Andreas Ostendorf, Guenter Kamlage, Ulrich Klug, et al.
Results of ablation of different materials by femtosecond and picosecond laser pulses are compared. Advantages and disadvantages of both laser systems are discussed. Two most important criteria, processing speed and quality of the fabricated structures, are addressed. High repetition rate picosecond lasers allow high speed cutting of thin metal foils and silicon wafers, whereas for micro-drilling it is more advantageous to use femtosecond laser systems.
Femtosecond Laser Micromachining: Interaction Mechanisms
icon_mobile_dropdown
Pulse duration and energy density influence on laser processing of metals with short and ultrashort pulses
Ronan Le Harzic, Detlef Breitling, S. Sommer, et al.
Influence of pulse duration on microprocessing of Al is studied. Results show noticeable differences in terms of quality, burr height and remolten or recast matter into micromachined grooves at high fluence regime for 120fs and 4,5 ps pulse duration. At 120 fs experimental results of penetration depth are found to be 2 or 3 times higher than the theoretical optical penetration depth and is lowered to this value with increasing pulse duration. At high fluence regime up to 2 J/cm2, ablation thresholds are found to be in the range 10 times higher than for the case of 1 J/cm2. Penetration depths are higher by a factor 10 to 20 than the theoretical optical penetration depth. The ablation rate is nearly constant until 1 ps and then falls down to 2 times lower values and decreases regularly until 4,5 ps. This time is supposed to correspond to a critical pulse width between ultrashort and short regime.
Analysis of femtosecond (775nm) and nanosecond (355nm) micromachined Ni surfaces using electron backscatter diffraction (EBSD)
William O'Neill, Matt Gill, Walter Perrie, et al.
Advances in laser micromachining have resulted in considerable processing capabilities for the growing MEMS/MOMS applications currently being developed. The two distinct temporal regimes for processing that are employed currently are ultrafast timescales at ~150fs and nanosecond timescales at >5 < 250ns. Reported results from various laser interaction studies reveal that the absence of heat affected zones cannot be guaranteed when using ultrafast interactions. This work presents experimental results from ablation studies of Ni in the ns and fs regimes. An important processing parameter, average scanned intensity, is defined along with experimentally derived values for ablation thresholds and the 2ω0 beam diameter for each of the optical setups. We apply electron back scattering diffraction (EBSD) analysis to target machined Ni surfaces from the fs and ns interactions to identify the creation or absence heat affected zones. Results from the study of EBSD data suggest that low intensity ultrafast interactions are capable of eliminating heat affected zones on condition that surface plasmas are not sustained above the interaction site. There is clear evidence of substantial heat affected zones when using nanosecond pulses at a wavelength of 355nm.
Femtosecond Laser Micromachining: Surface Processing
icon_mobile_dropdown
New laser marking technology using ultrafast lasers
Laser marking of silicon wafers has been an industrial standard for many years. One of the emerging challenges for wafer marking is the recent introduction of very thin wafers. In this paper, we present a completely new laser marking technology using ultra-fast lasers in an attempt to address these challenges. Permanent and high contrast shallow marks (less than 1 micron) on silicon wafers are achieved with no bump or kerf height. The visibility of these marks is independent of the viewing angle, which is very unique and desirable. Mark font sizes much less than 0.3 mm have been demonstrated, which shows potential for micro and nano marking.
Femtosecond Laser Micromachining: Nanostructures
icon_mobile_dropdown
Mechanisms and applications of femtosecond laser induced nanostructures
Jianrong Qiu, Yasuhiko Shimotsuma, K. Miura, et al.
Femtosecond laser has been widely used in microscopic modifications to materials due to its ultra-short laser pulse and ultrahigh light intensity. When a transparent material e.g. glass is irradiated by a tightly focused femtosecond laser, the photo-induced reaction is expected to occur only near the focused part of the laser beam inside the glass due to the multiphoton processes. We observed various induced localized microstructures e.g. color center defects, refractive index change, micro-void and micro-crack, in glasses after the femtosecond laser irradiation, and discussed the possible applications of the microstructures in the fabrication of various micro-optical components, e.g. optical waveguide, micro-grating, micro-lens, fiber attenuator, 3-dimensional optical memory. In this paper, we review our recent investigations on single femtosecond laser-beam induced nanostructures. We introduce the space-selective nanoscale valence state manipulation of active ions, precipitation and control of metal nanoparticles and observation of polarization-dependent permanent nanostructures, and discuss the mechanisms and possible applications of the observed phenomena.
Surface nanostructuring of Ni, Ti, and 316L stainless steel using ultrafast laser interactions
Matt Gill, Walter Perrie, Peter Fox, et al.
The generation of surface periodic structures (SPS) on laser machined surfaces is known to occur when exciting the surface near the ablation threshold using short pulse laser exposure. These effects were first observed in the late 1960s and have remained a laboratory curiosity. Although well studied at nanosecond timescales there have been limited number of studies at ultrafast timescales. We have investigated the conditions necessary to generate short and long-range periodic structures using ultrafast laser pulses at λ =775nm and 387 nm which may find application in the field of surface engineering. This work examines the formation of SPS on a range of materials including Ni, Ti and SS316 and their dependence on fluence and polarisation.
Femtosecond and nanosecond laser micromachining of oxidized multi-wall carbon nanotube doped morthane
Kenneth E. Hix, Mingwei Li, Jacek Gosciniak, et al.
Carbon nanocomposites consist of thermoset and thermoplastic materials filled with carbon nano-particles (nanotubes, bucky balls, etc.). This innovative group of materials offers many advantages over standard polymers such as electrical/thermal conductivity and improved structural properties. In the current study, an Yb:KGW solid-state femtosecond laser and an Nd:YVO4 solid-state nanosecond laser were used to micromachine oxidized multi-wall carbon nanotube (MWCNT) doped morthane. The experimentation studied the relationship between various laser-processing parameters including laser pulse duration, pulse energy, beam scanning speed, and average power. The processing consisted of cutting channels into the materials using 1048 nm wavelength at 400 fs pulse duration, 1064 nm wavelength at 40 ns pulse duration, and 355 nm wavelength at 35 ns pulse duration. Additionally, the effects of oxidized MWCNT fill percentage were considered. The material removal rate was quantified for each experimental condition. The experimental results are discussed in terms of material removal rates, machining quality, and achievable feature size.
Femtosecond Laser Micromachining: Fabricating Microfluidics
icon_mobile_dropdown
3D microfluidic lasers embedded in glass by femtosecond laser direct writing
By integrating a series of miniaturized functional components like microfluidics, microelectronics, micromechanics, and microoptics, a lab-on-a-chip device would permit superb performance in chemical and biological analyses with reduction of reagent consumption, waste production, analysis time and labor costs. Since a large part of biological analyses are based on optical means such as photoabsorption spectroscopy or fluorescence detection, incorporating microoptical components into the lab-on-a-chip device is an important issue. However, difficulties in packaging and assembly have been major challenging issues in the manufacture of such devices. Recently, our group developed a technique of fabricating 3D hollow microstructures in a glass chip using femtosecond laser direct writing followed by postannealing and successive chemical etching, facilitating precise, efficient, and cost-effective manufacturing of integration of 3D microfluidics and 3D microoptics. In this paper, we report the fabrication of microfluidic dye lasers embedded in glass by integrating 3D microoptical and 3D microfluidic components. After filling the microfluidic chambers with laser dye rhodamine 6G dissolved in ethanol and pumping the microfluidic lasers by a frequency-doubled Nd:YAG laser, lasing action was confirmed by analyzing the emission spectra at different pumping powers. In addition, by serially stacking two microfluidic chambers in the glass, we built a microfluidic dual-color laser which produces an array of two simultaneous laser emissions at different wavelengths using only one pumping laser. The microfluidic laser array can be easily integrated with an array of microfluidic channels to perform parallel analysis of multiple reactants, thereby not only multiplying the detection speed but also widening the application range for lab-on-a-chip science.
Laser Application and Modeling for MEMS
icon_mobile_dropdown
Modeling of solid-state and excimer laser processes for 3D micromachining
Andrew S. Holmes, Alexander I. Onischenko, David S. George, et al.
An efficient simulation method has recently been developed for multi-pulse ablation processes. This is based on pulse-by-pulse propagation of the machined surface according to one of several phenomenological models for the laser-material interaction. The technique allows quantitative predictions to be made about the surface shapes of complex machined parts, given only a minimal set of input data for parameter calibration. In the case of direct-write machining of polymers or glasses with ns-duration pulses, this data set can typically be limited to the surface profiles of a small number of standard test patterns. The use of phenomenological models for the laser-material interaction, calibrated by experimental feedback, allows fast simulation, and can achieve a high degree of accuracy for certain combinations of material, laser and geometry. In this paper, the capabilities and limitations of the approach are discussed, and recent results are presented for structures machined in SU8 photoresist.
Laser micromachining techniques for industrial MEMS applications
Heather J. Booth, Charles E. Abbott, Ric M. Allott, et al.
Pulsed laser sources are widely used for the micro-processing of materials from the structuring and patterning of surfaces to the direct machining of devices. This paper discusses laser micro-processing techniques for the fabrication of microstructures with high accuracy and precision. Techniques discussed include laser mask projection techniques and direct beam micromachining using galvo-scanners and high precision motion stages, with a variety of different lasers. Examples of the application of these techniques to the manufacture of MEMS and MOEMS devices are discussed.
Industrial Laser Applications and Manufacturing
icon_mobile_dropdown
Laser material processing in microelectronics manufacturing: status and near-term opportunities
Lasers continue to gain ground in materials processing applications for microelectronics manufacturing. Printed circuit boards, IC wafers, substrates for blue/green LEDs, and various components in flat panel displays are all being processed with lasers. Some of these operations, such as microvia drilling in high-density circuit boards, are well established; others are under evaluation or in early stages of development. This paper summarizes the status of a number of key applications that currently or potentially add value to the complex manufacturing processes for state-of-the-art microelectronic devices. Particular attention is paid to flat panel display manufacturing, where the worldwide massproduction ramp currently underway is demanding innovative techniques that provide the speed, quality, or flexibility needed to reduce manufacturing costs.
Invisible display in aluminum
Jan Phuklin Prichystal, Hans Norgaard Hansen, Henrik Henriksen Bladt
Bang & Olufsen a/s has been working with ideas for invisible integration of displays in metal surfaces. Invisible integration of information displays traditionally has been possible by placing displays behind transparent or semi-transparent materials such as plastic or glass. The wish for an integrated display in a metal surface is often ruled by design and functionality of a product. The integration of displays in metal surfaces requires metal removal in order to clear the area of the display to some extent. The idea behind an invisible display in Aluminum concerns the processing of a metal workpiece in such a way that micro cavities are formed from the backside of the workpiece. The micro cavities must not penetrate the metal front side, but an ultra-thin layer of metal is left. It is possible to shine light through this layer. By ordering micro cavities in a matrix, different symbols can be obtained by shining light from the backside of the workpiece. When there is no light from the backside, the front surface seems totally untouched. This was achieved by laser ablation with ultra-short pulses.
Laser direct-write of embedded electronic components and circuits
The development of embedded surface mount devices, IC's, interconnects and power source elements offers the ability to achieve levels of miniaturization beyond the capabilities of current manufacturing techniques. By burying or embedding the whole circuit under the surface, significant reduction in weight and volume can be achieved for a given circuit board design. In addition, embedded structures allow for improved electrical performance and enhanced function integration within traditional circuit board substrates. Laser-based direct-write (LDW) techniques offer an alternative for the fabrication of such embedded structures at a fraction of the cost and in less time that it would take to develop system-on-chip designs such as ASIC’s. Laser micromachining has been used in the past to machine vias and trenches on circuit board substrates with great precision, while laser forward transfer has been used to deposit patterns and multilayers of various electronic materials. At NRL, we have been exploring the use of these LDW techniques to both machine and deposit the various materials required to embed and connect individual components inside a given surface. This paper describes the materials and processes being developed for the fabrication of embedded microelectronic circuit structures using direct-write techniques alongside with an example of a totally embedded circuit demonstrated to date.
Coincident multiwavelength irradiation of polymers with UV and VUV laser
In this paper the material ablation of polymers with a cascade of two laser pulses of different UV-wavelengths, exploiting the effects of the excited state absorption (ESA), is described. With a first vacuum ultraviolet (VUV) laser pulse with a wavelength of λ = 157 nm, the material is excited at low energy densities. A second, ultraviolet (UV) laser pulse, either λ = 193 nm or λ = 248 nm, is applied with a defined time delay between Δτ = 0 and 200 ns to the first pulse to induce the ablation process. Experiments have been carried out on polymethyl methacrylate (PMMA) and polytetrafluorethylene (PTFE). The effects on the ablation quality, ablation threshold and the ablation rate have been investigated. The focus has been set on the range of low energy densities, aiming for minimum ablation by each single laser pulse. By applying the multi wavelength pulse trains, the ablation quality can be improved, compared to the conventional UV laser machining of such materials. The machining of PMMA with a cascade of λ = 157 and λ = 248 nm does not show the material foaming, which can be observed when applying only λ = 248 nm laser pulses in the low energy regime. Applied on PTFE, the multi wavelength ablation shows improved results when using λ = 157 nm and λ = 193 nm, compared to the pure λ = 193 nm processing. The excited state increases the absorption of the UV laser light in the material, allowing a minimised ablation rate or higher depth resolution. This can be reduced down to the range of some nm (PMMA) or a few ten nm (PTFE). Besides the fluence, the time delay Δτ has been identified as a process parameter to vary the ablation rate. Also this dependency is qualitatively not unique for all wavelength, material and fluence combinations, but most of the experimental data point out an increased sensitivity for time delays between Δτ = 30 and Δτ = 60 ns. This sensitivity correlates with the time resolved temperature course on the surface, which is induced by the excitation pulse.
Particle-free semiconductor cutting using the water jet guided laser
Delphine Perrottet, Akos Spiegel, Frank Wagner, et al.
For many years, wafer cutting has posed a challenge to laser-based cutting techniques because of the brittle nature of semiconductors and the exacting requirements for cleanliness. Since conventional laser cutting generates a strong heat-affected zone and a large amount of particles, abrasive sawing is currently the standard process for semiconductor wafer dicing. However, abrasive sawing can no longer fulfill the demands of new, emerging types of semiconductor devices like those based on thin wafers and compound semiconductors. New separation methods are investigated here. The water jet guided laser is a relatively recent technology that offers not only a significantly reduced heat-affected zone but also a cleaner wafer surface. This is due, first, to the water jet, which cools the material between the laser pulses and removes a significant amount of molten material generated by laser ablation. However, the system has recently been upgraded by adding a device that covers the entire wafer surface with a well-controlled thin water film throughout the cutting process. The few generated particles are thus kept in suspension and will not deposit on the wafer surface.
Creating precise 3D microstructures using laser direct-write bimetallic thermal resist grayscale photomasks
Previous research demonstrated Sn/In and Bi/In bimetallic thermal resists are promising new materials for direct-write analogue grayscale photomask processes. These materials turn transparent with increased laser exposure power and their optical density changes smoothly from 3 OD when unexposed to less than 0.22 OD when fully exposed. The transparency is the result of an oxidation process that is controllable with exposure to generate the grayscale levels in the photomask. In order to produce precise 3D structures in regular photoresists, the steps involved in microlithography must be quantified and examined. The lithographic process includes drawing 8-bit grayscale bitmap patterns, computer-aided laser writing photomasks on bimetallic films, and regular photoresist exposure using a mask-aligner. Compensation during the mask-writing process was necessary since the relationship between the optical density of the exposed bimetallic films and the laser writing power was not completely linear. In addition, the response of the photoresists to the mask exposure time was also a non-linear relationship. To investigate the resolution limit for Bi/In and Sn/In bimetallic thermal resists as a masking material, we used a modified form of interference lithography to expose and develop structures in Bi/In resists with widths that are less than 200 nm. As a result of the lithography, we were able to create structures in the Bi/In films that are up to 20 times smaller than previously obtained using the direct-write method.
Laser Processing in Wet Environments
icon_mobile_dropdown
Laser processing of dental hard tissues
In addition to their use for the painless removal of dental decay, lasers are also well suited to modify the chemical composition of the mineral phase of dental hard tissues in order to render the tissues more resistant to acid dissolution and for the modification of the hard tissue morphology for better adhesion to restorative materials. In this paper the principal applications of lasers for the processing of dental hard tissues are discussed with an emphasis on the influence of an externally applied layer of water. The presence of an optically thick layer of water profoundly influences the phase composition of the laser irradiated tissue surface and the morphology resulting in more efficient ablation, better adhesion and improved resistance to acid dissolution.
Surface microfabrication of silica glass by LIBWE using DPSS-UV laser
Surface micro-structuring of silica glass plates was performed by using laser-induced backside wet etching (LIBWE) upon irradiation with a single-mode laser beam from a diode-pumped solid state UV laser at 266 nm. We have succeeded in a well-defined micro-pattern formation without debris and microcrack formations around the etched area on the basis of Galvanometer-based point scanning system with the laser beam. This method is suitable for rapid prototyping and rapid manufacturing of surface microstructuing of silica glass in a convetional atmospheric environment.
Spatial distribution of the species laser-ablated from the target submerged in liquid
Tetsuo Sakka, Kouichi Hirata, Satoru Masai, et al.
Laser ablation of aluminum and silver targets submerged in water has been studied. The self-reversed structure in the atomic emission lines has been observed. The results were compared with the line profile calculation based on one dimensional radiative transfer model. In the calculation the population density was assumed either Gaussian or a rectangular distribution, the latter represents the higher distribution of the ground state in the periphery of the plume. The comparison of the experiment and the calculation suggests a high distribution in the periphery region for the plume in water in comparison with the plume in air.
Heat damage-free laser-microjet cutting achieves highest die fracture strength
Delphine Perrottet, Roy Housh, Bernold Richerzhagen, et al.
Unlike conventional laser-based technologies, the water jet guided laser does not generate heat damage and contamination is also very low. The negligible heat-affected zone is one reason why die fracture strength is higher than with sawing. This paper first presents the water jet guided laser technology and then explains how it differs from conventional dry laser cutting. Finally, it presents the results obtained by three recent studies conducted to determine die fracture strength after Laser-Microjet cutting.
Poster Session
icon_mobile_dropdown
The influence of laser beam-width and focusing angle about the precision of cutting metallic materials
Claudiu Isarie, Ilie Isarie, Toderita Nemes, et al.
To realize a high precision cutting operation, we must know the position and pointing of the beam axis- which are defined in accordance with ISO, by the centers of gravity of energy density distributions at different distances from the exit lens of laser. The angle of focalization must be related at least with; material width, beam energy, cutting speed, precision requirements and workpiece destination. Authors have realized experimental set-up for simultaneous measurement of energy density distributions, correlated with the mentioned working parameters. Besides the geometrical details, the authors studied also the influence of different values of beam density, about the zone affected by heat (h.a.z.) This is very important, particularly for the workpieces which could be used in some engines where they are exposed at important stress, vibrations a.s.o. The ideal cutting process requires parallel walls at the entrance of the beam and the same on the exit. To realize such operations, we have to fix the focalization angle for each material, for each dimension and provide the correct cutting speed and the complete evacuation of the melted material. The study may be extended also to other materials like advanced metal matrix composites, amorphous metals for aerospace applications and others.
Optical properties of pulsed-laser deposited BaTiO3 thin films
Jie Xu, Daniel P. Durisin, Gregory W. Auner
Thin films of barium titanate (BaTiO3) have been grown on Si (100) and UV fused silica substrates using KrF pulsed-laser deposition (PLD). The films were characterized by X-ray diffraction (XRD), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and UV/VIS/NIR spectroscopy. Smooth and stoichiometric films were grown on Si (100) substrate at O2 pressure range of 10-30 mTorr and substrate temperature of 600°C-620°C. The XRD patterns of the films revealed the polycrystalline peaks with a preferential orientation. The optical properties of BaTiO3 films were investigated in terms of UV-VIS transmission spectrum of the films deposited on UV fused silica substrate. The spectral dependences of refractive index and absorption coefficient, and the thickness of the films have been calculated from optical transmission measurements using the envelope method. The band gap energy (Eg) of BaTiO3 films was found to be 3.35eV.
Mechanism of laser ablation in liquid media investigated by using long-lasting lanthanide emission as a probe
Kouichi Hirata, Satoru Masai, Tetsuo Sakka, et al.
Laser ablation of Eu2O3 in water has been studied by emission spectroscopy and shadowgraphy. In the emission spectra, many emission lines appear in the visible region and last for a millisecond. In the early time range from the ablation pulse shot, most of them are assigned to Eu atoms and Eu+ ions. Among them, the emission lines originated from the transition to the ground state of both species show the self-reversed profile. It indicates that both Eu atoms and Eu+ ions in their ground state are abundant in the peripheral region of the plume. In a later time range, the emission lines originated from trivalent Eu species (Eu2O3 nanoparticles) appear and last for a long time. In the shadowgraphs, the gas cavity-like plume expands, shrinks, and collapses with time. Based on the obtained results, we revealed that the chemical reactions following the laser ablation phenomenon in liquid does not end only in the plume, but also proceeds in the surrounding liquid phase. By using the long-lasting lanthanide emission as a probe, the information about the distribution of the ablated species in the plume can be obtained as long as milliseconds. The mechanism of the particle formation can also be discussed.
Fabrication and characterization of hexagonally assembled ZnO and ZnO:N thin films with buffer layer by pulsed-laser deposition
Takenori Osada, Takeshi Okato, Minoru Obara
Zinc oxide (ZnO) is a wide band gap (3.37 eV) material and significantly interesting for many applications. Recently, many studies have been directed toward the fabrication of p-type ZnO using the group V elements (N, As, P, Sb). We have fabricated ZnO thin films in nitrogen background gas by the pulsed-laser deposition (PLD), because nitrogen is the most promising dopant. The nitrogen incorporation into the films was confirmed by X-ray Photoelectron Spectroscopy (XPS) analyses for the films grown under the high nitrogen pressures. However, the nitrogen doped films do show the disordered hexagonal microstructures which induce the defects into the crystal resulting from strains and stresses. Therefore, we have introduced the ZnO low-temperature buffer layers (LTBLs) between ZnO thin films and sapphire substrates to reduce the defects. The growth conditions of the ZnO LTBL were experimentally optimized for the first time. Characteristics of ZnO thin films with and without a ZnO LTBL were determined by x-ray diffraction (XRD), Field Emission Scanning Electron Microscope (FE-SEM), and Atomic Force Microscopy (AFM). The electrical properties of the ZnO thin films were measured by the van der Pauw method. As a result, epitaxial lateral overgrowth (ELO) and hexagonally assembled ZnO have been successfully confirmed using LTBL. Nevertheless, the films still show the n-type conductivity, our results clearly demonstrate the advantages of the ZnO LTBL.
Laser ablation of TiO2-2xNx targets for efficient N-doping into anatase TiO2 photocatalytic films
Tatsunori Sakano, Takeshi Okato, Minoru Obara
Among the well-known photo-catalytic materials, the anatase TiO2 is the most promising in terms of its chemical stability and high reactivity. It is known that the photo-catalytic activity under the visible light irradiation can be enhanced by nitrogen doping into the anatase, because the substitutional nitrogen produces an impurity state which absorbs the visible light. In this paper, we will report on the properties of the nitrogen doped films with different dopant concentrations. The anatase films are prepared by KrF excimer pulsed-laser ablation of TiO2-xNx targets. The films are deposited on the (100) LaAlO3 substrate which has a good lattice matching with anatase (~ 0.2%). First, we discuss the optimization of the growth conditions. To prepare the nitrogen doped anatase thin films, we have developed a low-temperature epitaxy. The growth of anatase-type TiO2 was confirmed using an x-ray diffraction (XRD). The nitrogen incorporation was evaluated by an x-ray photoemission spectroscopy (XPS). The as-grown films have very smooth surface and exhibit good amphiphilic properties. Then, we present the photo-catalytic activity of the films. The nitrogen doping concentration was varied by adjusting the amount of nitrogen in the ablation targets. The photo-catalytic activity was measured by the decomposition rate of methylene blue solution under a fluorescent light illumination.
Hybrid light-laser welding for microelectronics
Georgy M. Alekseev, Valentin K. Sysoev, Yuri N. Bulkin
High monochromaticity, coherence and low divergence of the laser are the properties thanks to which it has become possible to build a promising high-concentration kind of heat energy emitter. As a source of welding energy, the laser beam has provided welding practices with new features. At present, the laser is the only available energy source that reaches power densities of more than 106 W/cm2 thus maintaining deep welding requirements. The laser welding evolution has further developed in hybrid welding methods, such as two-beam, laser-arc, laser-induction, laser-plasma, lighting laser processing procedures that, due to their high technical and economical efficiency, find ever multiplying applications in industry.
Fundamentals and Reviews
icon_mobile_dropdown
Fundamentals of energy cascade during ultrashort laser-material interactions
Hai-Lung Tsai, Lan Jiang
During an ultrashort laser pulse, numerous photons are emitted in a very short period of time leading to very high peak power. The photons can excite free electrons in the material to very high temperatures (heating) or strip bound electrons from the atoms (ionization). In ultrashort laser heating there is a time lag between the electron heating and the lattice heating. The two-temperature model has been proposed to calculate the electron temperature and the lattice temperature and the related damage threshold for metals. On the other hand, ablation models based on impact ionization and photoionization have been proposed to predict material removal rates for semiconductors and dielectrics. However, in existing heating or ablation models, some critical thermal and optical properties of the material are assumed to be time, space, and fluence independent or the estimations are limited to temperatures much lower than the Fermi temperature. In this paper, the quantum theories are employed to calculate the free electron heating, free electron relaxation time, and the temporal and spatial dependent thermal and optical material properties. The improved two-temperature model is used to predict damage fluences of gold thin films. The new ablation model based on the Fokker-Planck equation can predict ablation depth and crater shape of semiconductors and dielectrics. The predicted results are in good agreement with experimental data.
Thermal, mechanical, and structural phenomena in laser material interaction by large-scale atomistic modeling
Laser material interaction involved in laser-assisted microscale packaging is endowed with rapid and coupled optical, mechanical, and thermal processes. In-depth understanding of the underlying physics in these processes is instrumental for process optimization and functionality and dependability design of systems. This work is focused on the atomistic modeling of laser material interaction, particularly about the phase change, nanoparticle formation, stress generation and propagation, and formation and revolution of sub-surface structural damages. Large-scale parallel molecular dynamics simulation is conducted to model over 200 millions of atoms. The result reveals no clear interface when phase change occurs, but a transition region where the solid and liquid structures co-exist. The solid-liquid interface is found to move with a velocity up to the local sound speed. A vapor and droplet mixture is ejected from the surface with a high speed. The simulation reveals that nanoparticles originate from an intense vapor phase explosion after laser heating. The emerging time of larger particles is much later than that associated with smaller clusters. The resulting nanoparticles are characterized with a gas-like structure while characteristics of liquid are also preserved to a certain degree. In laser-assisted surface nanoscale structuring, visible sub-surface nanoscale structural damages are observed in the direction of 45 degrees with respect to the laser incident direction. Detailed study of the lattice structure reveals atomic dislocation in the damaged regions. Both temporary and permanent structural damages are observed in the material.
Industrial applications of ultrahigh precision short-pulse laser processing
Short-pulse lasers of femtosecond and picosecond durations are used in ultrahigh precision processing of optical, electronic, and micro-mechanical devices. In this paper we discuss the fabrication of high quality photonic crystal band-pass filters with femtosecond laser pulses. We also discuss the parallel processing of inkjet nozzles with a picosecond laser. A laser milling algorithm and a high accuracy beam scanner allows the formation of precisely shaped holes as inkjet nozzles, and an efficient diffractive beam splitter allows the simultaneous drilling of many hundreds of holes for low cost manufacturing.
Cutting and Separation Technology
icon_mobile_dropdown
Laser separation of flat glass in electronic-, optic-, display-, and bio-industry
Christoph Hermanns, Julietta Middleton
Up until now, conventional cutting methods by using metal or diamond wheels have been widely in use in numerous glass industries. Along with the market trend towards clean and more sophisticated process, constraints by the traditional methods have been unavoidable. Hence, laser separation is seen as an alternative and breakthrough solution to many and in this paper, an overview on laser separation as a whole is provided in terms of its process theories and its system as process equipment. Also glass application varieties as well as market requirements are discussed.
High-precision high-speed separation of semiconductor substrates
Scribing with ultra-violet (UV) lasers has emerged as an alternative method of scribing semiconductor wafers for separation, particularly for thin silicon and germanium wafers, as well as other brittle compound semiconductor wafers materials such as GaP and GaAs.
Measurement techniques for laser parameters relevant to materials processing
Many micromachining operations, particularly in the electronics sector, utilize pulsed solid-state UV lasers. These processes demand high levels of stability, as the yield and quality relate directly to the repeatability of each laser pulse. Critical stability issues arise with single-pulse processes (e.g. repair), situations requiring bursts of pulses (e.g. drilling), and continuous pulsing applications (e.g. cutting). To realize optimal stability specific design choices must be made, certain transient problems must be solved, and pulse energy measurements must be standardized. Solid-state UV lasers originate as infrared lasers, and nonlinear optics converts the infrared to the UV. This conversion introduces instability. Performing the conversion within the infrared laser cavity suppresses the instability, relative to performing the conversion outside of the laser cavity. We explain this phenomenon. Ideally, a versatile and stable solid-state laser can generate pulses in many formats. Thermal effects tend to prevent this versatile ideal, resulting in transient problems (unstable pulse trains), or less than optimal performance when the laser is pulsing continuously. Many methods of measuring pulse energy exist. Each method can produce surprisingly different results. We compare various techniques, discuss their limitations, and suggest an easily implemented pulse energy stability measurement.
Surface Structuring and Cleaning
icon_mobile_dropdown
New laser patterning technology with dynamic focus control for 3D MIDs
Toshiyuki Suzuki, T. Shindo, Shingo Yuasa
We have developed an original laser structuring process for MIDs in harmony with laser patterning technology recently displaying remarkable technical innovation.. For creating MID products, conventional patterning technology is unable to meet the requirements for complicated three-dimensional shapes, finer circuits and higher accuracy because of involving the following problems. 1) It is difficult to execute highly accurate and fine circuit patterning on surfaces at a 90° angle with level difference of 4mm or over. 2) It is difficult to assure the quality on surfaces such as sloped surfaces where the workability is varied. 3) The productivity is lowered due to fine circuit patterning. We have solved the above problems through dynamic control of laser structuring parameters, using a dynamic focusing system, and it has enabled the following improvements. 1) Successive laser patterning on surfaces with level difference of 10mm. 2) Fine circuit (track/gap = 30μm/30μm) patterning in same circuit on surfaces at a 90°angle. 3) Quality assurance and productivity improvement by structuring parameters best for patterning.
Review of laser-based applications advancing magnetic-recording hard-disk-drive technology
Gurinder P. Singh, Peter Baumgart, Eric Baugh, et al.
Laser-based technologies have played an important role in manufacturing of hard disk drives. The applications include disk texturing, precision bending of the suspension, precision adjust of the shape of the slider carrying the magnetic read/write head and protection against electrostatic discharge (ESD) in the read/write heads. Disk texturing allows one to reduce slider/disk stiction during contact by producing bumps only a few nanometers high and a few microns in diameter at the landing zone of the disk. Laser bending of the suspension allows one to precisely control gram load, the pre-load force with which the slider is pushed towards the disk, as well as the pitch and roll static attitudes of the slider, which strongly influence its flying characteristics. Laser crown adjust helps control slider flatness to within a few nanometers, with high precision and predictability. These technologies allow one to reduce both the mean and the tolerance of slider/disk spacing, thus effectively enabling higher magnetic recording densities. Laser technology helps to protect the sensitive magnetic read head against electrostatic discharge by allowing one to put an electrical short on the head during most of the part's handling, then removing it using a sharply focussed laser beam during final stages of drive assembly. Laser technology, with its ability to process small areas with precision positioning, being contact free and hence largely contamination free and being able reach areas with optical fibers not easily accessible by mechanical means is ideally suited for these manufacturing processes. In this paper we review the physical mechanisms underlying these technologies and possible future applications of lasers in disk drive manufacturing.
Backside sample preparation with laser-enhanced chemical etching for infrared photon imaging
Qin Deng, Zhihong Mai, Ran He, et al.
Backside sample preparation has been widely used in failure analysis of integrated circuits (IC). Conventional backside sample preparation methodologies include mechanical grinding, parallel polishing, chemical etching with mask, etc. The mechanical grinding or polishing could induce mechanical damage in the Si. Normally the thickness after sample preparation with mechanical methods is about 100 um and above. Chemical etching can be applied to get thinner sample, e.g., less than 30 um and below. For a sample with thickness less than 30 um, mechanical support is needed. In order to make selective etching on substrates, a patterned mask has to be applied on the sample. In this paper, we will present a new methodology for backside sample preparation, which uses laser-enhanced chemical etching to open a trench on Si from the backside. This methodology can get thinner backside sample with strong mechanical support from the substrate.
Monitoring steam laser cleaning using optical probe techniques
Steam laser cleaning of alumina and titanium carbide nanoparticles from silicon substrates is presented. A KrF excimer laser with a wavelength of 248 nm was used to irradiate the substrates in laser cleaning. A water layer of micrometer thickness was deposited on silicon substrates to improve the cleaning process. Cleaning efficiency was measured for different laser fluences ranging from 50 to 250 mJ/cm2 and pulse numbers from 1 to 100. Research work was carried out to address the factors governing steam laser cleaning, during which thickness of water thin film and lift-off velocities of water films from Si substrate surfaces were monitored. In addition, one-dimensional simulations were employed to estimate the temperature increase on the material surfaces upon laser irradiation. Water layer thickness was measured using Fourier Transform Infrared Spectroscopy. Monitoring of both lift-off velocities and water thin film removal time were carried out by optical probing approaches using He-Ne laser of 632.8 nm wavelength.
Ablation and PLD
icon_mobile_dropdown
LIPAA technique and its possible impact on microelectronics
Yasutaka Hanada, Koji Sugioka, Iwao Miyamoto, et al.
The laser-induced plasma-assisted ablation (LIPAA) process developed by our group, in which a single conventional pulsed laser is only used, makes it possible to perform high-quality and high-speed glass microfabrication. Up to the present, this process has been widely applied for micromachining of various transparent hard and soft materials. In this process, a laser beam is first directed to a glass substrate placed in vacuum or air. The laser beam passes through the substrate since the wavelength of the laser beam must have no absorption by the substrate for the LIPAA process. The transmitted laser beam is absorbed by a solid target (typically a metal), located behind the substrate. The target is then ablated, resulting in plasma generation. Due to the interaction of the laser beam and the laser-induced plasma, significant ablation takes place at the rear surface of the substrate. Recently, we have developed the proto-type LIPAA system using a second harmonic of diode pumped Q-switched Nd:YAG laser for the practical use. In this paper, we will demonstrate micromachining, crack-free marking, color marking and dicing of glass materials. Additionally, selective metallization of glass and polyimide by the LIPAA process followed by metal chemical-plating is investigated. The discussion includes mechanism and practical applications in micro-electronics industry of the LIPAA process.
Synthesis of advanced materials by pulsed-laser deposition
Ionela Vrejoiu, Dan Gheorghita Matei, Johannes David Pedarnig, et al.
Advanced thin film materials with giant dielectric permittivities up to ≈ 10000 were produced by pulsed-laser deposition. Composite targets of barium titanate (BaTiO3) and polytetrafluorethylene (PTFE) were ablated with 248 nm KrF-laser radiation in Ar atmosphere. The synthesized films have a complex microstructure and contain product species which are formed during the pulsed-laser ablation / deposition process. The dielectric permittivities of films exhibit pronounced dispersion for frequencies higher than 10 kHz. Strong dependencies of the film permittivity on target composition, layer thickness, ambient gas pressure and relative humidity are revealed. The large dielectric permittivity of these film materials may be attributed to space-charge polarization phenomena. Films deposited from the same targets in oxygen atmosphere have much lower dielectric permittivity (ετ'≈ 30).
Near-field enhanced laser-assisted deposition
Jing Shi, Yongfeng Lu, Xiaoyu Chen, et al.
Diamond-like carbon (DLC) coated tips have been successfully applied in field emitter arrays, and scanning probe microscope (SPM) based nanofabrications. DLC deposition on tips is conventionally realized by thermal and plasma-enhanced chemical vapor deposition processes. In this study, we use laser-assisted method employing strongly enhanced near field around the tip apex for DLC deposition. DLC films were deposited on tungsten (W) tips under KrF excimer laser irradiation in a benzene solution and in a laser chemical vapor deposition (LCVD) chamber. Simulation results showed a highly localized optical field enhancement at the tip apex. There was also an optical-field gradient from apex to tip body. Experiment results showed that a locally confined DLC film was deposited based on energy dispersive X-ray (EDX) analysis. Raman spectra showed that at positions close to apexes, films tend to be more diamond-like. This implies that quality of DLC film varies according to local optical intensity along the tip. Hence, the deposition process was confirmed to be induced by the local near field generated by laser and nanotip interaction.
Joining
icon_mobile_dropdown
High-speed single-pulse welding with Nd:YAG lasers
Ronald Holtz, Matthias Jokiel, David Lavoie, et al.
High speed contour weldings with feed rates in the range of meter per second are performed by single pulses of Nd:YAG lasers with real time pulse control.The pulse length may be up to 100ms leading to a possible contour length of more than 100mm. The properties of the weld pool was investigated with direct beam and a beam delivered via fiber on the metallic workpiece. Micrographic pictures show, that with fiber the profile (depth and shape) of the melted zone is quite constant along the contour, while for the direct beam the profile is strongly influenced by the change of thermal lensing of the laser rod during the pulse. Corrections are possible by applying temporal pulse forming. The effect of this highly dynamic welding strategy on the joining of similar and dissimilar metals, which show quality problems in conventional seam welding, is investigated. The results demonstrate an improvement in the metallurgy (e.g. cracks), mechanical and visual properties in most joining tasks.The advantages and limits of this micro-welding strategy will be discussed.
Laser-assisted welding of transparent polymers for microchemical engineering and life science
Wilhelm Pfleging, Oliver Baldus, M. Bruns, et al.
In this contribution experimental studies on the laser-assisted welding of transparent polymers with diode laser (wavelength 940 nm) are presented to discuss their potential for the fabrication of micro-devices for micro-chemical engineering and life science. Micro-channel devices such as capillary electrophoresis chips, heat exchangers or static mixers for liquids and gases consist of different micro-patterned sheets with structural details in the range of a few μm. In micro-chemical engineering, in general the sheets of micro-devices are made of metals. In our approaches the use of transparent and micro-patterned polymers such as polyvinylidene fluoride (PVDF) is investigated. For the development of micro-devices in life science the use of sheets made of transparent polymers such as polymethylmethacrylate (PMMA) is presented, e.g., in capillary electrophoresis chips. Devices are built up by stacks of micro-patterned sheets which have to be joined. These sheets are patterned by micro-milling, CO2-laser cutting or hot embossing. Laser-assisted polymer welding of transparent and opaque materials is well established. But the welding of only transparent components is still a challenge in micro-system technology, especially if micro-structures are included. For this purpose very thin absorbing layers with a thickness of about 5nm to 20nm are used in order to establish a welding process between transparent and micro-patterned polymers. The strength of the bonding is characterized by tensile tests as function of absorbing layer thickness, temperature, laser scan velocity and laser scan overlap. The topography is investigated with atomic force microscopy and low voltage scanning electron microscopy.
F2-laser microwelding of optical fibers and glass substrates
Laser welding of optical glasses remains a challenging area today because of the weak optical absorption typically available with most commercial lasers and the brittle nature of glass. In this paper, we demonstrate for the first time to our best knowledge, the laser welding of telecommunication optical fiber onto a fused silica substrate. The 157-nm F2 laser was selected for the wide processing window that drives strong absorption at high fluence exposure > 1 J/cm2 without inducing microcrack formation. The method of second surface ablation was applied to the contact point between the glass plate and glass fiber to locally heat, melt, and reflow the glass and thereby weld together the two similar glasses. Mechanical pressure was applied while the laser beam was scanned along the sample contact to produce a line of overlapping welds of 25-um spot size each. Fused silica samples of up to several hundreds of microns thick could be welded owing to a large 157-nm penetration depth of 1/a ≈ 1 mm. A narrow 3.31 to 3.66 J/cm2 fluence window was found for laser welding through 160-um thick fused silica substrates. The F2-laser welding window is constrained by the need for sufficient transmitted fluence to melt the interface without too much fluence that will damaged the interface structure at the onset of ablation or induce front surface ablation.
Drilling
icon_mobile_dropdown
Laser-based microprocesses using diffraction-free beams generated by diffractive axicons
Jun Amako, Kazuto Yoshimura, Daisuke Sawaki, et al.
Diffraction-free beams having a large depth of focus are of great merit in laser-based processes in which light-matter interaction is to occur in an extended region along the beam path. We have investigated two kinds of processes that use a diffraction-free beam known as a zero-order Bessel beam: 1) Laser-drilling metal films coated on a substrate to make pinholes therein using nanosecond laser pulses at 532 nm. Given an uneven surface of the substrate, the beam irradiation point, or the process point, would be displaced from a right position. By using the Bessel beams holes ~2 mm in diameter can be formed despite the displacement of ~2 mm or more. 2) Laser-exposing bulk glass to form modifications inside using femtosecond laser pulses at 800 nm. The pulses must be temporally stretched to save their energy from being used up because of multi-photon absorption. The Bessel pulses can modify through glasses ~3 mm thick in a width of <5 mm. We have developed a new set of formulas to calculate the Bessel fields, which are generated by diffractive optical elements. The elements are designed to convert a Gaussian beam efficiently into an approximate form of the zero-order Bessel beam and are fabricated on fused quartz by direct laser writing and reactive-ion etching.
New mechanism of ultra-deep drilling of solids by high-power lasers
A new mechanism of ultra-deep drilling and related molten material expulsion during high-power short-pulse laser ablation of metals, semiconductors and dielectrics is proposed. In this mechanism ultra-deep (multi-micron) heat penetration and melting depths in these materials are assumed to result from their bulk absorption of thermal short-wavelength con-tinuous and characteristic radiation emitted by hot near-surface ablative laser plasmas. Multi-microsecond delays for expulsion of subsonic jets of micron-size droplets and for re-radiation of UV bursts from the irradiated targets are ex-plained by subsurface explosive boiling in bulk of the resulting ultra-deep melt pool.
Comparison of drilling rates and material removal dynamics for nanosecond and femtosecond laser pulses
The results of the interaction of the first harmonic of a 200 femtosecond laser pulse produced by a Ti:Sapphire commercial laser system and the third harmonic of a 40 ns laser pulse produced by a DPSS Nd:YVO4 laser with various materials are reported. The drilling rates were measured as a function of laser pulse energy and material thickness. Differences in material removal rates were observed between the low and high pulse energy. The dependence of the material removal rate on the sample thickness was measured. The observed dependencies of the drilling rate of a femtosecond laser on the laser pulse energy and material thickness are similar to a nanosecond laser drilling. This supports previously suggested hypothesis that a femtosecond laser system produces pulse containing a nanosecond pedestal with estimated energy comparable to the energy of the femtosecond component.
Poster Session
icon_mobile_dropdown
The new technology of the materials process: microvia formation by CO2 laser drilling machine
The purpose of this paper is the microvia results of different materials (RCC, FR4 and Cu) using CO2 laser drilling machine at the wavelength of 10600 nm. We investigated the mechanism of this process with CO2 laser and the microvia results of different materials. The microvia with a diameter up to 150 microns through laser drilling are presented. In this paper we report the results of a dielectric material such as the RCC and FR4 which used the different parameter of laser. In addition, the high power required for drilling copper foil compared to the resin material is due to the low absorption and high reflection of copper around 10600 nm wavelengths. We will present the method of the copper drilling based on a CO2 laser drilling machine system which used the low power.
Viscous drag force effect on transportation of submicron particle contaminants during dry and steam laser cleaning
Viscous air drag and diffusive Brownian motion result in unfavorable re-deposition of sub-micron spherical particle contaminants after their dry laser-assisted detachment from critical surfaces. Theoretical modeling and experimental results on particle transportation in air and thin variable liquid layers point out particle size and lift-off velocity as the most important parameters for efficient particle removal from critical substrates, while for smaller particles with lower inertia, lower lift-off distances and higher diffusion rates dry laser cleaning is less effective due to their fast diffusive redeposition back on these substrates. Under these circumstances one excellent option is the steam laser cleaning technique, when contaminating particles lift-off together with explosively boiling pre-deposited layer or separate micronsized droplets of a low-boiling liquid energy transfer medium and travel in the hydro- and gas-dynamic flow produced to much higher distances from the substrate irrespectively on particle size. Mechanical coupling of particles to the lifting-off liquid layer or separate droplets occurs via the known "inertial" mechanism and/or new mechanism of "dragging" contaminating particles off the substrate by the liquid environment, demonstrated for the first time in this work. Nearly 100% cleaning efficiencies and no any indication of re-deposition were observed for different particles in single-shot steam laser cleaning experiments. Another disadvantageous aspect of DLC is nearly linear increase of cleaning laser fluence with increasing inverse radius of contaminating particles. This circumstance may result in damage (melt-ing, ripples, ablation) of the critical surface at high laser fluences necessary for removal of smaller (nanometer-size) particles and, thus, imposes serious limitation on the operation range of DLC. Fortunately, SLC technique may be applied in such instances, providing cleaning at quite low cleaning laser fluences, which are shown to be universal over broad particle size range.
Analysis of laser engraving image inside crystal and PMMA
Yongjin Shin, Sohee Park, Youngseop Kim, et al.
Recently techniques for two dimensional (2D) or three dimensional (3D) image engraving inside crystal have been developed utilizing its transparency and high refractive index. However, due to the low tolerance against shock, heaviness and high cost of crystal, poymethyl methacryslate (PMMA) can be an attractive alternative for the laser engraving because PMMA has comparable transparency and refractive index to crystal while it is much easier to process. In this paper, we present the preliminary evaluation of PMMA as laser engraving material, potentially replacing crystal. For the comparative evaluation of crystal and PMMA, we used a 3D Laser Engraving System with a Q-switched 2nd harmonic Nd:YAG laser. Pulse energy and repetition rate of Nd:YAG laser were 26.9 mJ and 50 ~ 60 Hz, respectively to produce dot engravings inside crystal and PMMA. Also, the pulse duration time was less than 10 ns. We observed the size and shape of engraved points inside both material and resulting image formation depending on the distance between points (100 ~ 150 μm) as a function of laser power output. For the surface image comparison, an optical microscopy was used, and the cross sectional views of individual points were scanned every 10 μm using an optical coherence tomography (OCT) system. Our results demonstrate that laser engraving inside PMMA created better defined image formation from pure melting process rather than from cracking process inside crystal. We also present optimal 3D laser engraving conditions for PMMA as an alternative material to improve upon crystal's disadvantages.
Laser Formation and Analysis of Nanocrystals
icon_mobile_dropdown
Time-resolved and spectrally resolved 5D multiphoton microscopy for analysis and nanoprocessing of materials
Karsten Konig, Iris Riemann, Herbert Schuck, et al.
For the first time, sub-100 nm nanostructuring as well as five-dimensional (5D) multiphoton analysis with submicron spatial resolution, 270 ps temporal resolution and 10 nm spectral resolution have been performed on metal films, semiconductors, polymers and biological tissues using near infrared, 80/90 MHz femtosecond laser pulses at <3nJ pulse energy. A compact (65x62x48 cm3) multiport laser scanning microscope FemtoCut (JenLab GmbH) equipped with fast detectors for time-correlated single photon counting and a Sagnac interferometer for spectral imaging as well as the ZEISS laser scanning microscope LSM 510 Meta-NLO have been employed. Laser excitation radiation was provided by a tuneable turn-key, one-box Chameleon as well as a MaiTai Ti:sapphire laser oscillator. We were able to perform precise multiphoton nanopatterning of gold films, photoresists and polymers with submicron (cut) sizes. Interestingly, sub-80 nm laser-induced periodic surface structures (LIPPS) have been created in silicon wafers and used to produce nanostructured 2D silicon arrays.
Formation of nanoparticles from ultrafast laser condensates of metal targets
Matt Gill, Walter Perrie, A. Papworth, et al.
Optimised ultrafast laser ablation can result in almost complete ionisation of the target material and the formation of a high velocity plasma jet. Collisions with the ambient gas behind the shock front cools the material resulting in the formation of mainly spherical, single crystal nanoscale particles in the condensate. This work characterises the nanoscale structures produced by the ultrafast laser interactions in He atmospheres at STP with Ni and Al. High resolution transmission electron microscopy was employed to study the microstructure of the condensates and to classify the production of particles forms as a function of the illumination conditions.
Two-dimensional hexagonally arrayed nanohole fabrication on silicon substrate using a femtosecond laser pulse
We report a nanohole array fabrication with a particle light enhancement effect using a femtosecond laser pulse. Two-dimensional (2-D) arrayed polystyrene (PS) nanoparticles are deposited on silicon (Si) substrates. Polystyrene spherical particles with diameters of 200, 450, and 820 nm are used. We investigated the fabricated nanohole profiles in terms of the particles diameter and irradiated laser fluence. The morphology of the nanoholes is characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM). The nanohole diameter and depth became larger and deeper as the diameter of used particle or the irradiated laser fluence is increased. The intensity enhancement factor is obtained from the experimental data by comparing the nanohole depths to the ablation rate of the Si surface without particles. The enhanced light intensity between a PS particle and a Si substrate is calculated by the finite difference time domain (FDTD) method. The calculated optical enhancement factor is consistent with the experimental value.
Laser Plasma and Pulsed Laser Deposition of Nanoparticles
icon_mobile_dropdown
Optical and electrical properites of ZnO nanorods synthesized by nanoparticle assisted pulsed-laser deposition
Tatsuo Okada, Kou Kawashima, Minoru Ueda, et al.
This paper describes the characterization of nano-structured ZnO crystals synthesized by laser ablation deposition method. The influence of the synthesis conditions on the morphologies of the ZnO crystals was investigated in detail. ZnO nanorods with a hexagonal- and pyramidal top surface were obtained under limited growth conditions. The most critical process parameter for the growth of ZnO nanorods was gas pressure, whose process window was in the range from 1.0 to 5 Torr. In a high temperature background gas, on the other hand, unique nano-structured ZnO crystals were obtained, including a nano-wire pig-tailed ZnO nano-rod which has a nano-wire of less than 100 nm in diameter at the hexagonal top of nano-rods, a ZnO nano-cone with a bottom diameter of 500 nm and a height of 2 μm and so on. The unique geometrical shapes have a great potential in the application to the field emission devices and nano-optics.
Nitrogen-doped ZnO thin films by use of laser ablation of ZnO(1-x)Nx targets
Takeshi Okato, Takenori Osada, Minoru Obara
ZnO is inherently a strong n-type semiconductor due to its intrinsic defects. Among the group V elements (N, As, P, Sb), nitrogen is considered as teh most hopeful dopant for p-type ZnO, because substitute N (N0) is a relatively shallow acceptor. However, technical issues of the low solubility for the desirable defect and compensations from undesirable donor-like defects are imposed on the development of high mobility and low resistivity p-type ZnO. Breaking through these issues is accompanied by the optimization of dopant concentration and reduction of intrinsic defects. In this study, we have investigated the dependence of the nitrogen concentration on its electrical properties. Home-made ZnO1-xNx targets were prepared and used for KrF excimer pulsed-laser deposition (PLD) at precisely controlled growth conditions. Thin films were deposited on c-cut sapphire substrates. The nitrogen concentration was tuned by adjusting the amount of nitrogen in the ablation targets. The film properties were characterized by x-ray diffraction (XRD) and x-ray photoemission spectroscopy (XPS). The electrical properties were measured by van der Pauw method. The as-grown ZnO:N films showed n-type conductivity, however, they were converted to p-type upon post-deposition thermal treatment. Further improvement was demonstrated by introducing a ZnO low-temperature buffer layer which realized the lattice mismatch relaxation.
Improved near-infrared luminescence of Si-rich SiO2 with buried Si nanocrystals grown by PECVD at optimized N2O fluence
Chia-Yang Chen, Chun-Jung Lin, Hao-Chung Kuo, et al.
The optimized N2O fluence for plasma enhanced chemical vapor deposition (PECVD) growing silicon-rich substoichiometric silicon oxide (SiOx) with buried Si nanocrystals is demonstrated. Strong room-temperature photoluminescence (PL) at 550-870 nm has been observed in SiOx thin films grown by PECVD with N2O fluence varying from 105 to 130 sccm. After annealing from 15 to 180 min, a 22-nm-redshift of the PL has been detected. The maximum PL intensity is observed for the 30-min annealed SiOx growing at N2O fluence at 120 sccm. Larger N2O fluence and longer annealing time causes a PL blueshift by 65 nm and 20 nm, respectively. Such a blueshift is attributed to shrinkage in the size of the Si nanocrystals under the participation of dissolved oxygen atoms from N2O. The (220)-oriented Si nanocrystals with radius ranging from 4.4 to 5.0 nm are determined. The luminescent lifetimes lengthens from 20 μs to 52 μs as the nc-Si size extends from 4.0 to 4.2 nm. Optimal annealing times for SiOx preparing at different N2O fluences and an optimum N2O fluence of 120 sccm are reported. Serious oxidation effect at larger N2O fluence condition is observed, providing smaller PL intensity at shorter wavelengths. In contrast, the larger size nc-Si will be precipitated when N2O fluence becomes smaller, leading to a weaker PL at longer wavelength. These results provide the optimized growth condition for the Si-rich SiO2 with buried Si nanocrystals.
Poster Session
icon_mobile_dropdown
High-dispersion amorphous quartz nanopowder received by CO2 laser radiation
The process of high-intensity evaporation from the surface of quartz glass blank with use of infrared radiation of CO2 laser is considered. It is shown that the evaporation product is an amorphous SiO2 powder with particle size of 70 nm.
Phosphorus-doped diamond-like carbon films deposited by plasma-assisted free electron and ArF laser ablation method
Phosphorous doped diamond like carbon (DLC) films deposited on a p-type silcon (or quartz) substrate by plasma assisted laser ablation method for fabrication of photovoltaic solar cells. Frozen benzene and spread trimethyl-phosphate target or phosphorous powder mixing pressed graphite carbon target was ablated by free electron laser (resonance absorption wave length of benzene : 1680 nm) or ArF excimer laser (wave length : 193 nm). In order to etch the oxygen in plume of benzene and trimethyl-phsophate, inductively coupled hydrogen plasma by radio frequency (frequency :13.6 MHz) discharge flow between target and substrate. Effect of resonance excitation of benzene target by turning free electron laser or directly photon energy excitation by ArF excimer laser were discussed for widely band gap, larger short-circuit current density and open-circuit voltage at solar cell.
Laser microstructuring of silicon in dry and wet environments
The impact effect of a vapor recoil pressure on single-shot threshold-like laser micro-structuring of Si via the Kelvin- Helmholtz-type instability has been revealed during transient and postmortem studies of explosive boiling of predeposited micron-size water droplets on a Si wafer surface. The micro-structuring mechanism assumes local excitation of surface capillary waves in a molten surface layer of the material underneath each water droplet by near-GPa recoil pressure of explosively boiling water and the following steam bubble oscillations. Water droplets and produced structural features (micron-size craters, bumps or others) have exhibited high symmetries in their surface arrangements. Similar structures were also observed on dry clean Si surfaces irradiated by single fs-laser pulses resulted from the same "impact" excitation of surface capillary waves in the Coulomb explosion regime.
Laser Formation and Analysis of Nanocrystals
icon_mobile_dropdown
Surface passivation of (001) GaAs with self-assembled monolayers of long-chain thiols
Passivation of (001) GaAs surface was investigated with self-assembled monolayers (SAMs) of a variety of thiols having various methylene chain length and terminal groups. The effect of passivation was monitored by measuring the intensity of the GaAs-related photoluminescence (PL) signal excited with lasers operating either at 683 or 248 nm wavelengths. Generally, for each case of the thiol treated surface the PL signal was more intense than that from non-treated samples. Additionally, it was found that the thiol terminal groups play an important role in determining the methylene chain orientation in the SAMs and consequently the efficiency of the passivation. The methyl terminated methylene chain formed a layer of a closely packed and relatively thick film, which resulted in a significantly increased PL signal. In contrast, carboxylic acid group (-CO2H) terminated methylene chains formed thin and less compacted films, leading to only a slightly increased PL signal and less efficient passivation of the GaAs surface.