Proceedings Volume 2512

Photomask and X-Ray Mask Technology II

Hideo Yoshihara
cover
Proceedings Volume 2512

Photomask and X-Ray Mask Technology II

Hideo Yoshihara
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 3 July 1995
Contents: 5 Sessions, 59 Papers, 0 Presentations
Conference: Photomask Japan 1995 1995
Volume Number: 2512

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Photomask Process and Materials
  • X-Ray Mask Metrology and Equipment
  • Equipment and Metrology
  • Photomask Process and Materials
  • Equipment and Metrology
  • X-Ray Mask Metrology and Equipment
  • Equipment and Metrology
  • Phase-Shift Mask and Optical Proximity Correction
  • Inspection, Repair, Design Automation, and Management
  • Equipment and Metrology
  • Phase-Shift Mask and Optical Proximity Correction
  • Inspection, Repair, Design Automation, and Management
  • Equipment and Metrology
Photomask Process and Materials
icon_mobile_dropdown
Photomasks today and tomorrow
Geoffrey M. Akiki
Successive generations of semiconductors have necessitated increasingly more complex technologies to produce the desired densities, speeds and other functions. The requirements placed on the photomasks used to produce large-scale integrated circuits have concurrently increased. These changing requirements have dictated photomask technology shifts from optical steppers to electron-beam and laser pattern generators, more tightly controlled processes, as well as the investigation of techniques such as phase-shifting. The outlook for the future indicates that requirements will continue to grow more complex. This presentation describes projected roadmaps for photomask specifications and some suggested strategies to meet these enhanced requirements. Potential problem areas are highlighted, as well as related issues pertaining to the mask equipment and materials industry. A brief overview is also given on the current challenges associated with photomasks with particular emphasis on half-micron DUV lithography, and the 0.35-, 0.25- and 0.18-micron challenges. Techniques such as optical proximity correction and phase-shifting are also discussed in terms of their applicability and insertion into manufacturing. The current status of X- ray masks, as well as projections for the technical requirements and capabilities associated with their manufacture, is included. An outline of the Microlithographic Mask Development (MMD) contract objectives is also presented.
Delay time stable chemically amplified e-beam negative tone resist for optical mask application
The newly developed e-beam negative tone AZ EX resist series has been designed for optical mask applications to produce 64MB DRAMs and related microdevices. The chemistry of AZ EX resists is based on chemical amplification employing the three major components: novolak, crosslinker, and radiation sensitive acid generator. The formulations have been optimized to diminish handicaps of most standard chemically amplified resists, such as delay time instability and line width variations upon post exposure bake temperature changes. The sensitivity of AZ EX series are smaller than 1.0 (mu) C/cm2 at Vacc equals 10 kV featuring a delay time stability of more than 24 hours with < 5% line width error at 1.25 micrometers pattern design. Using standard process conditions, the line width variations versus post exposure bake temperature changes are about 0.09 micrometers / degree(s)C in EX22-N, and 0.07 micrometers / degree(s)C in EX24-N, respectively.
ZEP resist process for high-accuracy photomask with a dry-etching capability
Hideki Tarumoto, Kazuyuki Maetoko, Sakae Yamashita, et al.
For the improvement of CD accuracy in reticles, we have optimized the ZEP resist development process using a dipping system with regard to the sensitivity, the contrast and the process stability. Each developer has the same performance with respect to the sensitivity and the contrast for ZEP810S under optimized development time. ZED-2EK has been chosen as the suitable developer for dipping system, because it is single- component developer. EB dose has been optimized as 8 or 9 (mu) C/cm2 using ZED-2EK. ZEP810S resist process has been optimized, and CD uniformity of 0.024 micrometers on 3(sigma) , which is almost equal to the CD measurements error, has been obtained using this resist process. 64M- DRAM reticles have been fabricated successfully by using this resist process. The resist process has a potential such that 256M-DRAM fabrication with +/- 0.05 micrometers accuracy can be achieved by the uniformity improvement in EB exposure and etching.
Photomask blanks enhancement for the laser reticle writer
Hideo Kobayashi, Keishi Asakawa, Yasunori Yokoya
The laser writer (CORE) has come to the front for advanced reticle fabrication so that photomask blanks enhancement is much more to be desired for the application. We have investigated novel techniques to bring out photomask blanks potential to expand process windows for the laser writer application, which included optimization of resist coating thickness by studying standing wave effect, optimization of soft-baking by studying resist behavior to soft-baking temperature, and optimization of pretreatment by studying resist adhesion characteristic to chromium oxide based film and molybdenum silicide based film. We have also explored very basic features of several resists in a comparison between the most popular OCG-895i and new candidates under an optimized coating thickness and soft-baking temperature respectively for each resist. This paper describes details of our findings on novel techniques for photomask blanks enhancement, and a comparison result of several resists in very basic features, in order to expand process windows to meet critical dimension performance requirements of advanced reticle fabrication by the laser writer.
Sizing the next generation of optical photomasks
Gilbert V. Shelden, Anne Rudack, Rajeev R. Singh, et al.
Photomask technology remains one of the key enablers for the advancement of the semiconductor industry. Optical lithography will continue to be the mainstream technology for 0.25 micrometers and will likely extend below 0.2 micrometers . Continuous improvements in all aspects of fabrication will be required to support the ever decreasing error budgets as critical images continue to shrink. Additionally, stepper manufacturers will be migrating to large print fields via the use of advanced techniques like step and scan. The size of these print fields becomes limited, not by the size of the lens, but by the size of the photomask. For the photomask industry to cost-effectively implement the next reticle size, standardization will be required. Sufficient volume of production and higher process will be necessary to recover the high implementation costs.
Photomask cleaning for high-density and embedded PSM
Cheol Shin, Yung-Sung Son, Ki Jong Kim
Photomask cleaning is getting important factor in whole mask process as device density is going to higher and introducing of P.S.M. Not only hard defect but contamination defects both on Qz and the Cr areas of photomask reticle can make problems on wafer. Especially contamination defect on the Cr of embedded type P.S.M. can cause the undesirable phase shift effect. Current method of photomask cleaning is not so improved compared to other areas of mask manufacturing. New technology of cleaning will be developed in near future but we try to figure out the limit of current cleaning method and maximize the current cleaning performance.
Pellicle vs. influence of clean-room environments
Naofumi Inoue, Hiroaki Nakagawa, Masahiro Kondou, et al.
LSI Technology is experiencing a steady development from half micrometers to quarter micrometers generations. With this development, quality control is becoming more severe. Therefore, the level of quality required for dust proof pellicles is getting higher. As the line width of devices narrows, the quality and function of pellicles by the conventional method has become insufficient. In order to cope with the finer line width, the influence of clean room environments on pellicles should be understood, and some cautions should be noted. In this paper, three issues of the environmental influence are discussed. For each issue, its nature, possible adverse effects, and some recommendations are presented respectively.
X-Ray Mask Metrology and Equipment
icon_mobile_dropdown
Amorphous stuctured Ta4B absorber on SiC membrane for x-ray mask
Tsutomu Shoki, Ryo Ohkubo, Gregory M. Wells, et al.
Stress controllability, stress distribution and radiation stability of Ta4B absorber film on SiC membrane were investigated in detail. A low stress Ta4B film was deposited on as-deposited SiC membrane with excellent reproducibility by an rf magnetron sputtering using Ar gas. Ta4B film with very low stress below 10 MPa and high thermal stability have been obtained by annealing. The film has amorphous structure and uniform stress distribution of +/- 2.5 MPa in a window area of 28 mm square. The Ta4B film has been found to show high durability against SR irradiation. SR-induced displacement (3(sigma) ) of 0.8-micrometers -thick Ta4B film on SiC membrane were X equals 29 and Y equals 24 nm after irradiation of 531 kJ/cm2, which were within the measurement error of 30 nm.
Consideration of chemical bond configurations for radiation-hard UHV ECR-CVD SiNx x-ray mask membrane
Jinho Ahn, Katsumi Suzuki, Shinji Tsuboi, et al.
In this paper, the chemical study on the radiation-hard silicon nitride X-ray mask membrane prepared by an ultrahigh-vacuum electron cyclotron resonance chemical vapor deposition is presented. Silicon nitride film with higher nitrogen content showed a degraded radiation-stability. It is speculated that the higher electronegativity and the stress induced by smaller covalent radius of nearest-neighbor nitrogen atoms weakens the Si-H bonds, which is the most possible source of radiation-induced damage. Increases in silicon content in silicon nitride film is supposed to result in an improvement in the radiation stability through the modification in the bandgap structure and the microscopic bond configurations.
Reflection masks for soft x-ray projection lithography
Masaaki Ito, Takashi Soga, Hiromasa Yamanashi, et al.
A multilayer reflection mask is fabricated for soft x-ray projection lithography at a wavelength of 13 nm. A Mo/Si multilayer is deposited using magnetron sputtering to obtain high reflectivity at near normal incidence. Reactive ion etching in SF6 is applied to form a fine W absorber pattern with a thin SiO2 etch-stop layer. Observation of the resulting pattern profile with a scanning electron microscope shows a smooth reflective surface. Reflectivity measurement using a large- reflective-area sample indicates that the patterning process causes little damage to the multilayer. Projection imaging using a 20:1 Schwarzschild optic confirms that a 0.07-micrometers line-and-space pattern can be printed.
Equipment and Metrology
icon_mobile_dropdown
Mask metrology system XY-5i for 256-Mbit DRAM
Eiji Matsubara, Yoshihisa Fujita, Taro Ototake
As semiconductor LSI has become highly more integrated and its chip's pattern has become much finer, it shall be demanded in mask production to position the pattern even more accurately than ever. To meet the mask metrology requirements for future LSI designs, Nikon Corporation has developed the XY-5i. In this paper, first, we present the required accuracy for reticle and the required accuracy for the metrology system in each DRAM generation. Then we present the main specifications of the XY-5i. Second, we will explain the new technologies which are introduced so as to improve the coordinate measurement repeatability. And the performance will be demonstrated with actual measurement data from the XY-5i. Third, we will explain the new flexure compensation and the mirror bow compensation, which are introduced in order to improve coordinate nominal accuracy. The effectiveness of these compensations is shown with actual data in this paper.
Electrical characterization of across-field lithographic performance for 256-Mbit DRAM technologies
Junichiro Iba, Kohji Hashimoto, Richard A. Ferguson, et al.
Lithographic performance has typically been evaluated at a single point within the stepper field. However, this evaluation method does not completely provide the total lithographic performance on a chip because of variations introduced by the stepper as well as the reticle. In this paper, the evaluation method and characteristics of across-field performance are shown through the use of electrical line width measurements and exposure-defocus (ED) analysis. The across-field performance is analyzed by both the average process window and the common process window for two resolution enhanced photolithography techniques: phase-shifting mask (PSM) and off-axis illumination (OAI). The average process window corresponds to a single-point evaluation while the common process window includes all lithographic fluctuations across the field. Consequently, the common process window is much smaller than the average process window. Moreover, to consider the effect of mask critical dimension (CD) deviation on lithographic performance, a mask CD deviation enhancement factor (MEF) is introduced. By MEF correction, the contribution of mask CD deviation to common window degradation is obtained.
Ultrahigh-precision metrology on masks for 0.25 um device generation
Metrology becomes more and more a key function in mask making and development of new technologies. Due to the Sematech strategy a precision performance of less than 9 nm (3(sigma) ) will be mandatory for the pattern placement and CD metrology tools for masks of the 0.25 micrometers device generation. Performance data below 9 nm demonstrate the capability of today's metrology systems for this application. On phase shift masks (PSM) the pattern placement metrology tool should be able to measure the positions of the structures of both layers, the phase shifter and the chromium. Measurement data obtained with the LMS 2020 on embedded attenuated PSMs as well as results on Levenson type PSMs demonstrate the excellent applicability of optical metrology systems in this field. Cost of ownership (COO) of the metrology tool is another important issue to be reviewed. Currently more and more purchasing decisions among competing tools of similar performance are based on the COO comparison.
Development of EB lithography system for next generation photomasks
Tadashi Komagata, Hitoshi Takemura, Nobuo Gotoh, et al.
A higher quality electron beam (EB) mask lithography system is now required in an advanced field aimed at 1 Gbit DRAM chips. For this purpose, photomask accuracies of 0.03 micrometers to 0.02 micrometers are needed, for the feasibility of an EB lithography system with these accuracy levels is discussed. The error sources of a commercial EB lithography system with a variable shaped beam system and step and repeat writing strategy are examined. The development plans to minimize these errors are described and early results, specifically the field stitching error, obtained from these developments are shown. The mean stitching error was +/- 0.023 micrometers and the random stitching error was +/- 0.030 micrometers . From the analysis of error budget, it is shown that a field stitching accuracy level of 0.02 micrometers will be attainable after the completion of above-mentioned development plans.
Performance improvement in electron-beam reticle writing system
Hirohito Anze, Satoshi Yamasaki, Shuichi Tamamushi, et al.
Several experiments in order to improve throughput and accuracy have been carried out on electron beam reticle writing system which adopts variably shaped beam, vector scanning, and continuously moving stage. Stage speed optimization process by the stripe is introduced to reduce the writing time loss which arises from constant stage speed through writing a reticle. As a result, writing time decreases to 2/3 on average and the throughput of 2 reticles of 64 Mbit DRAM class per hour can be realized. Substrate clamping configuration for writing and measuring machine affects the substrate flexure and deteriorates the global positioning accuracy. The change of clamping point number form 4 to 3 for each machine improves the reproducibility of global distortion to 47% or more. The multipass writing method is effective to reduce stripe stitching error and fluctuation of the main-field position. In the case of multiplicity of 4, stripe stitching error and fluctuation of the main-field are 20 nm and 13 nm, respectively. The writing time ratio compared with single-pass writing is 1.6 even in 4-pass writing. Therefore, throughput should also be emphasized in view of accuracy improvements.
Photomask Process and Materials
icon_mobile_dropdown
Application of chemically amplified resists to photomask fabrication
Masumi Arai, Hiroyuki Inomata, Toshiharu Nishimura, et al.
Characteristics of four chemically-amplified (CA), negative EB resists have been evaluated and compared with the requirements to resists for 64 Mb-DRAM reticles The four resists satisfy the requirements on sensitivity, side-wall angle, corner roundness, edge roughness, and dry- etch rate. In a wet-etch process three resists (resists B, C and D) have good CD linearity down to 0.5 micrometers whereas one resist (resist A) has a poor linearity owing to a large etching shift. A dry-etch process could extend CD linearity and resolution limits for all the resists. Storage of a resist-coated blank in a box with silica gel is found to be effective in extending the life of the blank after coating. Thus, the lives after coating for all the resists are long enough for practical use (CD deviation less than 0.1 micrometers per month) under the above storage conditions. Only two resists (resists A and D) have lives after exposure long enough for practical use (CD deviation less than 0.1 micrometers per 10h). Reducing the contribution of post-exposure bake to CD uniformity is achieved by the use of a hot-plate that can give good uniformity in the surface temperature of a Cr/Qz-substrate. In conclusion, CA resists can meet the requirements to resists for 64 Mb-DRAM reticles.
Methods of error source identification and process optimization for photomask manufacturing
Mark D. Cerio
The optimization of a manufacturing process for linewidth uniformity is dependent on the ability to identify and eliminate the major sources of systematic error. When investigating a process composed of many individual sequential steps, it is necessary to decouple these steps and determine the relative magnitude of their contributions. Often, classical approaches in experimental design are either inappropriate or prohibitively expensive. Alternative statistical methods exist which provide sufficient accuracy of results with limited sample sizes and cost effectiveness.
Pellicles designed for high-performance lithographic processes
The semiconductor industry continues to push the resolution capability of lithographic processes in order to produce increasingly smaller device geometry at higher densities. To achieve these advances corresponding changes are occurring in the lithography equipment used to manufacture these devices. The wavelengths used for exposure are decreasing, numerical apertures are increasing and new off axis illumination systems are being introduced. These all have ramifications on the performance, effect and proper use of pellicles in the lithography system. At the same time the available process budgets are decreasing thereby increasing the relative effect of the pellicle contribution towards those budgets. Many of the traditional pellicle designs are no longer the optimum choice for use in high performance lithography. This study examines the effects of pellicles in high performance lithography systems.
Equipment and Metrology
icon_mobile_dropdown
ZBA31: an advanced mask writer meeting the demands of the 1-gigabit DRAM generation
Christian Ehrlich, Olaf K. Fortagne, Peter Hahmann
It is now widely accepted that variable shaped beam ( VSB ) writers have some significant advantages compared to the gaussian principle systems, especially when throughput is considered. The ZBA variable shaped beam system introduced in this paper is the most advanced mask generator from Jenoptik Germany. We have utilized the VSB electron optical concept from the very beginning of designing e-beam systems of the ZBA-series more than 20 years ago. The unique combination of this longstanding experience, more than 120 systems were constructed and comissioned, with some very recent developments in the software and operation logistics of the system, allow the ZBA31H maskwriter system to provide the complete performance that is required to satisfy the demands of the 1 G-DRAM generation masks.
X-Ray Mask Metrology and Equipment
icon_mobile_dropdown
Mask-holding mechanism for an e-beam x-ray mask writer
Tatsuya Kunioka, Nobuo Shimazu, Akira Shimizu, et al.
For high absolute pattern placement accuracy and high throughput in x- ray mask writing, it is very important to firmly hold the mask with little holding deformation and large thermal conduction. For these purposes we have developed a new 'triple-chuck' mask holding mechanism. This triple-chuck mechanism is a hybrid of three-point-contact and conventional electrostatic-chuck holding mechanism, and, as the name implies, it uses three small-area electrostatic chucks. To determine the suitable shape, area, and position of the electrostatic chucks, we performed deformation simulation using the finite element method, and also conducted thermal conduction simulations. The results suggested that the triple-chuck mechanism could attain targets set for an x-ray mask with a feature size of 0.2 micrometers . Accordingly, we installed the new holding mechanism in the EB-X1 writer and found that when holding 3-inch mask (2-mm thick, before bulk etching), there is no microslippage between the mask and holding mechanism when the XY-stage is moved with an acceleration of 0.3 G and the maximum holding deformation is 0.22 micrometers in a 25-mm-square patterning area. This corresponds to the absolute pattern placement accuracy degradation of less than 11 nm in the patterning area. About 30 minutes pass before the mask temperature is within 0.1 degree of the holding-mechanism temperature. This was determined by two different methods: a patterning method and marek detection. These experimental results confirmed the triple-chuck holding mechanism attained the targets set for an x-ray mask with a feature size of 0.2 micrometers .
High-precision EB technology with thin EB resist and distortion-free mask holder for x-ray mask fabrication
Shuichi Noda, Hiroshi Hoga
EB lithography and dry etching technology have been investigated to improve EB pattern resolution and pattern placement accuracy. High selective reactive ion etching of W absorber and thin EB resist process have been developed adopting intermediate Ti mask layer between the EB resist and W. The EB resist pattern resolution on the W absorber covered by thin Ti mask layer was improved by thinning EB resist and it became possible to obtain 0.12 micrometers -pitch line/space pattern with 0.1 micrometers - thick EB resist. Pattern size decrement for the designed pattern size was also effective to improve the resist pattern resolution. The W absorber was etched with very high selectivity above 500 to the Ti mask layer using Cl2 + O2 gas system. Using this RIE technique, it became possible to etch 0.7 micrometers -thick W absorber with 0.05 micrometers -thick EB resist and 0.03 micrometers -thick Ti mask layer. Further, a distortion-free X-ray mask holder for EB writing system has been developed, which is estimated to reduce pattern displacement caused by mask clamping to less than 3 nm within a radius of 10 mm exposure field as far as the clamping distortion was concerned.
One-point wafer bonding for highly accurate x-ray masks
Masatoshi Oda, Takashi Ohkubo, Hideo Yoshihara
We have proposed a new method of bonding Si wafers to glass frames for highly accurate X-ray masks. The method, called one-point bonding, is characterized by bonding the wafer at a very small area on the outer region of the wafer and floating the wafer over the frame except at the bonding area. This construction frees the wafer from any external force that acts on the frame. We found that this bonding method does not cause deformation of the wafer or pattern placement shifts in the membrane. The bonding of a 4-mm-diameter glued area is strong enough to withstand a vertical load of 150 g, therefore, wafers bonded to the frame by this method are not peeled off during mask handling. We have confirmed that no deformations occur in wafers bonded by this method even if the frame is deformed in a stepper.
Study of SiC x-ray mask distortion induced by backetching receding subtractive fabrication process
Shinji Tsuboi, Tsutomu Shoki, Tsuneaki Ohta, et al.
In this paper, we report on the evaluation of the SiC X-ray mask distortion induced by the backetching receding fabrication process by experiment and simulation. The window-opening process for the backetching mask induced pattern displacements of about the same level as measurement accuracy. Large pattern displacements normally induced by the Si backetching process are reduced by using a lower-stress membrane and/or a thicker Si substrate. Simulation shows that a larger-diameter substrate also reduces mask distortion. The one-point anodic bonding technique has been developed, which suppresses the pattern displacements in the last stage of bonding to the frame, to within measurement error (20 nm: 3(sigma) ).
X-ray mask fabrication process
Gregory M. Wells, Michael T. Reilly, Frederick T. Moore, et al.
The Center for X-ray Lithography (CXrL) has developed an x-ray mask fabrication process based on silicon nitride membranes and gold absorber. The LPCVD conditions for the growth of the nitride film produce 2 micrometers thick films with low tensile stress and an optical transmission sufficient for optical alignment. The membranes are formed with an reactive ion etch of the membrane window on the backside nitride, followed by a KOH etch of the silicon wafer. A plating base of 100 angstrom chrome followed by 200 angstrom gold is evaporated on the wafers. The wafer is then mounted on a glass ring using either adhesive or anodic bonding. The absorber pattern is delineated via e-beam lithography into either PMMA or SAL 601. Following resist development and an oxygen plasma cleaning, gold plating is used to produce features of the desired thickness.
Repairing x-ray masks with Ta absorbers using focused ion beams
Ikuo Okada, Yasunao Saitoh, Takashi Ohkubo, et al.
A focus ion beam system was used to repair x-ray masks with Ta absorbers. To repair opaque defects, excess Ta is removed by ion milling. Since the wall of the milled pattern is tapered compared to the absorber patterns of the mask, milling parameters such as the ion dose are justified by printing the repaired pattern on the resist with the SR exposure system. Clear repairs are made with Ta deposited using a organometallic material. Since the Ta content of the deposit was about 30%, a Ta deposition layer thicker than 1.2-micrometers is necessary to keep the contrast of the x-rays high. The repaired Ta absorber patterns have high chemical durability and are not damaged by wet cleaning with strong acid. We printed on resists with repaired masks and confirmed that the defects were completely repaired.
Equipment and Metrology
icon_mobile_dropdown
Reticle flexure influence on pattern positioning accuracy for reticle writing
Ryoichi Hirano, Kazuto Matsuki, Shusuke Yoshitake, et al.
This paper presents a method for estimating the influence of reticle flexure on pattern positioning accuracy, and evaluates the method by measuring patterned reticles. Reticle flexure causes the pattern shift which occurs by stretching or compression of the reticle surface. A height-mapping function of an electron beam (EB) writing system and a measuring machine are used to calculate the pattern shift due to reticle flexure. The bent shape of a reticle on the EB-writing system differs from that on the measuring machine, so that the patten shifts on the two machines are different. The pattern shifts caused by the bent shape difference were excluded from the measurement result of pattern positioning errors. The values of pattern positioning accuracy evaluation parameters, x, y-scaling and orthogonality, are calculated among several reticles (5 inches, 0.09 inches thick). The deviations of these three values are reduced to less than 50% of their uncompensated values.
Analysis of pattern shift error for mask clamping measured by Nikon XY-31
Shusuke Yoshitake, Kazuto Matsuki, Satoshi Yamasaki, et al.
Pattern measurement repeatability of metrology tools must be evaluated precisely to warrant higher pattern placement accuracy, according to a budget of pattern shift errors effected by the initial deformation of a substrate, clamping conditions, etc. As first steps, we focused on our metrology tool, Nikon XY-3i. Pattern measurement repeatability was usually evaluated to measure a referential pattern of a single mask repeatedly. For taking tilting variations on each of mask setting into account, we divided the coordinates of measured data into some error factors. Besides, we proposed sag correction method to eliminate tilting variation for precisely evaluation. This method was effective to unify each of the referential planes on measuring. Sag correction was effective to diminish in variations of orthogonality error factor and trapezoid error factors and deviations (3(sigma) ) of measurement repeatability. Therefore, we succeeded to get the quantitative budget of measurement repeatability for our metrology tool.
Comprehensive metrology-detection strategies for sub-0.5um lithography reticles
The 'edge detection' is a known problem in optical linewidth metrology. New illumination capabilities like Real Time Broad Band Confocal Scanning, the combination of conventional transmitted illumination with real time confocal scanning, and near UV transmitted illumination, used for metrology of finite transmissive/reflective masks, require a reevaluation of the traditional edge detection approach. For the masks with finite reflectivities or transmission on both sides of an edge the traditional 50% threshold does not describe at all the true edge location. Edge response function and Edge response width were reviewed for confocal scanning illumination and for typical finite transmissive (binary) masks. The proposed edge detection strategy deals with edge position shift with detection threshold in different illumination conditions and the relationship between the detection threshold and 'best' focal plane selected for measurement. 'Edge roughness', another known problem was reviewed from different prospectives and measurements of the edge roughness were performed at various edge detection thresholds. Mapping of mask feature 'aerial intensity' was used to view the edge roughness, edge slope and to locate edge position. Aerial intensity simulations with SOLID-C simulation tool were in very good agreement with the experimental data.
Evaluation of CD metrology tools for photomasks for 0.25-um devices
CD measurement tools to reticles for 0.25 micrometers devices are evaluated in light of the follow requirements: (1) linearity limit (or reliably measurable minimum feature size): 0.5 micrometers , (2) repeatability (3(sigma) ): less than or equal to 6 nm, (3) applicability to attenuated (or half tone) masks, and (4) automeasurement capability assisted with pattern recognition. The evaluated tools are (1) an optical CD measurement system Nikon MPA3 at g-line or e-line light, (2) a laser confocal microscope OAI SiSCANII7325 at 325 nm, and (3) a confocal- /transmission-type microscope Technical Instruments Co. KMS300T in a confocal or transmission mode with board-band illumination. The samples evaluated are (1) a low-reflective binary mask, (2) a HT mask for g-line exposure with a transmittance of 8%, and (3) a HT mask for i-line exposure with a transmittance of 8%, all having space patterns the width of which varies from 0.45 to 4.0 micrometers . In conclusion, the requirements are met by the confocal microscopes (SiSCANII7325 and KMS300T in a confocal mode).
Phase-Shift Mask and Optical Proximity Correction
icon_mobile_dropdown
248-nm DUV MoSiON embedded phase-shifting mask for 0.25 micrometer lithography
Giang T. Dao, Gang Liu, Robert F. Hainsey, et al.
Over the past five years worldwide efforts have been made to develop new techniques for optical lithography enhancement. These techniques include optical proximity correction, off-axis illumination, pupil filtering and phase-shifting mask (PSM). Among many phase-shifting mask approaches, embedded PSM (EPSM) method has drawn significant interest due to its relatively simple reticle fabrication process and excellent lithographic performance, in particular, for dark field mask layers such as contact and via holes. Perhaps, the most difficult task in materializing the EPSM technology is the creation of a thin film structure that controls both phase and transmission. In addition, this film structure must withstand severe environment of mask making process and yet can be inspected and repaired successfully using currently available tool sets. The newly developed MoSiON material meets these requirements and has demonstrated a feasibility for DUV EPSM pilot production. In this paper, characteristics of the DUV lifetime test results. Details of reticle fabrication process including e-beam writing, dry etching, inspection and repair will be presented along with chemical durability data and process capability. Finally, wafer level lithographic performance for contact holes printed on a step-and-scan and a projection aligner will be shown to demonstrate lithographic performance of 248 nm DUV EPSM for 0.25 micrometer lithography.
Development and evaluation of chromium-based attenuated phase-shift masks for DUV exposure
We had developed an attenuated phase shift mask for DUV exposure (DUV- AttPSM) using a CrFx film as a phase shifting layer. But the durability against DUV irradiation was poor (ca. 0.8% transmittance increase after 37 kJ/cm2). In addition, an exposure test proved that the phase shift angle of the DUV-AttPSM was 170 degrees. We improved the durability against DUV irradiation of our DUV-AttPSM by changing the deposition conditions. The transmittance change after 37 kJ/cm2 irradiation is reduced to 0.15%. The improved film shows acceptable durability against chemicals, and there is no problem in the mask- cleaning process. Furthermore, we evaluated the exposure properties of a DUV-AttPSM with a phase-shift angle of ca. 180 degrees, and the focus latitude for a 0.25 micrometers hole array turns out to be 2.5 micrometers , being 5- times as large as that of a binary mask. In addition, the consecutive deposition stability of the blank was tested, and the DUV-AttPSM proved to be adaptable to mass production.
Development of a W/Si thin film for the single-layered attenuated phase-shifting mask for 248-nm lithography
Hideaki Mitsui, Hiroyuki Sakai, Yoichi Yamaguchi
A novel material system of W/Si film which consisted of W, Si and their oxides has been developed for the single-layered attenuated phase- shifter (SAttPS) for the KrF excimer laser lithography. The W/Si film was deposited on quartz substrate by an RF sputtering, using WSi2 as a target and Ar and O2 mixtures as sputtering gases. The W/Si film has been shown to have excellent properties for the SAttPS such as controllability of the optical transmittance, electric conductivity, chemical durability and adequate adhesion to quartz substrate, in the same way as the film for i-line lithography previously reported. As- deposited W/Si film with the thickness of 975 angstrom and the transmittance of 7.1% at 248 nm showed no changes in the refractive index and some changes in the extinction coefficient after the KrF excimer laser irradiation up to 200 kJ/cm2. Annealing at 350 degree(s)C for 60 min under atmospheric He environment, however, let to no degradation in the extinction coefficient after the laser irradiation. The annealed W/Si film is expected to be a promising material of the KrF SAttPS.
Lithographic performance of SiNx single-layer halftone mask
An aging process that makes SiNx single-layer halftone film stable for DUV (248 nm) exposure has been established. The light irradiation with a low pressure mercury lamp was used to age the SiNx halftone film from the tendency of the transmittance change caused by the DUV exposure. Taking account of the optical constants shift during aging process, a SiNx halftone film with transmittance T equals 9.3%, phase shift angle (theta) equals 178 degrees was obtained. At the SiNx film, no transmittance change was observed after 2800 J/cm2 DUV exposure. Using the mask, 0.2 micrometers hole patterns were obtained with above 1.0 micrometers depth of focus (DOF).
Quality control of embedded-type phase-shift mask
Yoshiro Yamada, Kazuaki Chiba, Eisei Karikawa, et al.
We have been developing the technology for phase shift masks since 1991 in order to supply the reticles for 64 MDRAM generation and after. We are still developing both the alternative and embedded types fori-line. The embedded type is suitable for ASIC and contact hole. Now, we are reaching an adequate supply of embedded type PSMs for practical use. Currently the embedded types is relatively popular because the burden on designing is small, the process is comparatively short, and defect repair is easier.
Application of phase-shift mask to GaAs IC fabrication process
Yoshiki Kojima, Mitsunori Nakatani, Hirofumi Nakano, et al.
To obtain stable light contrast, a pattern accuracy, alignment accuracy and irradiation resistance of SOG have been investigated, and pattern layout has been optimized for the subresolution PSM and edge-line PSM. Satisfactory pattern accuracy and no deterioration in transmissivity index during exposure of 500,000 J/cm2 have been confirmed on fabricated PSMs. Applying these PSMs to GaAs IC process, a fine gate finger pattern of 0.3 micrometers has successfully been formed without generation of undesirable pattern in the joint area of gate finer and large area pad.
Sub 0.1 um ArF excimer laser lithography with alternating phase-shifting masks
Jun Ushioda, Yuko Seki, Hiroyoshi Tanabe, et al.
We delineated 0.088 micrometers line and space patterns by using an etched-in phase-shifting mask. The etched area of the mask had good morphology and high transmittance for deep UV light. The phase-shifting angle of the etched area was well controlled within 180 +/- 5 degrees.
Dependency of side-lobe effect of half-tone phase-shift mask on substrate material and topology and its solutions
Sung-Chul Lim, Sang-Gyun Woo, Woo-Sung Han, et al.
In forming contact patterns using half-tone phase shift masks, loss of the resist film at side-lobe positions needs to be avoided. Up to now, resist dimples have been studied in terms of transmittance of halftone materials and pattern packing density. However, we found that loss of the resist film was not confined to side-lobe effect in the mask level. We investigated dependency of side-lobe effect of halftone phase shift masks on substrate material and topology in forming contact hole patterns. It was found that the leaky light passing through halftone regions created resist dimples. The magnitude of resist film erosions depended on substrate material and thickness having different thin film interferences. Furthermore, the reflecting topography of wafer substrates was an origin of resist erosion, which was explained by 'concave mirror effect'. It was also verified that ARC was very effective to solve problems mentioned above. We proposed double layered blank masks as a simple solution.
Inspection, Repair, Design Automation, and Management
icon_mobile_dropdown
EB proximity effect correction system for 0.25-um device reticle fabrication
Manabu Tomita, Hidetoshi Ohnuma, Masaaki Koyama, et al.
An electron-beam (EB) proximity effect correction (PEC) system for mask making has been developed, and is applied for 0.25 micrometers device reticle fabrication with high accuracy and fast calculation speed. This system consists of three important functions: (1) fast proximity effect correction (2) high speed browser data interface (3) correction verification. For the fast proximity effect correction, SOR (Successive Over-Relaxation) method is applied for matrix calculation and two dimensional integral table is used for convolution. A parallel processing system using three workers (135MIPS each) controlled by one distributor (135MIPS) has been developed. For high accuracy, a delicate pattern data fracturing and outline algorithm is developed. The algorithm is also useful for OPC (Optical Proximity effect Correction). To realize high speed large volume data clipping, SPIF (Sony Plot Intermediate Format) is used with a modification to accept dose modulation data. A data verification and browser subsystem SRI (SPIF Reticle Image browser) is also constructed utilizing a SPIF data interface system. In this paper, key technologies supporting each function will be presented and the results applied to 0.25 micrometers rule ASIC (Application-Specific IC) device reticle will also be presented.
High-speed mask EB graphical image browser
Robert Veltman, Itsuji Ashida, Kunihiko Tsuboi
With mask data processing becoming increasingly complex, there is a growing need for mask data verification. An EB graphical image browser was developed to support mask data verification and was designed to allow high speed visualization of large data volumes such as typically found in ULSI designs. The EB browser uses an intermediate format in order to support multiple EB data formats. The software implementation allows for portability between computer platforms commonly used in a CAD framework.
Optical proximity correction for super-resolution technique
Kazuya Kamon, Wataru Wakamiya, Hitoshi Nagata, et al.
In order to support next generation ULSI devices, some super resolution techniques are developed. The super resolution technique is effective for smaller pattern but not for larger pattern. This is because the optimum dose is changed, due to the pattern characteristic. However, the z-image profile has sufficient focus latitude. To overcome this problem, the optical proximity correction (OPC) is effective. This phenomenon is observed in the conventional illumination as well as the other super resolution technique. Thus, we developed the OPC system. Using the parallel processing system, we can correct the memory device data in about 2 days. The active region reduction due to the optical diffraction was preferably compensated by the OPC system. Therefore, the OPC system can be applied to the practical use. The OPC system is applicable to the super resolution. Consequently, the applicability of the super resolution technique is significantly enhanced.
Investigation and improvement of patterning characteristics for annular illumination optical lithography at the periodical pattern ends
Toshiyuki Horiuchi, Katsuhiro Harada, Yoshinobu Takeuchi, et al.
This article describes particular patterning characteristics of annular illumination lithography and a method to improve them. Annular illumination lithography is one of the most practical methods to enhance resolution and enlarge focus latitude. However, improving the patterning characteristics is not sufficient at the ends of periodical patterns in spite of superior performance at the periodical parts. Here, the degradation of the patten profiles at the periodical ends are investigated in detail, and size-modification of the end patterns is proposed. By making the reticle pattern widths a little wider only at the ends, end-pattern degradation is greatly improved, and practical depth-of-focus is favorably extended.
Printability of laser mask repairs at deep UV
James A. Reynolds, Franklin M. Schellenberg
Over 50% of today's 5X reticles require some sort of repair to meet the zero defect criteria. A successful repair must remove materials so the aerial image of the defective site is identical to that of a nondefective site within the tolerance required by the printing process. Shorter printing wavelengths increase sensitivity to surface roughness and deposited film. In this study, two different laser repair techniques were used to remove selected defects from a standard KLA defect printability reticle and the reticle was printed onto wafers at 248 nm. An atomic force microscope (AFM), aerial image measurement system (AIMS) and scanning electron microscope (SEM) were used to evaluate the reticle and wafer sites.
Die-to-database defect detection for reticles of 64- and 256-Mbit DRAMs
Yair Eran, Gad Greenberg, Gideon Rossman
The development and production of 64 and 256 Mbit DRAMs presents new challenges to mask defect detection. As happened during the development of previous generations of DRAMs, the decrease in line/space design rule dictates a similar decrease in the specification of mask defect size. This trend introduces new technologies and new requirements. This paper is concerned with two evolving technologies: layout modification for optical proximity correction (OPC) and phase-shift masks (PSM). The new technologies pose many issues for the mask maker. In this paper the defect detection is addressed. In section 2 few cases of OPC reticle inspection are presented while in section 3 the defect detection of PSM is discussed.
Current technological status of spatial filtering method for soft defect detection
Tsuneyuki Hagiwara
Laser-based mask inspection systems are indispensable to attaining better yield, in both the semiconductor manufacturing process and the mask manufacturing process, because of their high throughput. We describe this issue citing the operational principle of our AM-601D (A reticle particle inspection system that we manufacture, rated sensitivity: 0.5 micron), which is based on a spatial filtering method with a raster scanning of a focused laser beam.
Mask-/reticle-making control system
Satoshi Akutagawa, Satoshi Araihara, Itaru Sakai
The mask making is the first step in the semiconductor device manufacturing. It depends entirely upon mask delivery time whether it will take a short time or not to develop and produce the new devices. It is required to construct the system which can manage mask making and delivery quickly. It is very important to control the much various information on the many kinds of the mask for ASIC use quickly. It is also important to control the many various masks processing parameters, that is, to memorize the parameters and to use the appropriate parameters when the masks for general purpose device like a memory use are revised. The data base system to control the various information and parameters is requested from many mask makers and device ones. We have made a unitary data base including a large amount of information on the various ASIC devices and the various processing parameters of the memory devices, and information on the mask making progress, whereabouts of the masks. And we have constructed the new mask/reticle making control system using with the database.
Equipment and Metrology
icon_mobile_dropdown
Advanced mask fabrication system
Tadahiro Takigawa, Toru Tojo, Yoji Ogawa, et al.
Masks and their fabrication technologies are keys to the further advancement of optical lithography. A stable SiNx single layer attenuated masks for DUV have been developed. A 0.2 micrometers contact hole pattern was fabricated using a KrF stepper with the SiNx attenuated mask. Toshiba mask fabrication system, including an electron beam writing system, a data base inspection system, and a data conversion system, has been developed for 64 Mbit DRAM class. Required mask improvements for increasing optical lithography resolution include better critical dimension (CD) uniformity, higher mask writing system resolution, and automatic shifter patten generation of alternating phase shifting masks. In addition, improved mask pattern positioning accuracy is also required. In this paper, experimental CD uniformity and resolution improvements, automatic phase shifter assignment method, and improvement in positioning accuracy, are described. The future development of masks will incorporate these key technologies.
Phase-Shift Mask and Optical Proximity Correction
icon_mobile_dropdown
Recent advances in mask making technology at AT&T
Regine G. Tarascon
As the design rules to make integrated circuits with features less than 0.25 micrometers are emerging, it appears that conventional deep UV photolithography will not be able to support these new generation IC technologies. There are however other possibilities such as enhanced optics, proximity x-ray and projection electron-beam which extend the state of lithography below 0.25 micrometers . AT&T is in a unique position to evaluate these new technologies since we have active programs in each of these areas. It is clear that the success of any of these new techniques is directly connected to the ability to manufacture the corresponding mask.
Phase-shifting masks for giga-scale ULSI
Akira Imai, Tsuneo Terasawa, Norio Hasegawa, et al.
Resolution-enhancement technologies such as phase-shifting masks (PSMs) and modified illumination are currently critical issues in optical lithography. Because the most effective way to obtain higher resolution is by using PSM technology, we have examined light intensity profiles for various types of PSMs by simulation and found that the PSM structure with an SOG phase-shifter on a thin Cr layer is one of the best choices for KrF excimer laser lithography. We also examined potential problems, such as the durability of SOG materials and phase angle error due to surface topography of the Cr patterns, and found not only that no significant degradation occurs when the SOG phase-shifter is exposed to KrF excimer laser light, but also that the phase angle can be controlled precisely enough for gigabit-level ULSI device fabrication. We used improved 0.16 micrometers design rule PSMs to successfully delineate, with sufficient DOF range, several layers of experimental 1-Gb DRAM devices.
Evaluation of shifter edge shape on attenuated phase-shifting mask
Taro Saito, Hideyuki Jinbo, K. Yano, et al.
The influence of edge taper angle and edge roughness on transferred wafer image was investigated by computer simulation for sub-half-micron space and hole patterns on the wafer. The exposure latitude for a 0.3- micrometers space pattern on the wafer is almost unchanged for angles exceeding 60 degrees. The exposure latitude for 0.3-, 0.35-, and 0.4- micrometers hole patterns remains almost unchanged unless the edge roughness exceeds 0.04 micrometers . However, when shifter thickness on the pattern edge decreases 50%, a particularly bad case, and edge roughness of 0.01 micrometers results in 25% degradation of exposure latitude. Taking simulation results into consideration, we optimized the mask manufacturing process using wet etching for CrO-based phase shifters and obtained an edge roughness of approximately 0.01 micrometers and an edge taper angle greater than 60 degrees. Experiment showed that wet-etched mask performance is equivalent to that of the dry-etched mask.
New phase-shifting mask technology for quarter-micron photolithography
Yoshihiko Okamoto, Kazuhiro Gyouda
A new phase shifting mask technology that will remarkable improve the resolution of photolithography is proposed. This new phase shifting mask has a two layer structure, which consists of a ordinary transmission pattern substrate and a phase shifting pattern substrate. These two substrates are fabricated independently. Then, two substrates are overlapped with each other. The imaging plane of the projection lens using this phase shifting mask is shifted by a small amount to the projection lens. However, this mask is very little spherical aberration. In addition, particles on the back surface of the transmission pattern substrate and the phase shifting pattern substrate are prevented from being transferred. Then, a quarter micron resist pattern can be obtained even by an i-line stepper with a resolution capability of 0.45 micrometers . This new phase shifting mask is an extremely attractive tool for quarter micron photolithography.
Fast-resist image estimation methodology using light-intensity distribution
Keisuke Tsudaka, Manabu Tomita, Minoru Sugawara, et al.
A fast resist image estimation methodology using light intensity distribution is proposed. Recently, some optical proximity effect correction (OPC) methods have been developed. Conventionally, to apply light intensity simulation of OPC, resist image has been simply estimated as a contour at which light intensity distribution is sliced. However, it is difficult to obtain a real resist image with this conventional method because of no consideration of actual resist process. Now, we have developed a new method which can estimate resist image with simple approximate calculation of light intensity distribution. In this method, resist image can be calculated from light intensity distribution using convolution. Using this method, calculation accuracy can be improved twice compared to that with a conventional light intensity slicing method. In this paper, the method is described in detail, then its feasibility to be applied to OPC will be demonstrated.
Inspection, Repair, Design Automation, and Management
icon_mobile_dropdown
State of the art in focused ion-beam mask repair systems
Diane K. Stewart, John A. Doherty, Andrew F. Doyle, et al.
Focused ion beam (FIB) systems are commonly used to repair lithographic masks with features below one micron. We will summarize the development of focused ion beam mask repair systems starting from the original tools developed for photomasks approximately 10 years ago. The present state of the art in FIB mask repair systems is incorporated in two types of tools-one for repair of proximity print X-ray masks, and the other for repair of photomasks and some phase shift masks. Similarities of the two styles of systems include the gallium ion optics, the lithographic stage for accurate positioning, a thermal enclosure to minimize system drift, deflection and scanning electronics, and an interface to inspection data. The differences include the process chemistries, repair strategies, and imaging techniques. Examples of a variety of repaired defects on both X-ray and phase shift masks will be shown. Advanced masks such as those for EUV (Extreme Ultraviolet), DUV (Deep Ultraviolet), and SCALPEL (Scattering with Angular Limitation in Projection Electron Lithography) will have to be repaired should those technologies mature, and presumably with FIB tools. Preliminary research and development of advanced mask repair problems will be described and possible approaches will be suggested.
New technique for repairing opaque defects
Kazuo Aita, Yoshihiro Koyama, Hiroshi Matsumura, et al.
In order to repair opaque defects using a focused ion beam instrument that utilizes a Ga ion source, the excess film must be removed by sputter etching. Unfortunately, this method of repairing opaque defects does have several drawbacks. The first and foremost problem is the occurrence of the so called 'river bed', which greatly limits the effectiveness of the FIB mask repair instrument. We have found, however, that by using a new gas assisted etching procedure, the size of river beds can be reduced substantially, while simultaneously producing several other favorable effects. By introducing a new gas, the etching rate for chromium is enhanced 1.26 times, and the etching rate for quartz substrate is reduced 0.28 times. Therefore, the damage to the quartz substrate is reduced by 0.22 times over that found when no gas is used. In addition, the favorable effects make it possible to terminate etching on the quartz substrate, producing a noticeably flat chrome removed surface with little Ga staining. We evaluated the repaired masks using an inspection system, and then examined their printability using an I-line stepper. We obtained highly favorable results on both accounts.
SEMI standards programmed defect masks and their applications for defect inspection
Hiroichi Kawahira, Yoshiki Suzuki
A set of test masks on which programmed defects are placed has been standardized by SEMI (SEMI Standards P23-93). The masks have been designed to be used for benchmarking the sensitivity of defect inspection systems for reticles and masks. The standard test vehicles consist of two types of masks which have quasi device background patterns (contact holes or through-holes and wiring patterns) with a wide variety of programmed defects. The geometry of the background patterns on the masks have been designed for 0.5 micrometers or less when printed on a wafer with a 5x reduction stepper. Under the coordination of SEMI Japan, the test masks have experimentally been fabricated by a couple of Japanese mask shops, and the masks fabricated have been evaluated focusing on defect sizing accuracy. The mask which has holes as background pattern has been used for defect printability study using a 5x reduction i-line stepper. As a result, it has been confirmed that the test mask is a useful vehicle for the study. The standard masks, therefore, can also be used to make a standard defect spec on which mask suppliers and user agree.
Effect of off-axis illumination on the printability of opaque and transparent reticle defects
The effect of transparent and opaque reticle defects is examined with four illumination schemes used to enhance stepper resolution: standard with sigma 0.6, quadrapole, annular, and high coherence, sigma 0.3. It is found that transparent defects have greater printability than opaque defects. This difference in printability is more significant when the defect causes more than a 20% CD loss. In addition, defects centered between geometry defects have greater printability than opaque defects, and quadrapole illumination enhances the printability of defects compared to standard illumination. Process window analysis is used to shot that if both a reticle defect and a reticle CD error occur at the same point, the CD error effects add or subtract depending on their polarity. If +/- 10% CD control is required on 100% of the final gates of a circuit, one can not assign all 10% just to reticle defects. It is necessary to allow greater than +/- 10% variation on the wafer, or to allow less than 10% variation from reticle defects.
Fully automated mask/reticle production factory
Osamu Tsubakida, T. Ban, T. Kojima, et al.
Recently, very importance has been placed on the quality, delivery, and production cost of masks/reticles as factors relating to the fabrication of high-density devices. These factors have a significant bearing on the success in the device business. In addition, quality requirements for masks/reticles are becoming more and more tightening. To fulfill these requirements, it has been considered necessary to automate production processes. Automation of mask/reticle production has been difficult because the market is small and the development of such automation has been expensive. Therefore, it has been recognized that old-type mask/reticle factories must be turned into automated factories at inexpensively and efficiently.
Preliminary methodology investigation of mask pattern fidelity for 250-nm design rules
Thomas P. Coleman, Charles A. Sauer, Robert J. Naber, et al.
Techniques have been developed that can quickly and accurately measure corner rounding and contact fill as key indicators of pattern fidelity. Using these techniques, we have examined writing variables for their effect on the lithographic quality of 1.0 micrometers contact. A small contact is perhaps the most demanding figure to achieve, so the results shown can be considered the worst case for 4X radicle manufacturing at 250 nm design rules. A MEBES 4500 was used as the writing tool, using PBS resist on quartz masks. Standard printing methods, single-phase printing (SPP) and multiphase printing (2X MPP) were examined. Results indicate that excellent corner rounding results can be achieved with small address sizes, regardless of the writing strategy or the dose used. As expected, larger spot sizes increase the amount of corner rounding, regardless of the address. As the pattern address is increased, judicious choices of spot size reduce potential pattern fidelity loss when imaging small contracts and other fine features. Multiphase printing is a technique that offers advantages to the user. Its use of offset scan voting (OSV) is a significant factor in reducing placement errors. MPP (2X) has an additional advantage of providing higher dosages. This provides flexibility in resist choices and in the selection of a process window. With 2X MPP, the user has a wide range of addresses and spot sizes that will give excellent results. The dynamic range of operating conditions possible with 2X MPP when writing 1.0 micrometers contacts is a reduced subset of those available using SPP, due to the 2X writing grid (output address). Implementation of 2X MPP has been limited on previous MEBES models due to increased write times of multipass writing. The MEBES 4500 data path supports 2X MPP with write times that approximate SPP. The practical operating envelope of both writing strategies are detailed in this paper. Overall, the MEBES 4500 has a large dynamic operating range. When used with a high resolution process, MEBES 4500 provides excellent pattern fidelity to support requirements of 250 nm design rules.
Integrated reviewing system for defect inspection
Ming-Huei Lin, Shen Chung Kuo
With the coming years design rule will advance down to the subhalf micron region. Due to the resolution limit of the stepper lens, the subhalf micron lithographic technology requires very tight defect levels on reticles. It was found that the printability is closely related to the pattern density. The denser the pattern is, the higher the printability will be. Our experiences tell us that for subhalf micron design rule defect free below 0.3 micrometers on 5X reticle is required for critical layers when the k1 value of the resolution limit R (equals k1 X (lambda) /NA) is below 0.7 and the defect sensitivity becomes the dominated factor for quality assurance. In order to properly specify the defect levels on the reticle, a methodology for quicker defect tracing is necessary. We develop a defect reviewing system which can transform the heterogeneous coordinates of defects found by the different inspection systems at different mask-making stage to a standard Cartesian coordinates. All the inspected data and the transformed coordinates will be managed as a 'DEFECT BANK' which will provide sufficient information during defect-tracing. We expect that this system can play an important role for the wafer process development and yield improvement.
Equipment and Metrology
icon_mobile_dropdown
Improved photomask metrology through exposure emulation
The ultimate purpose of the photomask in IC manufacture is to define the image to be printed on a silicon wafer. Of the many factors which affect this aerial image in the wafer stepper, some are properties of the stepper projection system and some are properties of the photomask. The purpose of photomask metrology is to help optimize photomask properties to produce the desired aerial image in the stepper. Those factors attributable to the photomask include chrome feature size, phase shifter accuracy (if used), chrome edge roughness and runout, defects, etc. Most of these are usually imaged differently in the exposure tool and the metrology tool because these tools' optical systems are different. Two ways to predict the stepper aerial image from photomask measurements are simulation (modeling) and emulation. In the first, the values of the relevant photomask measurements and properties (if they are known) can be inserted into the models for both tools, and then the stepper aerial image can be predicted from the photomask measurements. Or, the stepper's aerial image of the photomask can be emulated in the metrology tool and magnified for the purpose of measurement. Present mask metrology tools, when used in transmission, duplicate the optical morphology of the projection tool. The projected stepper aerial image can be emulated by adjusting the few major generic optical parameters of the metrology tool to match those of the wafer stepper to be used. Then the measured image in the metrology tool emulates the image in the stepper, and photomask properties affect both images in the same ways. Diverse measurements of photomask characteristics can be made accurately and fast by emulating photomask performance instead of measuring the chrome geometry. All of the significant optical performance parameters of the photomask can be measured with a single tool, at the small expense of shifting to a more appropriate philosophy of mask measurement.