EUV Delivers and Faces New Challenges

Fundamental challenges for EUV lithography revolve around materials and stochastics
25 February 2020
By Hank Hogan
Charles Wallace, senior principal engineer at Intel
Charles Wallace, senior principal engineer at Intel, gives a keynote address at SPIE Advanced Lithography 2020.

For extreme ultraviolet, or EUV, lithography, it's a case of triumph after a long struggle — and a warning more hurdles loom, according to presentations at the February 2020 SPIE Advanced Lithography conference. Two keynote talks illustrated these points.

Before the talks came a hard-fought victory lap. It's now possible to buy a product manufactured using EUV lithography, said session chair Nelson Felix of IBM as he held up a phone. That consumer device caps decades of research and development of EUV, which uses a 13.5-nm wavelength light source. That is a far shorter wavelength than that used in 193-nm lithography.

"EUV enables you to simplify the [manufacturing] process," said Charles Wallace, a senior principal engineer at Intel who gave the first keynote talk.

That simplification happens because the smallest chip feature sizes are now down to a few tens of nanometers, far smaller than 193 nanometers. For comparison, a human hair has a diameter of about 70 microns - or more than 1000 times larger than the dimensions of the patterning on a chip.

To make such miniscule features with a 193-nm source, manufacturers have resorted to increasingly complex tricks, such as doing part of the patterning in one pass, with the rest done on a second, third, and even fourth trip through the lithography process. That complexity slows down manufacturing and makes it more costly.

Lithographic resolution scales with wavelength, so the shorter wavelength of an EUV source makes it possible to pattern fine features without resorting to so many schemes. So, there may only be one pass, a significant improvement over what has become the industry norm over the last 10 years.

But Wallace warned that the relentless march of Moore's Law means that this reprieve will be temporary. If nothing is done, then soon manufacturing again will become complicated with multiple patterning passes and other ploys.

The semiconductor industry is working on the problem, with the development of what is called high-NA EUV. NA stands for numerical aperture and is a measure of the resolving power of the optics. The higher the NA, the smaller the features that can be imaged. Today's state-of-the-art EUV has an NA of 0.33. The industry is working now toward a numerical aperture of 0.55, or a 66 percent improvement in resolution.

More innovation is required, though. "We need fundamental improvement of EUV materials," Wallace said.

He noted that when a chip is made, there may be billions and perhaps trillions of holes etched through an insulator so that two conducting layers can connect at the right spots and not touch at others. Failure to open up one hole can kill the entire chip.

Thus, while the EUV tool and its ability to deliver photons is critical, the properties of the photoresist, developer, rinses, and other materials are just as important. Therefore, the industry needs to figure out how to avoid random defects in materials, Wallace said.

Materials and the need to avoid random, or stochastic, defects in EUV have been a concern with the technology from the beginning, said Patrick Naulleau. director of the Center for X-Ray Optics at Lawrence Livermore National Laboratory, Naulleau spent the bulk of the second keynote presentation exploring the various ways that randomness impacts EUV lithography.

First of all, there are fewer photons because each EUV photon packs 14 times the energy of a 193-nm photon and so less are needed to expose resist. Fewer photons means that as a percentage the variability is larger.

There are other sources of randomness, such as the masks. Made up of multiple layers, the masks contribute to printed line roughness in a way that depends upon the resist, Naulleau said. The effect is that lines may bridge in so-called hot spots but not do so every time, making it difficult to figure out what causes the problem.

Another source of stochastic defects is the resist, which at a nanometer scale is not uniform. This lumpiness means that there may not be resist at a certain spot, which means a hole may not fully open up. One solution to such resist issues may be to create materials that are ordered and nearly perfectly uniform, according to Naulleau. That would be far different than today's resists, which are unstructured.

"Perhaps in the future, we can't afford to have amorphous resists," Naulleau speculated.

Noting that he hadn't coordinated his talk with Intel's Wallace, Naulleau nonetheless arrived at much the same conclusions. The long-term fundamental challenges for EUV lithography revolve around materials and stochastics, he said in summing up.

Hank Hogan is a science writer based in Reno, Nevada.

Enjoy this article?
Get similar news in your inbox
Get more stories from SPIE
Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research