Proceedings Volume 4688

Emerging Lithographic Technologies VI

cover
Proceedings Volume 4688

Emerging Lithographic Technologies VI

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 1 July 2002
Contents: 16 Sessions, 97 Papers, 0 Presentations
Conference: SPIE's 27th Annual International Symposium on Microlithography 2002
Volume Number: 4688

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Plenary Session
  • EUV Overview
  • EUV Sources
  • EUV Masks I
  • Nanoimprint Lithography
  • Micro- and Nanodevice Technologies
  • EUV Systems Development
  • EUV Optics Metrololgy
  • EUV Mask Inspection and Repair
  • Emerging Resist Technologies
  • Contamination Issues in Lithography
  • EUV Mask Materials and Structures
  • EUV Multilayer Coatings
  • Electron Projection Lithography
  • Poster Session
  • Electron Projection Lithography
  • Direct Write Lithographies
  • Poster Session
  • Micro- and Nanodevice Technologies
  • Poster Session
  • Electron Projection Lithography
  • Poster Session
  • EUV Sources
  • Poster Session
  • Electron Projection Lithography
  • Poster Session
  • Plenary Session
Plenary Session
icon_mobile_dropdown
Worldwide technologies and the ITRS in the current economic climate
The semiconductor industry has successfully undergone two major transitions in the 70's and in the 80's, that have been followed by long periods of sustained growth. A third transition is approaching in the second half of this decade that promises to fuel the growth of the semiconductor industry well into the second decade of this century, if well executed. Many new materials and a renewed MOS structure will be necessary to revitalize the basic device capabilities. Lithography technology is a key enabler of the semiconductor industry. After a decade of discussions and heated debates, the lithography roadmap has been finally internationally accepted and the wavelengths of choice identified and agreed upon: 193nm, 157nm, and 13.5nm. Execution is now the name of the game. As the level of investments required for the development and deployment of the overall lithography infrastructure continues to escalate with time and as execution on a tight time table is a must, it is necessary to resort to any available cooperation among consortia, supplier companies and IC companies to maintain the semiconductor industry on the historical 25-30 percent cost reduction per function per year that has been, and still remains, at the center of its success.
NGL process and the role of International SEMATECH
International SEMATECH (ISMT) established a program in 1996 to narrow the Next Generation Lithography (NGL) options on the SIA Roadmap through a global consensus process. Methodologies developed by the SIA Lithography Technical Working Group (TWG) were adopted to ensure a balanced and objective assessment. Critical reviews with emphasis on technical program plans, solutions to critical issues (showstoppers), error budget analysis, cost-of-ownership, business plans, and schedules were implemented with the Technical Champions of each technology. White papers were written by the Technical Champion teams to better educate the participants in the annual worldwide NGL workshops. Participants made their recommendations through a survey conducted at the end of each workshop. A Task Force of the key stakeholders from global chip makers, equipment suppliers and consortia was commissioned to review the workshop output, assess the progress on the critical issues and make recommendations to ISMT on narrowing the options. As a result of this global consensus process and the critical issue projects, the NGL Task Force has made the following recommendations: (i) November 1997 - Massively Parallel Direct Write (MPDW) is not mature enough for introduction until at least the 50nm node. (ii) December 1998 - ISMT should narrow its support to two options EUVL and EPL, and that other worldwide activity on X-Ray and IPL continue. (iii) December 1999 - ISMT should continue its support for EUVL and EPL for the 70nm node, it also recognized the growing possibility that the industry might need more than one mainstream technology for the diverging application of DRAM/MPU and ASIC/SOC. (iv) September 2000 - The industry in general should narrow its support for commercialization to EUVL and EPL for insertion at the 70nm node. (v) August 2001 - The industry should continue to fund the commercialization of both EUVL and EPL. Today, the ISMT program for NGL is transitioning from option selection to promoting critical issues solutions and commercial infrastructure for EUVL with initial focus on mask blanks. ISMT is also pursuing collaboration with the suppliers and consortia developing EPL technology to provide stable stencil mask for contact layers. This paper describes the evolution of the program, results of the year 2001 activities, and the plans for 2002.
Fabrication challenges for next-generation devices: MEMS for rf wireless communications
David E. Seeger, Jennifer Lund, Christopher Jahnes, et al.
With wireless communications becoming an important technology and growth engine for the semiconductor industry, many semiconductor companies are developing technologies that differentiate themselves in this space. One means of accomplishing this goal is to find a way to integrate passive components, which currently make up over 70 percent of the discrete components in a wireless handset today, directly on-chip thereby greatly simplifying handsets. While a number of technologies are being investigated to allow on- chip integration, MEMS technologies are an important part of this development effort. They have been used to create switches, filters, local oscillators, variable capacitors and high quality factor inductors to name a few examples. The lithography requirements for these devices are very different than those found in standard semiconductor fabrication with the most importatnt involving pattern over extreme topography. In this paper, we discuss some of the fabrication challenges for these devices as well as some approaches that have been demonstrated to satisfy them.
EUV Overview
icon_mobile_dropdown
EXTATIC: ASML's alpha-tool development for EUVL
Hans Meiling, Jos P.H. Benschop, Robert A. Hartman, et al.
Within the recently initiated EXTATIC project a complete full-field lithography exposure tool for he 50-nm technology node is being developed. The goal is to demonstrate the feasibility of extreme UV lithography (EUVL) for 50-nm imaging and to reduce technological risks in the development of EUVL production tools. We describe the EUV MEDEA+) framework in which EXTATIC is executed, and give an update on the status of the (alpha) -tool development. A brief summary of our in-house source-collector module development is given, as well as the general vacuum architecture of the (alpha) -tool is discussed. We discuss defect-free reticle handling, and investigated the uses of V-grooved brackets glued to the side of the reticle to reduce particle generation during takeovers. These takeovers do not only occur in the exposure tool, but also in multilayer deposition equipment, e-beam pattern writers, inspection tools, etc., where similar requirements on particle contamination are present. Finally, we present an update of mirror fabrication technology and show improved mirror figuring and finishing results.
Static microfield printing at the advanced light source with the ETS Set-2 optic
Patrick P. Naulleau, Kenneth A. Goldberg, Erik H. Anderson, et al.
While interferometry is routinely used for the characterization and alignment of lithographic optics, the ultimate performance metric for these optics is printing in photoresist. The comparison of lithographic imaging with that predicted from wavefront performance is also useful for verifying and improving the predictive power of wavefront metrology. To address these issues, static, small-field printing capabilities have been added to the EUV phase- shifting point diffraction interferometry implemented at the Advanced Light Source at Lawrence Berkeley National Laboratory. The combined system remains extremely flexible in that switching between interferometry and imaging modes can be accomplished in approximately two weeks.
Performance upgrades in the EUV engineering test stand
Daniel A. Tichenor, William C. Replogle, Sang Hun Lee, et al.
The EUV Engineering Test Stand (ETS) has demonstrated the printing of 100-nm-resolution scanned images. This milestone was first achieved while the ETS operated in an initial configuration using a low power laser and a developmental projection system, PO Box 1. The drive laser has ben upgraded to a single chain of the three-chain Nd:YAG laser developed by TRW. The result in exposure time is approximately 4 seconds for static exposures. One hundred nanometer dense features have been printed in step-and-scan operation with the same image quality obtained in static printing. These experiments are the first steps toward achieving operation using all three laser chains for a total drive laser power of 1500 watts. In a second major upgrade the developmental wafer stage platen, used to demonstrate initial full-field imaging, has been replaced with the final low-expansion platen made of Zerodur. Additional improvements in the hardware and control software have demonstrated combined x and jitter from 2 to 4 nm RMS Over most of the wafer stage travel range, while scanning at the design scan speed of 10 mm/s at the wafer. This value, less than half of the originally specified jitter, provides sufficient stability to support printing of 70 nm features as planned, when the upgraded projection system is installed. The third major upgrade will replace PO Box 1 with an improved projection system, PO Box 2, having lower figure error and lower flare. In addition to these upgrades, dose sensors at the reticle and wafer planes and an EUV- sensitive aerial image monitor have been integrated into the ETS. This paper reports on ETS system upgrades and the impact on system performance.
EUV Sources
icon_mobile_dropdown
Xenon target performance characteristics for laser-produced plasma EUV sources
Harry Shields, Steven W. Fornaca, Michael B. Petach, et al.
Laser-produced plasmas (LPPs) are being developed as light sources for EUV lithography. To meet the requirements for high-volume manufacturing, LPP EUV sources must generate intense EUV output in the 13.5 nm band, and minimize source-induced degradation of EUV optics allowing hundreds of hours of clean operation. Xenon has been identified as a promising target material for LPP EUV light sources, with the potential for both high-efficiency EUV generation, and low optics contamination. Several dense xenon target configurations have been tested including aerosol sprays, continuous liquid streams, condensed xenon droplets, and frozen solid xenon. Important LPP performance characteristics, such as conversion efficiency, EUV radiation distribution, EUV optics degradation by material erosion and/or deposition, and the physical interface to the EUV optical system, are strongly influenced by the xenon target design. The performance of xenon targets with measured conversion efficiencies in the 0.4 percent to 1.4 percent range is reported. Prospects for xenon targets to reach the EUV power generation and contamination goals for production lithography tools are addressed.
Status of the liquid-xenon-jet laser-plasma source for EUV lithography
Bjoern A. M. Hansson, Lars Rymell, Magnus Berglund, et al.
The liquid-xenon-jet laser-plasma source is one of the extreme-ultraviolet (EUV) source technologies under development for EUV lithography. This paper presents some recent improvements of the technology, including the ability to operate a stable plasma at a distance of 50 mm from the nozzle, the first positive mirror-lifetime results, and improved laser-to-EUV conversion efficiency of 0.75 percent at lambda equals 13.45 nm.
Power scale-up of the extreme-ultraviolet electric capillary discharge source
Neal R. Fornaciari, Howard Bender, Dean Buchenauer, et al.
Recent development work on the EUV electric capillary discharge source been has focused on two areas: increasing EUV power generation and minimizing debris deposition on plasma facing optics. To achieve high-power operation, a pulser capable of driving the source up to 1.7 kHz and a new high-power lamp have been integrated. An EUV flux of 9 W into p-sr and a 2 percent bandwidth has been generated in burst mode at 1000 Hz. Three additional parametric studies are discussed. The first compares the EUV power generation and spectral output for three different capillary materials. The second study compares the source efficiency for 3 mm and 6 mm length capillaries. And the third parametric study measures the EUV output stability over a one million pulse run. The second focus area has been to increase mirror reflectance lifetimes through the further development of the gas curtain debris mitigation approach. A new gas curtain laboratory has been built with more than a 10x increase in flow capability and a 10x reduction in chamber background pressure. Measurements of the gas curtain efficiency have demonstrated a reduction in particulate deposition rate of at least a factor of eighty.
High-power EUV sources for lithography: a comparison of laser-produced plasma and gas-discharge-produced plasma
Uwe Stamm, Imtiaz Ahmad, Vladimir M. Borisov, et al.
Next generation semiconductor chip manufacturing using extreme ultraviolet (EUV) lithography requires a brilliant radiation source with output power between 50 W and 120 W in intermediate focus. This is about five to ten times higher power than that of current DUV excimer lasers used in optical lithography. Lifetime and cost of ownership however, need to be comparable to today's technology. In the present paper experimental results of both laser produced plasma and gas discharge produced plasma EUV source development at XTREME technologies - the EUV joint venture of Lambda Physik AG, Goettingen, and Jenoptik LOS GmbH, Jena, Germany - are presented. Source characterization has been performed with calibrated metrology tools for measurement of energy, power, size, spectra and stability of the EUV emission. The laser plasma investigations are performed with a 1st experimental facility comprising a commercial 40 W Nd:YAG laser coupled to a liquid xenon-jet target system, which was developed by XTREME technologies. The EUV in-band power emitted from the 0.25 mm diameter plasma into 2p solid angle is 0.2 W, the conversion efficiency amounts 0.5 percent. Estimated EUV emission parameters using a 500 W laser for plasma generation to be installed in spring 2002 are discussed. The gas discharge EUV sources described here are based on efficient Xenon Z-pinches. In the 3rd prototype generation the plasma pinch size and the available emission angle have been matched to the etendue of the optical system of 2-3 mm2. The solid angle of emission from the pinch of 1.3 mm x 1.5 mm amounts 1.8 sr. The Z-pinch EUV source can be operated continuously at 1000 Hz with an in-band output power of 10 W in 1.8 sr. This corresponds to 4.5 W in intermediate focus, if no spectral purity filter is needed. The power emitted into a solid angle of 2p sr is 35 W. Emission energy stability ranges between 1 percent and 4 percent standard deviation. Spectral, temporal as well as spatial emission characteristics of the discharge source in dependence on the gas discharge geometry have been evaluated. The potentials as well as limits for power scaling of the two technological source concepts are discussed.
EUV Masks I
icon_mobile_dropdown
EUVL masks: requirements and potential solutions
Significant progress has been made in developing mask fabrication processes for extreme ultraviolet lithography (EUVL). The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. A SEMI standard is now available for mask substrates. SEMI standards are also being developed for mask mounting, for mask blank multilayers and absorbers and for mask handling and storage. Several commercial suppliers are developing polishing processes for LTEM substrates, and they are progressing toward meeting the requirements for flatness, surface roughness, and defects. Significant progress has been made in developing mask blank multilayer coating processes with low added defect density. Besides lowering added defect density, methods to reduce defect printability are being developed to effectively enable repair of many defect types. Calculations of EUVL mask cost indicate that defect repair processes could increase yield of EUV mask blanks and allow initial defect density targets for mask blanks to be relaxed. The mask patterning process for EUVL is nearly the same as that for conventional binary optical lithography masks. Eight absorbers have been evaluated, and two absorbers-TaN and Cr--will probably meet the requirements after some further development.
Impact of EUVL mask buffer and absorber material properties on mask quality and performance
The success of extreme ultraviolet lithography (EUVL) mask fabrication process depends on the correct choice of EUVL mask buffer and absorber materials. There are four criterion to take into account for the right buffer and absorber materials for EUVL masks. The first is the material choice impact on the mask quality such as critical dimension (CD) control, defect control, registration control, cleaning durability. The second is the impact on the mask lithography performance such as imaging contrast, shadowing effect, focus shift effect, thermal effect. The third is the impact on the mask inspection and repair, such as repair etch rate and selectivity to the Mo/Si multiplayer (ML) and optical inspection contrast of the absorber to the buffer layer as well as that of the absorber to the ML. The last is the impact on the lithography integration, such as mask stress due to buffer and absorber versus mask chucking, mask conductivity versus mask protection scheme. In the past, most attention on buffer and absorber material consideration has been paid to the processing side (as long as the absorber materials have a relatively high EUV absorption). The mask performance difference with different buffer and absorber combinations has not been fully explored. In this paper, the impact of buffer and absorber material properties on all these aspects will be discussed with special emphasis of the material property impact to the mask lithographic performance. Detailed simulation studies of EUVL mask performance with different combinations of buffer and absorber materials will be presented. It, however, will be shown that buffer and absorber material properties such as the real and imaginary part of the index of refraction coefficient, play an important role in mask lithographic performance such as the image contrast, the shadowing effect, and the focus shift effect, especially at the small design rules, such as 30nm generations. The comparison of different buffer and absorber material properties and the corresponding mask performances will allow us to understand the tradeoffs between the choice of different buffer and absorber combinations so that the most promising buffer and absorber materials can be identified and corresponding mask fabrication process can be developed.
Status of fabrication of square-format masks for extreme-ultraviolet lithography (EUVL) at the MCoC
Fabricating masks for extreme ultraviolet lithography is challenging. The high absorption of most materials at 13.4 nm and the small critical dimension (45 nm) at the target insertion node force many new features, including reflective mask design, new film choices, and stringent defect specifications. Fabrication of these masks requires the formation and patterning of both a repair buffer layer and an EUV absorber layer on top of a molybdenum/silicon multi-layer substrate. IBM and Photronics have been engaged in developing mask processing technology for x-ray, electron beam projection and extreme ultraviolet lithographies at the Next Generation Lithography Mask Center of Competency (NGL-MCoC) within IBM's mask facility at Essex Junction, Vermont. This paper describes recent results of mask fabrication on 6 x 6 x 1/4 inch EUVL substrates (quartz with molybdenum silicon multi-layers) at the MCoC. Masks fabricated with high and low-stress chromium and externally deposited chromium absorber films are compared. In particular, etch characteristics, image size, image placement, line edge roughness, and defect levels are presented and compared. Understanding the influence of the absorber film characteristics on these parameters will enable us to optimize the effectiveness of a given absorber film or to select acceptable alternatives.
Advances in low-defect multilayers for EUVL mask blanks
James A. Folta, J. Courtney Davidson, Cindy C. Larson, et al.
Low-defect multilayer coatings are required to fabricate mask blanks for Extreme Ultraviolet Lithography (EUVL). The mask blanks consist of high reflectance EUV multilayers on low thermal expansion substrates. A defect density of 0.0025 printable defects/cm2 for both the mask substrate and the multilayer is required to provide a mask blank yield of 60 percent. Current low defect multilayer coating technology allows repeated coating-added defect levels of 0.05/cm2 for defects greater than 90 nm polystyrene latex sphere (PSL) equivalent size for lots of 20 substrates. Extended clean operation of the coating system at levels below 0.08/cm2 for 3 months of operation has also been achieved. Two substrates with zero added defects in the quality area have been fabricated, providing an existence proof that ultra low defect coatings are possible. Increasing the ion source-to-target distance from 410 to 560 mm to reduce undesired coating of the ion source caused the defect density to increase to 0.2/cm2. Deposition and etching diagnostic witness substrates and deposition pinhole cameras showed a much higher level of ion beam spillover (ions missing the sputter target) than expected. Future work will quantify beam spillover, and test designs to reduce spillover, if it is confirmed to be the cause of the increased defect level. The LDD system will also be upgraded to allow clean coating of standard format mask substrates. The upgrade will confirm that the low defect process developed on Si wafers is compatible with the standard mask format 152 mm square substrates, and will provide a clean supply of EUVL mask blanks needed to support development of EUVL mask patterning processes and clean mask handling technologies.
Verification studies of thermophoretic protection for EUV masks
Daniel J. Rader, Daniel E. Dedrick, Eric W. Beyer, et al.
A 'thermophoretic pellicle' has been proposed as an alternative to the traditional organic pellicle as a means of protecting EUV lithographic photomasks from particle contamination. The thermophoretic pellicle protects a mask from particles by exploiting the thermophoretic force, which is exerted on a particle by a surrounding gas in which a temperature gradient exists. Two critical requirements of the thermophoretic pellicle are: 1) the mask is kept warmer than its surroundings (either by heating the mask or by cooling the surroundings) and 2) the surrounding gas pressure is kept sufficiently high to enable thermophoretic protection. Experiments are presented which verify the viabilitiy of thermophoretic protection for EUV masks under model conditions. In these experiments, wafers are exposed to monodisperse polystyrene latex (PSL) spheres under carefully controlled experimental conditions. Robust thermophoretic protection is observed over a wide range of argon gas pressures (50-1600 mTorr or 6.66-213 Pa), particle sizes (65-300 nm), and temperature gradients (2-15 K/cm).
Mechanical modeling of the reticle and chuck for EUV lithography
Carl J. Martin, Andrew R. Mikkelson, Richard O. Tejeda, et al.
The reflective reticles used for extreme ultraviolet (EUV) lithography are subject to the stringent image placement and flatness requirements for 70 nm and smaller feature sizes. Stresses in the reflective multilayer coatings can produce substantial bowing of the reticle, and variations in the flatness and thickness of the reticle substrate, as well as entrapped debris particles, can contribute to flatness errors on the patterned surface after reticle chucking. Reticles will also be subjected to high stage accelerations and thermal loadings during exposure. The chuck in the exposure tool will be required to clamp the reticle flat, crush entrapped debris, remove absorbed EUV energy, and prevent slippage during stage accelerations. Additionally, the thermal and structural behavior of the chuck will influence the reticle response, and thus the reticle and chuck must be considered as a system. In order to determine reticle and chucking requirements, finite element models have been developed to analyze many of the key issues in the mechanical design of the reticle and chuck. The analyses are being used to support the development of reticle and chuck standards for EUV lithography.
Nanoimprint Lithography
icon_mobile_dropdown
High-resolution templates for step and flash imprint lithography
Step and Flash Imprint Lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. The purpose of this work was to investigate alternative methods for defining high resolution SFIL templates and study the limits of the SFIL process. Two methods for fabricating templates were considered. The first method used a very thin layer of Cr as a hard mask. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide (ITO) on the glass substrate, charging is suppressed during SEM inspection, and the transparent nature of the final template is not affected. Using ZEP-520 as the electron beam imaging resist, features as small as 20 nm were resolved on the templates. Features were also successfully imprinted using both types of templates.
Complete system of nanoimprint lithography for IC production
Donald L. White, Obert R. Wood II, Cheng-Fu Chen, et al.
The imprinting method most suited to semiconductor IC applications in the sub-50 nm realm is the step and flash technique currently under development at the University of Texas. In this technique, the space between a rigid fused- silica mold, that has a low-aspect-ratio pattern etched into its surface, and a silicon wafer is filled with a low viscosity photopolymer precursor. After the polymer is cured by exposure to UV radiation, the most is removed and the pattern in the polymer layer is transferred into the silicon wafer by reactive ion etching. Before this lithographic technique can be employed in VLSI production, a method to ensure accurate overlay between the mold and wafer patterns must be devised, feature CD control must be maintained under adverse conditions and a low cost way of fabricating, inspecting and repairing a mold must be developed. To accomplish these task we proposed using: a) an adaptive mold holder and b) a new type of binary mold.
Low-temperature wafer-scale warm embossing for mix and match with UV lithography
Hubert Schulz, Matthias Wissen, Nils Roos, et al.
The combination of nanoimprint and UV-lithography has been demonstrated. For this purpose a UV-sensitive epoxy based resin with a low glass temperature was prepared by adding low molecular weight components, in particular by increasing the monomer content. The suitability of our approach to minimize process temperatures was tested by embossing and VU-lithography. Mix and match of both techniques was used to demonstrate that the embossing step did not degrade the UV sensitivity of the material. UV processing provided in addition a simple means for stabilization of this low Tg material. Resists like mr-L 6000-1 xp may close the gap between 'hot embossing' 'UV-molding' and UV-lithography.
Performance of 4-in. wafer-scale thermoset working stamps in hot embossing lithography
Nils Roos, Hubert Schulz, Marion Fink, et al.
In order to reduce the cost for stamps featuring nanometer structures in a hot embossing lithography (HEL) process the production and performance of working stamps made of thermoset polymer are of interest. Fabrication of stamps made of thermosetting material no silicon substrates by hot embossing with 2 X 2 cm2 templates and their replication by HEL has already been demonstrate. In this paper the enlargement of this principle to 4 inch wafer- scale is presented. Two procedures to obtain working stamps by hot embossing are compared, one solely based on hot embossing, the other enhanced by additional UV-exposure. The produced working stamps are tested for performance under standard embossing conditions are the topic of anti-sticking layers, a key issue in all large area imprint applications is addressed. Two methods of tailoring adhesion properties of thermosets are proposed, plasma-depositing a fluorinated film and coating with a self-assembled monolayer of fluoroalkyltrichlorosilane, only the former of which was employed successfully. The achieved fidelity of pattern replication with working stamps and imprints thereof is assessed by cross-sectional SEM investigation, showing only the UV-enhanced method to be well suited for the task of obtaining low-cost replications of silicon stamps.
Micro- and Nanodevice Technologies
icon_mobile_dropdown
Roll-to-roll manufacturing of thin film electronics
James R. Sheats
The development and current status of roll-to-roll manufacturing processes and equipment relevant to the fabrication of patterned, active electronic devices such as thin film transistors (TFTs) is reviewed, along with a survey of the knowledge of processing for thin film electronics on flexible (especially polymer) substrates. It is concluded that while there are a number of unknowns and engineering challenges, there is no fundamental obstacle to the implementation of such processes, which will have profound value in production cost and product characteristics. The first amorphous silicon TFT made with roll-to-roll processing is reported.
Three-dimensional metrology in MEMS applications
Alexander Friz, Keith Frank Best, Satinderpall Pannu, et al.
ASML-Special Applications has shown its ability to align and quantify overlay in thick films to address alignment and metrology challenges in the Micro-Electromechanical Systems (MEMS) field. These methods apply to any thick film material layers > 5 micrometers . In these thick film scenarios, the issue that arises is the overlay quantification between the upper layer lithography and the bottom layer lithography. In this situation, one quickly approaches the limit of box-in-box metrology. ASML's ability to align to deeply recessed marks overcomes this problem. In addition, the use of ASML's two-point global alignment scheme simplifies wafer processing to reveal covered marks on the bottom surface for further alignment as compared to multiple points in EGA-style alignment systems. The capability to not only align but also to quantify overlay over such a large 'Z' range is an ability that can help to empower the MEMS industry to design and manufacture products that were previously not possible. We address MEMS metrology issues by using the on-board metrology system of an ASML stepper.
EUV Systems Development
icon_mobile_dropdown
Lithographic evaluation of the EUV engineering test stand
Sang Hun Lee, Daniel A. Tichenor, William P. Ballard, et al.
Static and scanned images of 100 nm dense features were successfully obtained with a developmental set of projection optics and a 500W drive laser laser-produced-plasma (LPP) source in the Engineering Test Stand (ETS). The ETS, configured with POB1, has been used to understand system performance and acquire lithographic learning which will be used in the development of EUV high volume manufacturing tools. The printed static images for dense features below 100 nm with the improved LPP source are comparable to those obtained with the low power LPP source, while the exposure time was decreased by more than 30x. Image quality comparisons between the static and scanned images with the improved LPP source are also presented. Lithographic evaluation of the ETS includes flare and contrast measurements. By using a resist clearing method, the flare and aerial image contrast of POB1 have been measured, and the results have been compared to analytical calculations and computer simulations.
Pattern printability for off-axis incident light in EUV lithography
Minoru Sugawara, Masaaki Ito, Taro Ogawa, et al.
Off-axis incident light produces shadowing and an imbalance in the diffracted light. Shadowing causes a change in the critical dimension (CD) and a shift in the position of patterns due to the swing + bulk effect of the absorber and buffer layers. In addition, the imbalance in the diffracted light influences the optical proximity-effect correction (OPC) of actual patterns with a k1 below 0.6. In this study, the main factors influencing OPC were investigated. These include asymmetric aberrations and optical proximity effects (OPE) in line patterns. OPC was then applied to a T-shaped pattern. It is found that the mask error factor (MEF) in low-contrast regions of a layout is an important consideration in OPC.
Impact of EUV light scatter on CD control as a result of mask density changes
Christof G. Krautschik, Masaaki Ito, Iwao Nishiyama, et al.
The Power Spectral Density (PSD) function for a large-field EUV exposure system is used to compute the impact of flare on critical dimension (CD) control for masks exhibiting Cr density changes that result in cross-field flare variation. It is shown that open field flare must be controlled to 11 percent for 30 nm isolated features and 6 percent for 20 nm isolated features for an NA equals 0.25 system assuming a +/- 3 percent CD control budget allocated to flare. Based on these results individual mirror surface roughness specifications for the mid-spatial frequencies of about 0.14 and 0.11 nm RMS, respectively, are needed for future chip production. This paper also discusses that either mask 'dummification' or CD resizing can be applied to minimize the effects of flare on CD control in case mirror fabrication targets may be difficult to achieve.
High-power laser-produced-plasma EUV source
William P. Ballard, Luis J. Bernardez II, Robert E. Lafon, et al.
The Engineering Test Stand (ETS) is an 'alpha-class' Extreme Ultraviolet (EUV) lithography tool designed to demonstrate full-field EUV imaging and provide data required to accelerate production-tool development. The illumination system of the ETS is based on a laser-produced plasma (LPP) source using a recirculating Xe target medium. A Nd:YAG laser focused onto a Xe-gas or liquid target creates a plasma producing 13.4 nm radiation, at the center of the Si/Mo multilayer mirror passband. A condenser system, comprised of multilayer-coated and grazing incidence mirrors, collects the EUV radiation and directs it onto a reflecting reticle. A 1500 W LPP source has been integrated with the ETS and used for lithography. Two Xe spray sources have been evaluated, a cluster jet and a liquid spray jet. The cluster jet Xe source output rapidly degraded from heating of the hardware by the plasma causing the Xe clusters to be too small for efficient conversion. The TRW-designed liquid spray jet operates stably for hours and with tripled conversion efficiency into the condenser optics, producing EUV in the ETS.
Environmental data from the engineering test stand
The EUV Engineering Test Stand (ETS) has demonstrated the printing of 100 nm resolution scanned images. This milestone was achieved with the ETS operating in an initial low-power configuration using a 40 W laser combined with a Xe cluster jet. The third condenser component is referred to as 'C3' illuminator optics was removed after this low-power operation, and extensively characterized for EUV-induced contamination. EUV reflectivity data indicate a decrease in reflectivity from an initial 66 percent to approximately 48- 56 percent, with the more intensely illuminated areas of the C3 having the smaller final reflectivity. Auger electron spectroscopy indicated the observed reflectivity decrease can be largely attributed to carbon contamination, approximately 150-300 Angstrom thick depending on location. No evidence was found for optic oxidation, indicating EtOH successfully prevented EUV/H2O oxidation of the outermost Si layer during exposure to both EUV and out-of- band radiation. Measurements of the reflectivity centroid wavelength shoed a negligible change, suggesting the observed variations were due to surface contaminating and not bulk multilayer radiation damage. The carbon contamination could be removed by RF-O2 cleaning.
EUV Optics Metrololgy
icon_mobile_dropdown
100-picometer interferometry for EUVL
Future extreme ultraviolet lithography (EUVL) steppers will, in all likelihood, have six-mirror projection cameras. To operate at the diffraction limit over an acceptable depth of focus each aspheric mirror will have to be fabricated with an absolute figure accuracy approaching 100pm rms. We are currently developing visible light interferometry to meet this need based on modifications of our present phase shifting diffraction interferometry (PSDI) methodology where we achieved an absolute accuracy of 250pm. The basic PSDI approach has been further simplified, using lensless imaging based on computational diffractive back-propagation, to eliminate auxiliary optics that typically limit measurement accuracy. Small remaining error sources, related to geometric positioning, CCD camera pixel spacing and laser wavelength, have been modeled and measured. Using these results we have estimated the total system error for measuring off-axis aspheric EUVL mirrors with this new approach to interferometry.
Honing the accuracy of extreme-ultraviolet optical system testing: at-wavelength and visible-light measurements of the ETS Set-2 projection optic
Kenneth A. Goldberg, Patrick P. Naulleau, Jeffrey Bokor, et al.
As the quality of optical systems for extreme ultraviolet lithography improves, high-accuracy wavefront metrology for alignment and qualification becomes ever more important. To enable the development of diffraction-limited EUV projection optics, visible-light and EUV interferometries must work in close collaboration. We present a detailed comparison of EUV and visible-light wavefront measurements performed across the field of view of a lithographic-quality EUV projection optical system designed for use in the Engineering Test Stand developed by the Virtual National Laboratory and the EUV Limited Liability Company. The comparisons reveal that the present level of RMS agreement lies in the 0.3-0.4-nm range. Astigmatism is the most significant aberration component for the alignment of this optical system; it is also the dominant term in the discrepancy, and the aberration with the highest measurement uncertainty. With EUV optical systems requiring total wavefront quality in the (lambda) EUV/50 range, and even higher surface-figure quality for the individual mirror elements, improved accuracy through future comparisons, and additional studies, are required.
New PTB reflectometer for the characterization of large optics for the extreme ultraviolet spectral region
Johannes Tuemmler, Frank Scholze, Guido M.L. Brandt, et al.
Since 1986, the Physikalisch Technische Bundesanstalt (PTB), Germany's national metrology institute, has been working on the 'at-wavelength' characterization of VUV and EUV optical components with synchrotron radiation. Today, PTB operates a laboratory at the electron storage ring BESSY II. Here, at several beamlines, high-accuracy at-wavelength characterization of EUVL components is routinely carried out. Reflectometry is performed on a bending magnet beamline at which a relative uncertainty of 0.25 percent is achieved for the spectral reflectance of a mirror in the EUV spectral region. For the investigation of very large optical components, a new reflectometer will be set up at the soft x-ray radiometry beamline in March 2002. The reflectometer allows the characterization of full size EUVL optical components. Raster scans across the full sample surface can be performed in. Convex or concave profiles are allowed. An additional detector movement out of the scattering plane allows the measurement of bi-directional scattering. Similar measurements can be performed by mounting a cooled CCD camera at different fixed positions on the vacuum chamber. The motor step size for all translational and rotational movements will be 1 micrometers and 0.001 degrees, respectively. We present a detailed description of the new reflectometer for large EUV optics and discuss the uncertainties to be achieved for reflectance measurements.
First results from the updated NIST/DARPA EUV reflectometry facility
Steven Grantham, Charles Tarrio, Matthew B. Squires, et al.
Currently the most demanding application of extreme-ultraviolet (EUV) optics is in lithography. A commercial extreme-ultraviolet stepper will likely have six or more normal-incidence reflective optics, and the largest of these will be tens of cm in diameter. Each of the Mo/Si multilayer stepper mirrors must have the highest attainable reflectivity at 13 nm, but more importantly the central wavelength of the reflectivity must be controlled to better than 0.01 nm and the peak reflectivity of the mask reticle to better than 0.1 percent. In order to meet these demands, our group at the National Institute of Standards and Technology (NIST) has implemented several improvements to our reflectometer. Additionally, the recent upgrade of the Synchrotron Ultraviolet Radiation Facility (SURF III) allows reliable operation at a variety of stored beam energies. Utilizing this capability, and a variety of filters, we analyzed the out-of-band radiation in the system. Under optimum conditions, the out-of-band contribution of the beam incident on the sample is held to 0.4 percent, and is primarily due to correctable effects of near-band scatter. We estimate that our total uncertainty, due to both systematic effects (accuracy of our out-of-band corrections) and random effects (noise, optics heating, etc.) is better than 0.3 percent. We will also discuss improvements that have been designed and are yet to be implemented.
Development of diagnostic tools for the EUV spectral range
Klaus R. Mann, Sebastian Kranzusch, G. Eckert, et al.
The successful implementation of EUV lithography systems strongly relies both on the efficiency of the employed optical components and the precise control of the relevant source parameters. Utilizing a laser-based plasma source for the generation of 13nm radiation, metrology for comprehensive characterization of EUV radiation and the related optics is developed at Laser-Laboratorium Goettingen. A soft X-ray plasma is produced with the help of a Nd:YAG laser which is focused into a pulsed xenon or oxygen gas jet. The alternate use of these two target gases accomplishes either a very intense broadband emission (Xe), or a less intense narrow-band line emission (O2) at the wavelength of 13nm. Additional filtering with the help of Mo/Si mirrors yields quasi-monochromatic 13nm radiation, as needed for testing of optical components, especially reflectometry. The performance of the EUV source is monitored with respect to source diameter, emission characteristics, and 13nm conversion efficiency by the help of different diagnostic tools, including EUV sensitive pin-hole cameras, photo-diodes and an EUV spectrometer. Moreover, first wavefront measurements of EUV radiation are performed with the help of a Hartmann wavefront analyzer, which was sensibilized for 13nm radiation.
EUV Mask Inspection and Repair
icon_mobile_dropdown
Inspection of EUV reticles
Donald W. Pettibone, Andrei Veldman, Ted Liang, et al.
This paper presents the results of patterned and unpatterned EUV mask inspections. We will show inspection results related to EUV patterned mask design factors that affect inspection tool sensitivity, in particular, EUV absorber material reflectivity, and EUV buffer layer thickness. We have used a DUV (257nm) inspection system to inspect patterned reticles, and have achieved defect size sensitivities on patterned reticles of approximately 80 nm. We have inspected EUV substrates and blanks with a UV (364nm) tool with a 90nm to a 120 nm PSL sensitivity, respectively, and found that defect density varies markedly, by factors of 10 and more, from sample to sample. We are using this information in an ongoing effort to reduce defect densities in substrates and blanks to the low levels that will be needed for EUV lithography. While DUV tools will likely meet the patterned inspection requirements of the 70 nm node in terms of reticle defect sensitivity, wavelengths shorter than 200 nm will be required to meet the 50 nm node requirements. This research was sponsored in part by NIST-ATP under KLA-Tencor Cooperative Agreement #70NANB8H44024.
Damage-free mask repair using electron-beam-induced chemical reactions
Substrate damage from Ga ions is a fundamental problem of using focused ion beam (FIB) for mask defect repair. One way to avoid substrate damage from repair is to replace Ga ions with electrons. In this paper, we describe our efforts and present some promising results that demonstrate the feasibility of using e-beam induced processes for mask repair. We employ e-beam induced chemical etching for opaque defect removal and metal deposition for clear defect repair. The examples will include Pt deposition, quartz etch for phase-shift mask and TaN etch for EUV mask. High-resolution electron beam technology is relatively mature, so the infrastructure for building an e-beam system suitable for mask repair exists today. This makes the development of an e-beam based damage-free repair technology attractive. E-beam also offers superior spatial resolution for high edge placement precision and image quality for small defects on ever shrinking mask features.
EUVL mask blank repair
Anton Barty, Paul B. Mirkarimi, Daniel Gorman Stearns, et al.
EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect we present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variations in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that these this technology will be extended to the repair of absorber defects in EUVL masks . However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper we present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.
At-wavelength inspection of defect smoothing in EUVL masks
Moonsuk Yi, Min-Cheol Park, Paul B. Mirkarimi, et al.
Results of at-wavelength inspection of EUVL mask substrate defects that were smoothed by multilayer coatings are presented. Programmed mask substrate defects were made with 80nm gold (Au) spheres, which were deposited on the mask substrate before the Mo/Si reflective multilayer coating. After coating, at-wavelength and visible-light inspection were then performed. The smoothing process was found to be effective in significantly suppressing the EUV visibility of the defects.
Emerging Resist Technologies
icon_mobile_dropdown
REAP (raster e-beam advanced process) using 50-kV raster e-beam system for sub-100-nm node mask technology
A chemically amplified resist (CAR) process has been recognized as an approach to meet the demanding critical dimension (CD) specifications of 100nm node technology and beyond. Recently, significant effort has been devoted to optimizing CAR materials, which offer the characteristics required for next generation photomask fabrication. In this paper, a process established with a positive-tone CAR from TOK and 50kV MEBES eXara system is discussed. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. The coating process is conducted in an environment with amine concentration less than 2 ppb. A nitrogen environment is provided during plate transfer steps. Resolution using a 60nm writing grid is 90nm line and space patterns. CD linearity is maintained down to 240nm for isolated lines or spaces by applying embedded proximity effect correction (emPEC). Optimizations of post-apply bake (PAB) and post-expose bake (PEB) time, temperature, and uniformity are completed to improve adhesion, coating uniformity, and resolution. A puddle develop process is optimized to improve line edge roughness, edge slope, and resolution. Dry etch process is optimized on a TetraT system to transfer the resist image into the chrome layer with minimum etch bias.
EUV photoresist performance results from the VNL and the EUV LLC
Jonathan L. Cobb, Paul M. Dentinger, Luke L. Hunter, et al.
If EUV lithography is to be inserted at the 65-nm node of the 2001 International Technology Roadmap for Semiconductors, beta-tool resists must be ready in 2004. These resists should print 35-65 nm lines on a 130-nm pitch with LER below 4 nm 3s. For throughput considerations, the sizing dose should be below 4 mJ/cm2. The VNL and EUV LLC resist development program has measured the resolution, LER, and sizing dose of approximately 60 ESCAP photoresists with the 10X exposure tools at Sandia National Laboratories. The NA of these tools is 0.088, and every resist measured would support the beta-tool resolution requirement if the resolution scales with NA as predicted by optics. 50-nm dense lines have been printed with monopole off-axis illumination, but 35-nm resolution on a 130-nm pitch remains to be demonstrated. Only one photoresist met the LER specification, but its sizing dose of 22 mJ/cm2 is over five times too large. The power spectral density of the roughness of every resist has a Lorentzian line shape, and most of the roughness comes from frequencies within the resolution of the exposure tools. This suggests a strong contribution from mask and optics, but more work needs to be done to determine the source of the roughness. Many resists have sizing doses below the 4 mJ/cm2 target, and neither resolution nor LER degrades with decreasing sizing dose, suggesting that shot noise is not yet affecting the results. The best overall resist resolved 80-nm dense lines with 5.3 nm 3s LER on 100-nm dense lines at a sizing dose of 3.2 mJ/cm2. Thus, it comes close to, but does not quite meet, the beta-tool resist targets.
Direct photopatterning of metal oxide materials using photosensitive organometallic precursor films
A novel class of photosensitive organometallic precursor materials is used to pattern thin film mixed-metal oxide structures. In this work a photosensitive organometallic precursor is coated onto a silicon substrate and exposed to ultraviolet light through a mask to form patterned oxide structures. This is a negative-tone process in which the unexposed areas can be washed away using a developer solvent. In this work, lithographic contrast curves were measured to characterize the sensitivity and contrast of thin films composed of a mixture of the organometallic precursors for the oxides barium, strontium and titanium. Experiments directed at finding methods to increase the photo-speed of these materials were also conducted. It was found that partial pre-exposure conversion of these films using thermal baking could be used to enhance the sensitivity of these materials. A pre-exposure bake performed at 150 degrees C for 15 seconds was found to decrease the required exposure dose by a factor of two. Dielectric properties were measured for photochemically converted oxide films via electrical measurements on parallel plate capacitor devices. X-ray photoelectron spectroscopy (XPS) was used to quantify the relative amounts of carbon present in the finished films, and it was determined that thermally processes films had higher levels of carbon contamination.
Contamination Issues in Lithography
icon_mobile_dropdown
Studies of EUV contamination mitigation
Samual Graham Jr., Michael E. Malinowski, Chip Steinhaus, et al.
Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.
Controlling contamination in Mo/Si multilayer mirrors by Si surface capping modifications
Michael E. Malinowski, Chip Steinhaus, W. Miles Clift, et al.
The performance of Mo/Si multilayer mirrors (MLMs) used to reflect UV (EUV) radiation in an EUV + hydrocarbon (NC) vapor environment can be improved by optimizing the silicon capping layer thickness on the MLM in order to minimize the initial buildup of carbon on MLMs. Carbon buildup is undesirable since it can absorb EUV radiation and reduce MLM reflectivity. A set of Mo/Si MLMs deposited on Si wafers was fabricated such that each MLM had a different Si capping layer thickness ranging form 2 nm to 7 nm. Samples from each MLM wafer were exposed to a combination of EUV light + (HC) vapors at the Advanced Light Source (ALS) synchrotron in order to determine if the Si capping layer thickness affected the carbon buildup on the MLMs. It was found that the capping layer thickness had a major influence on this 'carbonizing' tendency, with the 3 nm layer thickness providing the best initial resistance to carbonizing and accompanying EUV reflectivity loss in the MLM. The Si capping layer thickness deposited on a typical EUV optic is 4.3 nm. Measurements of the absolute reflectivities performed on the Calibration and Standards beamline at the ALS indicated the EUV reflectivity of the 3 nm-capped MLM was actually slightly higher than that of the normal, 4 nm Si-capped sample. These results show that he use of a 3 nm capping layer represents an improvement over the 4 nm layer since the 3 nm has both a higher absolute reflectivity and better initial resistance to carbon buildup. The results also support the general concept of minimizing the electric field intensity at the MLM surface to minimize photoelectron production and, correspondingly, carbon buildup in a EUV + HC vapor environment.
EUV Mask Materials and Structures
icon_mobile_dropdown
Characterization and characteristics of a ULE glass tailored for EUVL needs
Kenneth E. Hrdina, Benjamin Z. Hanson, Philip M. Fenn, et al.
The EUVL industry has unique material requirements, which are being addressed. Implementation of metrology methods new to ULE Glass will be discussed along with material characteristics altered to meet the needs of EUVL. Metrology methods include multiple means of evaluating the striae, CTE and inclusions. Material characteristics have been altered to better meet the demands of the industry. The reduction in inclusion levels along with other improvements such as in the area of striae will be discussed here. Improvements of greater than 4x were achieved in these preliminary striae reduction trials.
Thermal expansion behavior of proposed EUVL substrate materials
Ina Mitra, Mark J. Davis, Jochen Alkemper, et al.
The enhanced demands for substrate materials for next- generation optics and masks have initiated detailed investigations on Zerodur as a proposed EUVL substrate material. The dependence of thermal expansion of Zerodur on process parameters is illustrated herein as well as its utility for EUV substrate material demands. As a result of specifically adjusted process parameters, the coefficient of thermal expansion (CTE) was tailored to be a minimum at 22.5 degrees C. Laboratory samples of Zerodur exhibit a CTE corresponding to the lowest expansion class of the SEMI standard P37. By further variation of process parameters, the position of zero crossing, e.g. at 30 degrees C, can be varied, revealing an attractive attribute feature of Zerodur. A series of CTE measurements with a small block of Zerodur provides information on CTE homogeneity on a cm- scale: No CTE variation was observed within the error of measurements for a block exhibiting +/- 2 * 10-6 variation in refractive index. A new dilatometer type is in the course of development. First operational results are expected in Summer 2002 with an increased accuracy < ppb/K in the temperature range of 17 to 30 degrees C.
Ultrahigh-accuracy measurement of the coefficient of thermal expansion for ultralow expansion materials
Microlithographic systems rely on precision alignment and a high-level of dimensional stability to achieve required performance. In critical applications, immunity to thermally induced dimensional changes is achieved by the use of low linear coefficient of thermal expansion (hereafter referred to as CTE and denoted by a) materials such as ULE in components such as reflective optics and machine structures. ULE has a CTE that is typically in the 0 + 30 ppb K-1 range and it may be engineered to achieve a specific value. A high-accuracy determination of the CTE is essential for both process control and for providing an essential input to the design of such systems for error budgeting purposes. Currently, there is a need for CTE determination with an expanded uncertainty U(a)(k=2) < 1 ppb K-1 in the 273-373 K temperature range. A survey of the state-of-the-art of high-accuracy absolute measurement of CTE is presented along with a discussion of the significant error sources in each of the current techniques. The metrology techniques, sample design and instrumentation are described along with uncertainty estimates for representative instruments. The design philosophy and prospects for a new instrument that satisfies the above mentioned need are described.
Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection
Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28 percent to 80 percent greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6 percent and phase of 180 +/- 10 degrees result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.
EUV phase-shifting masks and aberration monitors
Rigorous electromagnetic simulation with TEMPEST is used to examine the use of phase-shifting masks in EUV lithography. The effects of oblique incident illumination and mask patterning by ion-mixing of multilayers are analyzed. Oblique incident illumination causes streamers at absorber edges and causes position shifting in aerial images. The diffraction waves between ion-mixed and pristine multilayers are observed. The phase-shifting caused by stepped substrates is simulated and images show that it succeeds in creation of phase-shifting effects. The diffraction process at the phase boundary is also analyzed. As an example of EUV phase-shifting masks, a coma pattern and probe based aberration monitor is simulated and aerial images are formed under different levels of coma aberration. The probe signal rises quickly as coma increases as designed.
EUV Multilayer Coatings
icon_mobile_dropdown
Ion beam sputter deposition of low-defect EUV mask blanks on 6-in. LTEM substrates in a real production environment
Hans Willy Becker, Lutz Aschke, Birgit Schubert, et al.
EUV mask blanks consist of two thin film systems deposited on low thermal expansion 6 inch substrates (LTEM). First there is the multilayer stack with around 100 alternating layers of elements with different optical properties which are topped by a capping layer. Beside optimal optical properties it is also necessary to improve the heat stability of the layer system. The absorber stack which consists of a buffer and an absorber layer is next. Here a minimum absorption of EUV light of 99 percent is required. The stress in both layer systems should be as low as possible. The reduction of defects to an absolute minimum is one of the main challenges. The high-reflective Mo/Si multilayer coatings were designed for normal incidence reflectivity and successfully deposited on 6-inch LTEM substrates by ion-beam sputtering. X-ray scattering, transmission electron microscopy and atomic force microscopy were used for characterization of the multilayer interfaces and the surface morphology. The results are correlated to the measured normal incidence reflectivity using synchrotron radiation at the PTB reflectometer at BESSY II, Berlin, Germany.
Design and fabrication of broadband EUV multilayer mirrors
Thomas Kuhlmann, Sergey A. Yulin, Torsten Feigl, et al.
Multilayer mirrors with a significantly increased bandwidth in spectral and angular reflectance have been designed and deposited with a commercial magnetron sputtering system. A non-periodic multilayer design based on the thickness optimization of each layer by a stochastic method is compared to a design which consists of 3 different stacks. The EUV reflection of the samples was investigated with synchrotron radiation at the reflectometer of the PTB (Physikalisch-Technische Bundesanstalt) at BESSY II in Berlin. A reflectivity of more than 15 percent was reached in the whole wavelength range from 13 nm to 15 nm and a reflectivity of more than 30 percent was obtained for incidence angles from 0 degrees to 20 degrees with both designs. The increase in bandwidth is unavoidably connected with a decrease of peak reflectivity. Therefore, the application of such mirrors involves areas where a maximum peak reflectivity is not required, e.g. in EUV spectroscopy and for the metrology for EUV sources. Furthermore, the use of such mirrors in combination with a broadband plasma source will result in a higher integral reflectivity.
Effect of argon and non-argon ion impingement on the stress reduction of multilayers for extreme-ultraviolet lithography
Masayuki Shiraishi, Wakana Ishiyama, Noriaki Kandaka, et al.
We investigated the effects of oxygen and nitrogen atoms on stress reduction in low-stress multilayers developed by us. It was considered that the presence of non-argon atoms in molyndenum layers caused the stress change in the multilayers, because in the ion-beam polishing (IBP) of low-stress multilayers, we found that air was mixed with the argon gas for IBP with an assisting ion source. We analyzed the composition of the low-stress multilayer with oxygen- and nitrogen-mixed gas in the depth direction and detected oxygen and nitrogen atoms near the surface of the molybdenum layers. We also used an in situ stress monitoring system developed by us and investigated the contribution to stress reduction of each process with/without oxygen and nitrogen. We found that there were thresholds for both oxygen gas flow rate and thickness oxygen-doped molybdenum layers (i.e., molybdenum oxide layers) to suppress the compressive stress change in silicon layers. It was considered that the molybdenum oxide layers functioned as barrier layers to impede the formation of the interdiffusion layers causing strain, resulting in the suppression of the compressive stress change in subsequent silicon layers.
Electron Projection Lithography
icon_mobile_dropdown
Nikon EPL tool development summary
Takaharu Miura, Tatsuo Sato, Masaya Miyazaki, et al.
In the development of Electron Beam Projection Lithography Tool (EPL), one of the most important tasks is to develop the high-speed vacuum stage system and reliable vacuum body system. Nikon has a long history of over 22 years in precision stage development for its Optical Lithography Tools as well as over 10 years in EB instrument development such as EB 60 with NTT. Recently, lithography stages have been developed based on air bearing and linear motor technologies. It is desirable and of minimum risk to utilize those technologies for the EPL system in order to shorten total time period of development, but the requirements for the EB stage and body are much different from their optical counterparts and much more difficult. In this paper, development and implementation of EPL vacuum stage system, vacuum body system, vacuum loader system, and control system are discussed and over viewed.
PREVAIL: latest electron optics results
Hans C. Pfeiffer, Steven D. Golladay, Michael S. Gordon, et al.
The PREVAIL electron optics subsystem developed by IBM has been installed at Nikon's facility in Kumagaya, Japan, for integration into the Nikon commercial EPL stepper. The cornerstone of the electron optics design is the Curvilinear Variable Axis Lens (CVAL) technique originally demonstrated with a proof of concept system. This paper presents the latest experimental results obtained with the electron optical subsystem at Nikon's facility. The results include micrographs illustrating proper CVAL operation through the spatial resolution achieved over the entire optical field of view. They also include data on the most critical issue of the EPL exposure approach: subfield stitching. The methodology of distortion correction will be described and both micrographs and metrology data of stitched subfields will be presented. This paper represents a progress report of the IBM/Nikon alliance activity on EPL.
Poster Session
icon_mobile_dropdown
Advanced deflector elements for high-throughput electron optical systems
Oliver Kienzle, Rainer Knippelmeyer, Wilfried Claus, et al.
We have successfully produced and outfitted in-lens deflector elements which can be used for off-axis aberration correction in high throughput electron optics. A thorough analysis of mechanical tolerances, the study of the effect of mechanical tolerances on the imaging performance, and the comparison of calculated and measured deflection fields indicate the capability of such deflector elements for reaching the demands of high throughput electron optical devices.
Electron Projection Lithography
icon_mobile_dropdown
Analysis of critical parameters for EPL mask fabrication
Electron projection lithography (EPL) requires mask formats that are significantly different from those used in traditional optical lithography. These masks must consist of a thin membrane that is either patterned with transmissive perforations for stencil masks or patterned with a scatterer layer to form a continuous membrane mask. In this paper, the critical requirements for fabrication of EPL masks are discussed. Challenges for mask blank fabrication, image size uniformity, image placement accuracy, and defect control are presented with examples from mask fabrication. The impact of these parameters on EPL mask cost is then discussed. The mask cost is compared for different critical device levels and for different chip sizes. The influence of mask parameters and need for complementary masks drives higher mask costs for certain device levels. By examining the factors influencing mask cost for certain levels, the areas of mask technology that are most critical to controlling mask cost can be identified.
Direct Write Lithographies
icon_mobile_dropdown
Lossless compression techniques for maskless lithography data
Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.
Shaped e-beam lithography integration work for advanced ASIC manufacturing: progress report
Laurent Pain, Murielle Charpin, Yves LaPlanche, et al.
For the sub-90 nm node integrated circuits design rules, ITRS forecasts require minimal gate line width down to 55-35 nm. To reach such aggressive targets, most advanced optical lithography tools combined with all reticle enhancement techniques will be requested inducing important manufacturing cost and mask cycle time increase. In order to address prototyping market and reduce fabrication cost, shaped electron beam lithography may represent a technological alternative for cost reduction due to its high resolution and potential throughput capabilities. This paper is focused on the integration of this technology in standard ASIC plant, including resist process and overlay capabilities.
Large-field ion optics for projection and proximity printing and for maskless lithography (ML2)
Hans Loeschner, Gerhard Stengl, Herbert Buschbeck, et al.
Recent studies carried out with Infineon Technologies have shown the utility of Ion Projection Lithography (IPL) for the manufacturing of integrated circuits. In cooperation with IBM Storage Technology Division the patterning of magnetic films by resist-less Ion Projection Direct Structuring (IPDS) has been demonstrated. With masked ion beam proximity techniques unique capabilities for lithography on non-planar (curved) surfaces are outlined. Designs are presented for a masked ion beam proximity lithography (MIBPL) exposure tool with sub - 20 nm resolution capability within 88 mmo exposure fields. The possibility of extremely high reduction ratios (200:1) for high-volume ion projection mask-less lithography (IP-ML2) is discussed.
Poster Session
icon_mobile_dropdown
Laser plasma radiation sources based on a laser-irradiated gas puff target for x-ray and EUV lithography technologies
Henryk Fiedorowicz, Andrzej Bartnik, Roman Jarocki, et al.
In this paper laser plasma radiation sources for x-ray and EUV lithography technologies are proposed. The sources are based on a recently developed double-stream gas puff target formed by pulsed injection of high-Z gas into a hollow stream of low-Z gas by using the double-nozzle setup. Strong x-ray and EUV production from the laser-irradiated double- stream gas puff target has been demonstrated. Characterization measurements of the source performed using a Nd:glass laser are presented and discussed.
Development of high-power EUV sources for lithography
Vladimir M. Borisov, Imtiaz Ahmad, S. Goetze, et al.
We report on the experimental status of the development of gas discharge produced plasma EUV sources for lithography based on the Z-pinch concept. The plasma size of approximately 1.3 mm X 1.5 mm has been matched to come close to the requirements resulting from the etendue of the optical system. The spatial stability of the plasma size as well as the plasma center is better than 15 percent standard deviation. The solid angle of emission is 1.8 sr, i.e. +/- 45 deg. The sources can be operated continuously at 1000 Hz repetition frequency and provide an EUV in-band power of 10 W in 1.8 sr. Spectral measurements providing in-band and out-of-band spectral distribution of the source are discussed.
Optimization of a dense plasma focus device as a light source for EUV lithography
Igor V. Fomenkov, William N. Partlo, Richard M. Ness, et al.
Since the initial demonstration of EUV emission with Xenon as a source gas in Cymer's Dense Plasma Focus (DPF) device, significant effort has been spent exploring the parameter space for optimization of efficient generation of EUV radiation. Parameters included in this investigation are He and Xe pressure and flow rates, electrode geometries, pre-ionization characteristics, and duty factor related performance issues. In these investigations it was found that the location of the He (buffer gas) and Xe (working gas) gas injection ports as well as the pressures and flow rates of the gas mixture components had a strong impact on EUV emission efficiency. Additional constraints on the gas recipe are also derived from gas absorption of the EUV radiation and the desire to provide debris mitigation properties. Best results to date have been obtained with an axially symmetric buffer gas injection scheme coupled with axial Xe injection through the central electrode. The highest conversion efficiency obtained was 0.42 percent at 12.4 J of input energy. Measurements of energy stability show a 10 percent standard deviation at near optimum EUV output. The matching of the drive circuit to the pinch as determined by the damping of the voltage overshoot waveforms was found to depend strongly on the He and Xe pressures. Energy Dispersive X-Ray (EDX) analysis of the debris emitted from the source shows that the primary sources of the debris are the central electrode and the insulator. No evidence of cathode material has been found. In addition to efforts toward more efficient operation, first phase efforts of thermal engineering have been undertaken, which have led to continuous operation at 200 Hertz with conventional direct water cooling. The system can be operated at higher repetition rates with proportionally lower duty cycles. The data will show the distribution of thermal power throughout the whole system. This more detailed understanding of the thermal power flow allows us to better determine the ultimate high volume manufacturing potential of this source technology.
Fabrication of a fly-eye mirror for an extreme-ultraviolet lithography illumination system by arranging silicon mirror elements
Hideo Takino, Teruki Kobayashi, Kazushi Nomura, et al.
A novel EUVL illumination system including two fly-eye mirrors was proposed by Komatsuda. However, these mirrors are difficult to realize because they have a complex-shaped reflective surface constructed from many concave mirror elements. In the present study, we discuss a fabrication process for the fly-eye mirrors, in which all elements are fabricate individually and are then arranged side-by-side to form the fly-eye mirrors. We propose a fabrication method for the mirror elements in which silicon blocks are ground and polished into a spherical surface, and are then cut into the shape of the mirror element using a wire electric- discharge machine. Using the proposed method, we successfully fabricated mirror elements having a flat reflective surface in the preliminary experiment. Moreover, we propose a method of arranging the mirror elements to construct the fly-eye mirrors. In this method, to arrange the elements accurately without any contamination, the elements are fixed to a base plate containing magnets by attraction of their bottom surfaces. The bottom surfaces are plated with metal to enable their attraction to the magnets. The mirror elements were accurately arranged to satisfy the fly-eye mirror specifications by this magnetic method.
Manufacture of fly-eye mirror in an extreme-ultraviolet lithography illumination system by means of ultraprecision diamond cutting
Yoshinori Hashimoto, Yoshimi Takeuchi, Tomohiko Kawai, et al.
This study deals with the manufacture of so-called Fly-eye mirror in EUV lithography by means of an ultraprecision 5-axis control milling technology. It is extremely difficult to manufacture a highly accurate Fly-eye mirror by conventional methods such as photolithography, mechanical cutting by a lathe, etc. since it is composed of 500 circular arc mirror elements of 15 mm in length and 1 mm in width having a spherical surface of 295 mm in radius, and there are minute steps among them. In order to manufacture Fly-eye mirror with accuracy and efficiency, the study proposes a new machining method to manufacture spherical surface with any radius by employing a rotational single-crystal diamond tool with cutting edge angle of 90 degree. Oxygen-free copper was machined by this method. The machined workpiece shows smooth spherical surface and sharp steps among them without any burrs. It is found that the proposed method has the potential of producing the Fly-eye mirror.
High-accuracy detector calibration for EUV metrology at PTB
Frank Scholze, Guido M.L. Brandt, Peter Mueller, et al.
With the development of EUV-lithography, high-accuracy at-wavelength metrology has increasingly gained in importance. Characterization of detectors and sources using synchrotron radiation has been performed by the Physikalisch--Technische Bundesanstalt (PTB) for almost 20 years. At their new laboratory at BESSY II, PTB now has set up instrumentation which is suitable for high-accuracy EUV detector calibration. It uses synchrotron radiation from a bending magnet for detector characterization at a plane grating monochromator beamline. The detector calibration at PTB uses a cryogenic electrical substitution radiometer as the primary detector standard. For the measurement of radiant power of about 1 (mu) W, the systematic uncertainty contributions from the electrical substitution principle of about 0.03 percent relative dominate the measurement uncertainty of the radiometer. Careful adjustment of the temperature control circuit reduced the statistical noise of the measured power to about 0.2 nW. This allows the radiant power to be measured down to 0.1(mu) W with an uncertainty of 0.3 percent or better. This uncertainty is lower than the results achieved elsewhere by more than one order of magnitude. In this paper, the current status of EUV detector calibration at PTB is presented. The high performance of the radiometer, together with the improved stability and spectral purity of the beamline, is illustrated by typical results. In the EUV spectral range, photodiodes can be calibrated with a relative uncertainty of about 0.3 percent. This low uncertainty permits systematic studies of the homogeneity and stability of detectors with unprecedented sensitivity for even minor changes. The responsivity of individual photodiodes has been observed over a period of up to six years. We present a first investigation of the long-term stability of AXUV photodiodes which are widely assumed to be stable in the EUV spectral range. The results are of sufficient accuracy to show that even diodes which are rarely used and carefully stored, degrade. After a period of three years, the degradation becomes ever stronger.
Aspherical mirror measurement using a point diffraction interferometer
Kazuya Ota, Takahiro Yamamoto, Yusuke Fukuda, et al.
An point diffraction interferometry (PDI) system is used for measurement of EUV aspherical mirrors, because diffracted light by a small aperture has a nearly ideal spherical wavefront and EUV projection systems is designed with mild aspheres so that the mirrors can be tested at the center curvature without null optics. An advanced point diffraction interferometer has been developed and its precision and accuracy performance tested with a spherical mirror have been reported in last year1. After that, the diameter of the pinhole employed in the PDI system is switched from 1.0mm to 0.5mm in anticipation of measurement accuracy improvement. An aspherical mirror is measured, and the system error is estimated from the aspherical measurement data. In this system error estimation, an aspherical mirror designed for a four-mirrors EUV projection optics is used.
ASET development of at-wavelength phase-shifting point diffraction interferometer
We have been studying phase-shifting point diffraction interferometry (PSPDI) as a technique evaluating extreme-ultraviolet (EUV) lithographic optics at the working wavelengths. In the PSPDI, the wavefront error of the test optic affects the measurement itself. One of these effects is that flare of a spot focused onto a pinhole of a PSPDI mask is mixed with a test beam as an optical noise. To mitigate the flare effect, we changed the PSPDI mask design and replaced the convex mirror of a test optic. The other effect is reducing the contrast of the interference fringe. To reduce the misalignment of the test optic, we have improved the accuracy of the PSPDI using visible light. Since the residual wavefront error of the test optic is not small enough for at-wavelength PSPDI measurement, we obtained an at-wavelength wavefront using a rather large second pinhole. The obtained EUV wavefront qualitatively agreed with the visible one.
Fabrication of Mo/Si multilayer for EUVL reticle blank using ion beam sputtering
Hiromasa Yamanashi, Taro Ogawa, Hiromasa Hoko, et al.
Multilayer deposition is one of the key technologies for the fabrication of reticle blanks for extreme ultraviolet lithography (EUVL). Molybdenum/silicon (Mo/Si) multilayers deposited on mask blanks must have a high reflectance and a low defect density. To achieve this, ASET has developed a deposition system that employs ion beam sputtering (IBS). This paper presents some preliminary experimental results, such as the EUV reflectance and defect density of Mo/Si multilayers deposited with this system.
Simulation studies of roughness-smoothing effect of molybdenum/silicon multilayer coating based on resputtering model
Taro Ogawa, Masaaki Ito, Hiromasa Yamanashi, et al.
For an extreme UV lithography (EUVL) mask, one cause of phase defects is bumps and divots on the surface that are a few nanometers high. Though phase defects are believed to originate in the roughness of the underlying substrate, recent reports suggest that a molybdenum/silicon (Mo/Si) multilayer deposited by ion beam sputtering smooths out the roughness of the underlying substrate and thus relaxes the specifications for the surface roughness of glass substrates for EUVL masks. In this study, we analyzed this smoothing effect mainly through simulation studies. Our simulation is based on the string model and consists of two parts: the deposition of Mo and Si bilayers, and the resputtering of Mo and Si atoms form each layer. The simulation result based on ly on the deposition part suggest that a Mo/Si multilayer conformally coats the bumps and divots of the underlying substrate. These results are in good agreement with transmission electron microscope observations of Mo/Si multilayers deposited onto bumps and divots by magnetron sputtering. When resputtering is added to the simulation, both bumps and divots on the underlying substrate are smoothed out under the same conditions. These result suggest that resputtering is partially responsible for the roughness-smoothing effect that appears during the deposition of a Mo/Si multilayer.
Numerical investigation of EUV mask contact layer defect printability at the 30-nm technology node
The printability of an extreme ultraviolet lithography (EUVL) contact layer defect mask was studied using numerical analyses. Three-dimensional models using rigorous electromagnetic simulations were used to predict the mask printing process at the 30 nm technology node. Two types of EUVL mask contact layer defects were studied, i.e., absorber and buffer layer defects. For the absorber, corner and center defects were evaluated and compared for different contact sizes. For the buffer layer, corner defects were investigated for three different materials: ruthenium, carbon, and silicon oxide. It was found that center contact defects were worse than corner defects. For buffer layer defects, the study showed that for a given size, ruthenium defects have the worst effect, followed by carbon and silicon oxide.
Simulation model of in-plane distortion in EUVL mask during chucking
Akira Chiba, Kazuya Ota, Eiichi Hoshino, et al.
The in-plane distortion (IPD) arising from the stress in the films on an EUVL mask in a flattened state was calculated using a newly devised simulation model based on 2D planar stress theory. One cause of IPD is the stress gradients in the multilayer and absorber films. Since there is no stress gradient in a large absorber pattern with uniform internal stress, the placement error was found to be extremely small in this case. Furthermore, concave or convex regions occur int the free space areas not covered with absorber film. The magnitude of the change in surface height in the detph-wise direction is 0.4 nm for an absorber stress of +/- 500 Mpa. It was found that the change in surface height could be suppressed by reducing the absorber stress. In order to improve the placement accuracy of EUVL masks, it is desirable to deposit films with a uniform internal stress during mask fabrication.
Thermomechanical modeling of the pin-chucked EUV reticle during exposure
Alexander C. Wei, Carl J. Martin, William A. Beckman, et al.
Extreme ultraviolet (EUV) lithography has emerged as the forerunner in the selection process to become the industry's choice as the technology for next-generation lithography (NGL). An advantageous characteristic of the EUV reticle is that it is reflective, so it can be chucked across the entirety of its backside. This chucking will aid in meeting flatness requirements as well enhancing the heat removal ability of the chuck when compared to the mounts used for optical reticles. The EUV exposure process occurs in a vacuum environment, which precludes the use of vacuum chucks; therefore, electrostatic chucks are the favored choice. One concern is that particles may become lodged between the chuck and reticle, causing distortions to occur once the reticle is chucked flat. To counter this effect, electrostatic pin chucks have been proposed. However, because of the lower heat transfer ability of the pin chuck due to the interstitial gap, thermal issues may arise. A predominant pin-chuck configuration has yet to emerge, and there is no set of standards to facilitate new designs. The intent of this paper is to provide general guidelines to assist in preliminary designs. Parameters that were seen as potentially important factors in pin chuck performance were chosen and the results are presented.
Thermomechanical global response of the EUVL wafer during exposure
Jaehyuk Chang, Carl J. Martin, Roxann L. Engelstad, et al.
Extreme ultraviolet lithography (EUVL) is one of the leading technologies for Next-Generation Lithography. Continued progress in its development will be facilitated by characterizing all sources of distortion in the chip fabrication process. These include the thermal distortions of the wafer caused by deposited EUVL energy during scanning exposure. Absorbed energy from the beam produces temperature increases and structural displacements in the wafer, which directly contribute to pattern placement errors and image blur. Because of the vacuum conditions of EUVL systems, wafer chucking will be electrostatic, which has a number of advantages over mechanical clamping systems. The goals of this research are to predict the transient temperature increases and corresponding displacements (locally and globally) consistent with the thermomechanical boundary conditions of the wafer. Both thermal and structural finite element models were constructed to numerically simulate wafer exposure. The response of the wafer is relatively sensitive to the interface conditions between the substrate and electrostatic chuck. Thus, parametric studies of the response to changes in the contact conductance and the friction coefficient were performed and are presented in this paper.
Defect printability analysis on electron projection lithography with diamond stencil reticle
Yoichi Tomo, Yoshinori Kojima, Sumito Shimizu, et al.
Mask defect printability of electron projection lithography (EPL) by using a diamond reticle with programmed defect pattern was investigated. Th reticle was obtained from NTT- AT and wafer exposure was performed by Nikon's EB projection experimental column. In general, the printability of the defects of 'dot' categories are lower than 'shift' categories and the allowable defect size of 'dot' categories in 70 nm node are larger than 100 nm on mask with +/- 10 percent critical dimension (CD) tolerance criteria except edge extension defect. On the other hand, the printability of the defects of 'shift' categories is higher than 'dot' categories. According to the defects CD dat of mask and resist patterns, CD error or placement error caused by the defects of 'shift' categories show a linear correlation between the defect size of mask x reduction ratio and printed defect size of resist pattern. SO the allowable defect size of 'shift' categories in 70 nm node should be less than 28 nm on mask.
Pattern distortion of the stencil reticle caused by stress of silicon membrane and resist on the reticle
Shin-ichi Takahashi, Masashi Okada, Norihiro Katakura, et al.
Silicon stencil reticle has been developing for the EB stepper, which is the electron beam projection lithography system for 70nm node generation and beyond. The reticle distortion is affected by stress such as silicon membrane stress and resist stress on a reticle in their fabrication. To analyze pattern distortion using finite element method (FEM), the image placement (IP) and the critical dimension (CD) errors of the stencil reticle were measured at every step of reticle fabrication processes. It was found that the resist stress is the key factor of IP error in the membrane process. In the wafer process, the IP errors are mainly related to silicon membrane stress. IP and CD errors of 200mm stencil reticle in both processes are discussed using FEM. The calculation results show CD errors are caused by the stress of silicon membrane. Moreover, it is discussed that CD error depends on pattern shape and density even on the stress-controlled reticle blanks.
High-power laser-plasma x-ray source for lithography
Celestino J. Gaeta, Harry Rieger, I. C. Edmond Turcu, et al.
A compact x-ray source radiates 24 Watts average power of 1nm x-rays in 2 (pi) steradians. The laser produced plasma x-ray source has a 300 W laser driver which is a compact, diode-pumped solid-state Nd:YAG laser system. The x-ray conversion efficiency is 9 percent of the laser power delivered on target. The x-ray source was used to demonstrate x-ray lithography of 75 nm lines. The x-ray source is optimized for integration with a x-ray stepper to provide a complete x-ray lithography exposure tool for the manufacture of high-speed GaAs devices.
New developments in ALFT soft x-ray point sources
Dario F. Cintron, Xiaoming Guo, Meisheng Xu, et al.
The new development in ALFT soft X-ray point source VSX-400 consists mainly of an improvement of the nozzle design to reduce the source size, as well as the introduction of a novel trigger system, capable of triggering the discharge hundreds of million of times without failure, and a debris removal system. Continuous operation for 8 hours at 20 kHz allows us to achieve 400 mW of useful soft X-ray radiation around 1 nm wavelength. In another regime of operation with a high energy machine, the VSX-Z, we have been able to achieve consistently 10 J of X-rays per pulse at a repetition rate that can reach 1 Hz with an input electrical energy of approximately 3 kJ and an efficiency in excess of 10-3.
Micro- and Nanodevice Technologies
icon_mobile_dropdown
Lithography: a historical perspective and shape of things to come
This paper takes a look at the evolution of lithography during the last 50 years and projects its future beyond the era of Next Generation Lithography (NGL). The technologies beyond NGL will require a paradigm shift from the way things are done today. Many new disciplines and processes will emerge that will need to be integrated into existing systems and procedures.
Poster Session
icon_mobile_dropdown
Simulation of exposure and alignment for nano-imprint lithography
Rigorous electromagnetic simulation with TEMPEST is used to examine the exposure and alignment processes for nano-imprint lithography with attenuating thin-film molds. Parameters in the design of topographical features of the nano-imprint system and material choices of the components are analyzed. The small feature size limits light transmission through the feature. While little can be done with auxiliary structures to attract light into small holes, the use of an absorbing material with a low real part of the refractive index such as silver helps mitigates the problem. Results on complementary alignment marks shows that the small transmission through the metal layer and the vertical separation of two alignment marks create the leakage equivalent to 1 nm misalignment but satisfactory alignment can be obtained by measuring alignment signals over a +/- 30 nm range.
Fabrication of microbowtie structures using electron-beam lithography for a new optical probe
Ampere A. Tseng, Chii D. Chen, C. S. Wu, et al.
A microbowtie array critical to a newly developed optical probe is studied. The optical probe is used for high speed detection of nanoscale objects. Based on the concept of Wave Interrogated Near Field Array, an array of microbowtie structures is acting as a detector in amplifying the incident light source to overcome the difficulties of the conventional optical probe that are limited by the diffraction limits of the optical light. In the present study, the micro bowties are fabricated by an electron beam lithography technique. The paper begins with an introduction of the probe concept and then the design considerations are given followed by the details of the fabrication procedure. Two different substrates are considered to study the charge accumulation problem caused by an insulating substrate required by the probe. The effect of the charge accumulation on the fabrication procedures is specifically discussed. Results indicate that the bowtie structure with an indium- tin-oxide coated glass substrate can minimize the charge accumulation problem, making it one of the most suitable substrates for the bowtie structures considered. The paper concludes with recommendations for making even smaller nano- sized bowtie structures.
Collinearity and stitching performance on an ASML stepper
Michael J.E. Van de Moosdijk, Ennos Van den Brink, Klaus Simon, et al.
The exponential increase in areal density of magnetic hard disk drives during the last years has led to incredibly tighter manufacturing tolerances. For the lithography process that is being used to make the principal component in a hard disk drive, the read-write head, this means that improved process control is required with respect to imaging and positioning performance. Beside the overlay performance from layer to layer, the relative placement of images in a single critical layer is being looked at as an important performance requirement on state-of-the-art DUV and I-line steppers. The terms stitching and co-linearity characterize the relative placement of images in a single layer. In this paper verification tests for stitching and co-linearity are presented, as they are developed by ASML. Since these tests require an understanding of the terms stitching and co-linearity, the definitions of these terms are outlined. The results for the two tests on an ASML PAS 5500/300 DUV stepper are presented and discussed. Also the effects of certain error sources and some ideas for future optimization are shown.
Extended front-to-back alignment capability for MEMS/MOEMS applications
Cheng-Qun Gui, Willy van Buel, Frans G. C. Bijnen, et al.
A novel front-to-back alignment method, which does not require additional alignment sensors, is being developed for optical projection lithography tools. The system is designed such that it can be easily retrofitted to existing systems. By embedding a pair of tiny optics into the wafer stage, the existing Through-the-Lens (TTL) and/or Off-Axis (OA) alignment systems can be utilized, thereby avoiding the added complexity of supplementary alignment electronics and hardware. The front-to-back alignment is accomplished by imaging the alignment marks on the wafer back surface to the front and then using the standard front-side alignment system. To calibrate the front-to-back alignment module and to minimize the alignment errors, new metrology software is introduced. The front-to-back alignment accuracy is specified to be <EQ 500 nm, which provides typically twice the improvement compared to current state-of-the-art implementations. The front-to-back alignment capability is being developed in response to the requirements of the MEMS/MOEMS market.
V-grooves on LiNbO3 for passive fiber alignment
Qihong Lou, Zhenhuan Ye, T. Li, et al.
A relative good and economical method has been presented to fabricate V-grooves on LiNbO3 crystal for passive fiber alignment. It ha been experimentally investigated of excimer laser ablated V-grooves on LiNbO3 crystal. Two excimer lasers were employed for comparison experiment with the wavelength of 308nm and 193nm respectively. It has been shown that 308nm wavelength performed a poor role in fabricating grooves on LiNbO3 crystal, particularly on both ablation sped and surface quality, while 193nm wavelength showed excellent performance. The corresponding ablation threshold of LiNbO3 crysatl at 193nm has been found to be as low as 0.5J/cm2. At laser fluence of 3J/cm2 and 1200 shots of laser irradiation, V-grooves with some 130 micrometers have been fabricated without visible damage near the grooves. Oxygen buffering atmosphere has been utilized for improving ablation surface cleansing.
Electron-beam lithography of isolated trenches with chemically amplified positive resist
Andrew R. Eckert, Richard J. Bojko, Harold Gentile, et al.
Electron beam lithography has been implemented with a commercially available DUV chemically amplified positive resist. Post exposure delay stability in vacuum was found to be non-critical. Post exposure delay after removal from vacuum in our clean room is a critical variable, with a change in critical dimension of approximately 0.6 nm per minute of PEB delay. This result was achieved without amine filtration. Wafers were transported in cassettes from the e- beam exposure tool to an FSI Polaris 2000 photocluster tool. The PEB delay effect on critical dimensions can be significantly reduced by using a water soluble protective top coat with a slight change in nominal does. E-beam lithography was performed with a Leica VB6 operating at 50eV, using a n 800 micrometers field, and a 12.5 nm minimum grid size. The original CAD had a negative bias added to compensate for any proximity effect, to take advantage of dose control to achieve targeted line width, and to optimize exposure latitude. Characterization with a dual beam FIB/SEM to obtain cross-sectional SEM images, typically demonstrate a foot on plated structures from the initial resist profile. A 30 percent decease in nominal dose was observed on device wafers compared to scout wafers. Device wafers have metal structures buried below the approximate 100 nm thick plating seed layer that also cause profile changes. This is presumably due to the back scattering of the electrons from the initial area of exposure. Plating rates in isolated trenches also show a strong dependence o n the critical dimension of the narrow resist trench. Plated structures with critical dimensions of 80 nm in 0.65 microns of resist were fabricated.
New supercritical resist dryer
A new supercritical resist dryer (SRD) has been developed. Supercritical drying is the most effective way to prevent pattern collapse, which limits pattern resolution; and a special dryer is needed to carry it out. The dryer developed in this study is a more efficient version of our previous model in that only the wafer and wafer holder, rather than the whole chamber are heated. To accomplish this, the dryer is equipped with a hot holder, which quickly heats the wafer to the temperature required for drying without a high-power heater. That is, the heater quickly converts only the carbon dioxide surrounding the holder to the supercritical state. Furthermore, the temperature gradient between the holder and the chamber wall induces convection currents that rapidly replace the rinse resolution with supercritical CO2 without the need for a flow of liquid CO2. The SRD enables extremely fine resist and Si line patterns to be formed without any collapse or bending.
Preparation of high-aspect-ratio 70-nm patterns by supercritical drying technique in proximity x-ray lithography
Yukiko Kikuchi, Takuya Fukuda, Seiichi Shishiguchi, et al.
Supercritical drying (sc-drying) was applied for the photoresist (resist) patterns replicated by proximity x-ray lithography. By that technique, 70 nm L/S patterns with the aspect ratio of 5 were successfully obtained without pattern collapse for both solvent and aqueous development resists by ZEP and UV6, respectively. The procedure was that a puddle developed 8 inch wafer was rinsed 3 times successively without spin drying by changing rinse liquids, and the wafer wet with the 3rd rinse solution which is soluble in supercritical CO2 was transferred to a sc-drying chamber. The sc-drying process was performed with a condition of 8 MPa and 55 degrees C for about 15 min. The process uniformity within a wafer was examined by measuring the pattern width of 100 nm L/S with the resist UVII-HS and it was quite satisfactory. The feasibility study of dry etching with the sc-dried resist was performed. No noticeable change was found in etching ratio between with/without sc-dried resist. The composition change of resist was also investigated by thermal desorption spectroscopy (TDS) and by molecular weight dispersion measurement, and no change was found after sc-drying. The sc-drying technique has high potential to be accepted in semiconductor device manufacturing process.
Electron Projection Lithography
icon_mobile_dropdown
Dynamic image placement accuracy of a stencil mask
Hiroshi Takenaka, Hiroshi Yamashita, Kimitoshi Takahashi, et al.
Stencil masks are preferable for EPL (Electron-beam Projection Lithography)from the view point of resolution because it 's free from the chromatic aberration caused by the electron energy loss in continuous membrane. However, its mechanical structure poses several concerns. Dynamic image placement (IP)accuracy is one of the essential concerns because patterns on the stencil mask are defined by free-standing Si structures. Moreover the whole pattern areas are supported by fine Si grid structures. The step-and-scan motion of EPL tools is expected to cause dynamic displacements of these fragile structures, which lead to deterioration of resolution, critical dimension (CD)and overlay (OL) accuracies. Two kinds of the dynamic displacements on an EPL stencil mask were estimated by simulations. One is the vibration of the free-standing structures and the other is the dynamic distortion of the whole pattern area. The maximum acceleration of 5 G was assumed in the simulations according to a throughput estimation. The free-standing structures are modeled into cantilever beams and both-end-fixed beams. It was found that the vibration of the structures could be suppressed below the amplitude of 1 nm by limiting the beam length. The limitations were practical ones for complementary split of mask layout. The whole pattern area was modeled into a simple grid structure. It was found that the maximum dynamic displacement was less than 7 nm. The OL accuracy was estimated including those dynamic displacements down to 35 nm node. The results show that the dynamic displacements of the EPL stencil masks would little affect the OL accuracy. The stencil mask is applicable for device fabrication at 70 nm node and below.
Poster Session
icon_mobile_dropdown
Experimental investigation of the Coulomb effect in electron projection lithography (EPL)
Jiro Yamamoto, Fumio Murai, Akemi Moniwa
Electron projection lithography (EPL) is a promising tool for next-generation lithography. However, beam blur due to the Coulomb effect becomes significant and degrades resolution when a high beam current is used to improve throughput. Suppressing the impact of the Coulomb effect is thus necessary to make EPL a practical tool for fabricating ULSI devices. We discuss the influence of the Coulomb effect in EPL based on our experimental results obtained using a Nikon experimental EPL column. To investigate the influence of the Coulomb effect on exposure results, we prepared three kinds of mask with different opening rates to vary the beam current on a wafer over a wide rage without affecting the lens illumination. We found that the Coulomb effect decreased the dose and focus latitude, and that the optimum focus condition varied within a sub-field. Furthermore, we found that the beam blue caused by the Coulomb effect was increased by shrinkage of the rectangular pattern end. Such shrinkage is also a problem in optical lithography, and complex pattern reshaping is necessary to correct it. The shrinkage becomes greater as the beam current increased. We estimated the amount of beam blue caused by the Coulomb effect by fitting our results through an energy deposition simulation. Our overall conclusion s that pattern reshaping and low-Coulomb-effect optics will be necessary to overcome the Coulomb effect.
EUV Sources
icon_mobile_dropdown
Physical properties of the HCT EUV source
Joseph Pankert, Klaus Bergmann, J. Klein, et al.
The paper describes the physical properties and recent technical advances of the hollow cathode triggered pinch device (HCT) for the generation of EUV radiation. In previous publications we have demonstrated continuous operation of the untriggered device at 1 kHz in pure Xe. The newer generations operate with a triggering facility which allows a wider parameter space under which stable operation is possible. Repetition frequencies of up to 4 kHz could be demonstrated. Many of the experiments are performed in repetitive bursts of variable lengths and spacing. This allows also to demonstrate that there is only little transient behavior upon switching on and off the source. Conversion efficiencies into the 2 percent frequency band around 13.5 nm are about 0.4 percent in 2p, comparable to the values reported from other groups. Another important parameter is the size of the light emitting region. Here we have studied the influence of electrode geometry and flow properties on the size, to find a best match to the requirements of the collection optics. A major problem for the design of a complete wafer illumination system is the out-of-band portion of the radiation. Especially the DUV fraction of the source spectrum is a concern because it is also reflected to some extend by the Mo-Si multilayer mirrors. We show that the source has a low overall non-EUV part of the emission. In particular, it is demonstrated that there is very little DUV coming out of the usable source volume, well below the specified level.
Poster Session
icon_mobile_dropdown
Fine pattern replication on 10 x 10-mm exposure area using ETS-1 laboratory tool in HIT
K. Hamamoto, Takeo Watanabe, Hideo Hada, et al.
Utilizing ETS-1 laboratory tool in Himeji Institute of Technology (HIT), as for the fine pattern replicated by using the Cr mask in static exposure, it is replicated in the exposure area of 10 mm by 2 mm in size that the line and space pattern width of 60 nm, the isolated line pattern width of 40 nm, and hole pattern width of 150 nm. According to the synchronous scanning of the mass and wafer with EUVL laboratory tool with reduction optical system which consisted of three-aspherical-mirror in the NewSUBARU facilities succeeded in the line of 60 nm and the space pattern formation in the exposure region of 10mm by 10mm. From the result of exposure characteristics for positive- tone resist for KrF and EB, KrF chemically amplified resist has better characteristics than EB chemically amplified resist.
Vendor capability for low-thermal-expansion mask substrates for EUV lithography
Development of manufacturing infrastructure is required to ensure a commercial source of mask substrates for the timely introduction of EUVL. Improvements to the low thermal expansion materials that compose the substrate have been made, but need to be scaled to production quantities. We have been evaluating three challenging substrate characteristics to determine the state of the infrastructure for the finishing of substrates. First, surface roughness is on track and little risk is associated with achieving the roughness requirement as an independent specification. Second, with new flatness-measuring equipment just coming on line, the vendors are poised for improvement toward the SEMI P37 flatness specification. Third, significant acceleration is needed in the reduction of defect levels on substrates. The lack of high-sensitivity defect metrology at the vendors' sites is limiting progress in developing substrates for EUVL.
Cost of ownership analysis for patterning using step and flash imprint lithography
S. V. Sreenivasan, C. Grant Willson, Norman E. Schumaker, et al.
While the critical dimension in the microelectronics industry is continually going down due to developments in photolithography, it is coming at the expense of exponential increase in lithography tool costs and rising photomask costs. Step and Flash Imprint Lithography (S-FIL) is a nano-patterning technique that results in significantly lower cost of the lithography tool and process consumables. In this study, a comparison of S-FIL with Extreme Ultraviolet (EUV) photolithography technique is provided at the 50nm node. Advantages and disadvantages of S-FIL for various application sectors are provided. Finally, cost of ownership (CoO) computations of S-FIL versus EUV is provided. CoO computations indicate that S-FIL may be the cost-effective technology in the sub-100nm domain, particularly for emerging devices that are required in low volumes.
CAPELLA: a kHz and low-debris capillary discharge EUV source
Eric Robert, Thierry Gonthiez, O. Sarroukh, et al.
The development and operation of a multi watt, multi kHz and low debris EUV gas discharge source is reported. The Capillary EUV Lamp for Lithography Approach (CAPELLA) is designed and characterized for its application in the french EUV exposure tool named BEL. The burst operation at high repetition rate, up to 3 kHz, of the source during time period of a few seconds is described and shown to be relevant for the BEL application. The long time stability over hours is measured to be of 0.6 percent. Other technical BEL requirements such as energy flux, EUV output stability, spatial position stability, collection angle are briefly presented and their fulfilment is documented through the experimental data obtained on the CAPELLA prototype. An experimental characterization of debris nature and deposition rate on plasma facing silicon targets has been performed over millions of shots showing evidence of the crucial role of the discharge regime.
Fully automated interference lithography
Bruce D. McLeod, Adam F. Kelsey, Mark A. Leclerc, et al.
Interferometric Lithography has long been considered a viable means to achieve sub-micron resolution lithography over large areas without the use of traditional photomasks. However, traditional IL configurations set up in laboratories on optical isolation tables requires sophisticated optical designs and are greatly limited in both throughput and yield due to these complexities and manual configurations. The Microphotonics Group at OSC has introduced a series of fully automated interference lithography based tool systems that can achieve resolution down to 190 nm period and address a multitude of applications requiring sub-micron resolution in a high- throughput, stabile, manufacturing environment.
Manufacturing sub-50-nm gratings using E-beam lithography and electroplating
Mark Kroon, Falco C.M.J.M. van Delft, Bas Ketelaars
In this paper we present a new method for manufacturing steeply profiled grating structures composed of narrow lines and spaces embedded in transition metal layers. We focus on making EUV transmittive grating structures typically consisting of rectangular lines that are down to 40 nm wide, around 100 nm tall, up to 100 nm long, spaced at a 1-2 micrometers pitch, and embedded in 100 nm thick nickel-iron alloy absorber layers. The method comprises the use of a molybdenum plating base deposited on a silicon nitride coated silicon wafer, electron beam writing of down to 40 nm wide and 100 nm tall lines in negative tone hydrogen silsesquioxane (HSQ) resist, and electroplating of the desired metal absorber layer in between the resist lines, using the molybdenum layer as plating base. After processing both the HSQ resist and the thin plating base can remain respectively between and below the grating structure because these materials are relatively transparent to EUV radiation. The presence of HSQ in the plated metal spaces results in a flat top surface, preventing the adhesion of contaminants. Our measurements reveal the presence of rectangular HSQ lines, characterized by near vertical side walls, a high line-width uniformity and a low line-edge roughness. These structures are subsequently embedded in a homogeneously grown absorber, characterized by a low small-scale surface roughness and high-quality overall flatness. The process window, in terms of exposure dose and pre-exposure resist treatment, has been well established for various line width and line pitch settings.
Radiation-induced carbon contamination of optics
Ralph Kurt, Michiel van Beek, Co Crombeen, et al.
In this work molecular contamination of optical components such as e.g. reflective mirrors for extreme ultraviolet (EUV) lithography was experimentally studied using irradiation with keV electrons. Since secondary electrons are known to initiate most of the surface chemistry, radiation with EUV photons or electrons often gives similar results. Due to presence of hydrocarbon molecules in a vacuum system, carbon growth will occur at each irradiated surface. The carbon growth rate for various molecules was measured by in-situ Auger electron spectroscopy and Rutherford backscattering spectroscopy (RBS) analyzing the influence of the two main parameters being radiation dose and partial pressure of hydrocarbons. The latter was varied over a large range from 10-5 until 10-11 mbar. Furthermore the structure of the resulting carbon films was analyzed by X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy indicating the formation of a nanocrystalline graphite-like structure independent of the original hydrocarbon molecule.
Electron Projection Lithography
icon_mobile_dropdown
Predicting overlay performance for electron projection lithography masks
Phillip L. Reu, Cheng-Fu Chen, Roxann L. Engelstad, et al.
Minimizing mask-level distortions is critical to the success of Electron Projection Lithography (EPL) in the sub-100 nm regime. A number of possibilities exist to reduce mask fabrication and pattern transfer distortion including subfield correction, 'dummy' patterns, pattern splitting and film stress control. Finite element modeling was used to illustrate the advantages and capabilities of these correction schemes for a 100-mm stencil mask with 1 mm X 1 mm membrane windows. SRAM-type circuit features including both the interconnect and contact levels were used to simulate realistic circuit layouts with both cross-mask and intra-membrane pattern density gradients. With such correction techniques, it is possible to reduce the EPL mask-level distortions for 'worst-case' mixed pattern types to less than 1.0 nm.
Poster Session
icon_mobile_dropdown
Design of an electron projection system with slider lenses and multiple beams
Daniel Moonen, Peter L. H. Albertino Leunissen, Patrick W.H. de Jager, et al.
The commercial applicability of electron beam projection lithography systems may be limited at high resolution because of low throughput. The main limitations to the throughput are: (i) Beam current. The Coulomb interaction between electrons result in an image blue. Therefore less beam current can be allowed at higher resolution, impacting the illuminate time of the wafer. (ii) Exposure field size. Early attempts to improve throughput with 'full chip' electron beam projection systems failed, because the system suffered from large off-axis aberrations of the electron optics, which severely restricted the useful field size. This has impact on the overhead time. A new type of projection optics will be proposed in this paper to overcome both limits. A slider lens is proposed that allows an effective field that is much larger than schemes proposed by SCALPEL and PREVAIL. The full width of the die can be exposed without mechanical scanning by sliding the beam through the slit-like bore of the lens. Locally, at the beam position, a 'round'-lens field is created with a combination of a rectangular magnetic field and quadruples that are positioned inside the lens. A die can now be exposed during a single mechanical scan as in state-of-the-art light optical tools. The total beam current can be improved without impact on the Coulomb interaction blur by combining several beams in a single lithography system if these beams do not interfere with each other. Several optical layouts have been proposed that combined up to 5 beams in a projection system consisting of a doublet of slider lenses. This type of projection optics has a potential throughput of 50 WPH at 45 nm with a resist sensitivity of 6 (mu) C/cm2.
Plenary Session
icon_mobile_dropdown
Nanotechnologies and nanolithography in Europe
Jean Charles Guibert
European microelectronics industry recovered a strong position within last years, thanks in part to large cooperative programs involving both national and European funding. But, keeping in-line with Moore's law, entering the nano-era requires an increasingly effort in research and development. And for this, Europe again has specific strengths due to the synergy between national and European level initiatives. In the first part of this presentation, we will describe some funding programs and mechanisms for nanotechnologies in Europe, then we will cover some key results of European labs involved in this field and will point out large national initiatives aiming to set-up European centers of excellence in the field of nanotechnologies. In the second part, we will look in depth into the nanolithography activities in Europe, which in fact cover a broad spectrum of technologies, from electron-beam to extreme UV, including nanoimprint or more innovative concepts.
Semiconductor foundry, lithography, and partners
The semiconductor foundry took off in 1990 with an annual capacity of less than 0.1M 8-inch-equivalent wafers at the 2-mm node. In 2000, the annual capacity rose to more than 10M. Initially, the technology practiced at foundries was 1 to 2 generations behind that at integrated device manufacturers (IDMs). Presently, the progress in 0.13-mm manufacturing goes hand-in-hand with any of the IDMs. There is a two-order of magnitude rise in output and the progress of technology development outpaces IDMs. What are the reasons of the success? Is it possible to sustain the pace? This paper shows the quick rise of foundries in capacity, sales, and market share. It discusses the their uniqueness which gives rise to advantages in conjunction with challenges. It also shows the role foundries take with their customer partners and supplier partners, their mutual dependencies, as well as expectations. What role then does lithography play in the foundries? What are the lithographic challenges to sustain the pace of technology? The experience of technology development and transfer, at one of the major foundries, is used to illustrate the difficulties and progresses made. Looking into the future, as semiconductor manufacturing will become even more expensive and capital investment more prohibitive, we will make an attempt to suggest possible solutions.