Progress report: Engineers take the EUV lithography challenge.

From oemagazine February 2003
01 February 2003
By Noreen Harned, Steve Roux, Phil Ware, and Akikazu Tanimoto

By Noreen Harned and Steve Roux, ASML Netherlands B.V.

Extreme ultraviolet (EUV) lithography at 13.5 nm, often referred to as next-generation lithography, presents unique technical challenges. Because EUV radiation is absorbed by all materials, including air, EUV lithography is a vacuum-based technology that uses reflective optics and reticles. Although lab-based EUV alpha exposure tools have demonstrated proof of concept for this approach, EUV lithography still faces significant challenges before it will be ready for volume commercialization (see table below).

optical issues

EUV optical trains are radically different from previous lithography systems and have complex, and sometimes opposing, issues. In conventional refractive objective designs, optical surfaces are added in order to provide degrees of freedom for improving performance. For EUV systems, in contrast, the goal is to minimize the number of surfaces involved because every additional surface between the EUV source and the wafer cuts the number of photons being transmitted by about 30%, an unprecedented per-surface decrease in optical efficiency.

This throughput degradation is the result of the limited selection of high- and low-index materials available to form a high-reflectivity multilayer coating for use at 13.5 nm. Today, the ideal multilayer coating consists of alternating layers of molybdenum and silicon. Although several groups have demonstrated performance at near-theoretical reflectivity, there remains the manufacturing challenge of fabricating stacks of coatings, each with a thickness uniformity of much less than 0.1 nm/280 nm. These tolerances have to be achieved on steeply changing surfaces and must survive, without degradation, for 10 years of life.


Figure 1. EUV illuminator optics consist of a faceted mirror with a compound tilt on the surface.

This need to minimize the number of surfaces drives the optical design for EUV systems. A typical deep-ultraviolet illuminator system features more than 100 surfaces, whereas an EUV illuminator uses two complex mirrors with hundreds of facets at compound tilts to achieve approximately 1% irradiance uniformity at the reticle (see figure 1). Prototypes exist, but work continues to add more facets for better illumination control while dealing with the thermal load for high throughput.


Figure 2. Unlike conventional refractive designs (top), the EUV objective design consists of six off-axis, multilayer-coated mirrors (bottom).

The EUV projection-optics camera consists of six off-axis aspheric optics with extraordinary figure and smoothness requirements (see figure 2). State-of-the-art mirrors have been demonstrated by Carl Zeiss (Oberkochen, Germany) and ASML Optics (Richmond, CA), and development continues toward an improvement of a factor of two across all spatial frequencies to achieve the imaging required for commercialization.

pellicles and sources

Figure 3. One solution to reticle contamination is a reticle pod with a removable cover.

Pellicles are thin transparent membranes that stand off the mask image surface by several millimeters and prevent particles from landing on the mask pattern. Debris on the pellicle surface will not image properly at the wafer plane, which avoids the possibility of printing defects. Thin membranes with 50% absorption at 13.5 nm have been demonstrated, but since reflective masks require a double pass through the pellicle, the membrane described would absorb 75% of the EUV photons illuminating the mask, which is prohibitive. Alternatives proposed include maintaining an ultra-clean environment around the reticle, including a removable cover (see figure 3) that would stay with the reticle except during exposure and would be the only surface contacted by robotic handlers and the storage pod; this is ASML's solution of choice.

Once a lithography system meets imaging requirements, the number of good wafers per hour determines the value of the technology for commercialization. Wafers per hour is directly related to photons at the wafer, and this in turn ties back to the collected in-band, spectrally pure power from the source. Whether it is a laser-produced plasma (see oemagazine, March 2001, page 26) or a discharge source that provides the EUV photons, current designs require in excess of 100 W to achieve the required throughput of more than 100 of the 300-mm wafers per hour.

Current sources from Cutting Edge Optronics (CEO; St Charles, MO), Cymer Inc. (San Diego, CA), Philips (San Jose, CA), and Xtreme (Jena, Germany) today yield only 4 to 10 W. Each company has a roadmap to achieve the 10X power improvement needed to make a commercial source available, including work on dealing with added heat and debris. Some innovative ideas consider alternate target materials to increase conversion efficiency. Concurrently, efforts are ongoing to improve resist sensitivity (labs have shown promising results with sensitivities well below 5 mJ/cm2), as well as optical throughput from optimized designs and better multilayer coatings.

keeping it clean

Although EUV radiation is absorbed by everything, including air, the need to keep the multilayer-coated optics contamination free really drives the details of the environment inside the vacuum. Without a strict control of hydrocarbons and water, mirror degradation as a result of carbonization, oxidation, or etching will occur. Less than 3 nm of carbon can be added to the surface of an optic before reflectivity loss rises to 1%, which is the end-of-life target. Therefore, every item and every material must be designed for vacuum use and comply with a specific outgassing budget, constraints that can add design complexity and cost.

The design of lithographic systems that will be encased in vacuum chambers adds more development challenges for systems that must be modular and serviceable with mean-time-to-repair of a few hours. Considering that it will take roughly 10 hours to pump the tool volume down to vacuum, one can quickly see that venting to atmosphere needs to be an exceptional event, not a routine one. This calls for better on-board diagnostics, potential redundancy, and parts that theoretically never wear or break over the expected 10-year life of a tool. If this sounds like designing a piece of equipment for space, it is.

The alpha tools in use in laboratories have demonstrated that careful material selection and painstaking manufacturing processes make it possible to achieve the nominal environment for EUV lithography. What is needed, though, is the supplier infrastructure for vacuum-compatible parts and modules, and the protocols for the high-volume production necessary to support the industry-required cycle time for build and service of tools.

Given the technical concerns facing the commercialization of EUV lithography, one might ask why the industry is willing to dedicate the resources to solve these problems. The answer lies in the extraordinary extensibility of EUV. According to the Rayleigh criterion, the lower resolution limit of a system is essentially proportional to both wavelength and numerical aperture (NA). Significantly altering the NA of an objective is extremely difficult. Thus, the advantage of moving from 157 nm to 13.5 nm is clear.

Today, the industry is struggling with lithographies that have k1 factors (process-dependent proportionality constants) of 0.3 or smaller. EUV will start with k1 factors near one, meaning that it will be useful through many generations of chip design. For this reason, companies and consortia exist in Europe, the United States, and Japan, all of which have dedicated resources to bringing EUV into commercialization in the next several years.

Acknowledgements

This is a summary of the efforts of many ASML employees, our development partners, and key suppliers (including national laboratories in the United States).


By Phil Ware, Canon USA


Canon's Central Research Laboratory has been working on projection x-ray lithography since the early 1980s. Back then, the technical challenges facing projection x-ray lithography, later known as extreme ultraviolet (EUV) lithography, seemed insurmountable. In subsequent years, traditional optical lithography continued to defy the experts' attempts to send it to an early grave. As a result, the insertion target for next-generation lithography has slipped six nodes (critical dimension generations) on the technology roadmaps, from the 180-nm node on the 1992 version to 45 nm in the most recent update.

Canon plans to develop a line of EUV lithography tools for critical layer patterning in high-volume manufacturing at the 45-nm technology node and beyond. Canon's development roadmap calls for a beta tool by the end of 2005 and a production tool in 2007.

Many of the core technologies Canon developed as part of its early x-ray lithography program are directly applicable to EUV lithography. Canon will adapt a number of XRA-1000 platform features, such as its vacuum-compatible high-speed, 300-mm, air-bearing wafer stage; wafer and reticle load locks; thermal management; aspheric mirror polishing; high-precision surface finishing; and environmental control into its future EUV tool platform.

Manufacturing production-grade EUV steppers in high volumes will require a significant infrastructure of high-precision polishing, coating, and measurement tools. For example, high-accuracy reflectivity measurement capability is essential to the manufacture of precision optics for EUV exposure systems. In the volume production phase, multilayer deposition and reflectivity measurement must be routinely performed in the lithography tool factory. A synchrotron-based reflectometer would be impractical for factory use, so Canon has developed a reflectometer with a compact laser-produced plasma EUV source. Accurate evaluation of multilayer optical characteristics requires that reflectivity be measured with both s-polarized (Rs) and p-polarized (Rp) light. Canon's system includes a rotating, retractable polarizer that allows easy switching of the polarization condition while measuring a sample. The polarizer incorporates three multilayer mirrors to keep the optical axes of the incoming beam and the outgoing beam in a straight line.

A built-in beam monitor reduces the instability of the reflectometer's EUV light source to less than 0.1%. The measurement wavelength is calibrated based on observed krypton gas absorption peaks (using a gas-cell). The reflectometer has demonstrated wavelength resolution of about 1000 with out-of-band radiation levels of less than 0.2%. Based on multiple samples, the repeatability of reflectivity measurements is estimated at less than 0.2% 1σ. Other tool features include a sample chuck motion with five degrees of freedom and an eight-sample magazine. A large stage and chamber will be installed in mid-2003 to accommodate full-size coated projection optics mirrors.

The ability to perform metrology at the exposure wavelength will be crucial to EUV lithography tool manufacturing. As a first step toward developing this capability in-house, Canon is participating in a new project from the Association of Super-Advanced Electronics Technologies (ASET; Tokyo, Japan) to develop an EUV wavefront metrology system that can be used for fabrication of six-mirror high-numerical-aperture projection optics for EUV exposure tools. Plans call for developing an EUV experimental interferometer (EEI) capable of five different metrology schemes. Assembly of the EEI is targeted for completion in March 2003. The EEI will allow program participants to determine the best approach to EUV wavefront metrology for tool manufacturing.

In addition to its own internal EUV lithography development activities, Canon will also participate in the Japanese Extreme Ultraviolet Lithography Development Association (EUVA) program. Established in June 2002, the program represents collaboration among industry, academia, and other Japanese research institutes for development of EUV light sources, exposure tools, and metrology tools to evaluate the prototype system's performance.


By Akikazu Tanimoto, Nikon Corp.


EUV or soft x-ray radiation at 13.5 nm has for many years been proposed for next-generation microlithography to replace conventional optical technology that uses refractive materials. It appears that the progression in reducing optical wavelengths from i-line emission to F2 excimer laser emission may have reached its practical end with the unavailability of high-power illumination sources and appropriate high-quality, highly transmissive refracting materials. Although there is some discussion about the possibility of using a 126-nm wavelength, the advantages over 157-nm illumination do not appear to warrant the massive investment necessary to develop materials to support a realistic lithography system development.

One alternative for 126 nm is to eliminate refractive or catadioptric designs and instead use an all-reflective approach. It is exceedingly difficult, however, to fabricate an all-reflective projection-lens assembly with the very high NAs and large imaging fields that the integrated-circuit industry expects. Practically speaking, NAs of around 0.2 to 0.3 appear to be the current lens design and fabrication limit. Hence, marginally reducing the wavelength beyond 157 nm, even halving the wavelength, offers no advantages over existing or emerging technology.

However, 13.5-nm sources would make a relatively low-NA reflective projection lens very usable. What's involved? Certainly, the mirror surfaces present a challenge. There is no simple reflecting surface material available for 13.5 nm. Instead, the wavelength requires multilayer surfaces. The result is a mirror surface that causes constructive interference of the incident illumination but one that achieves a reflectivity of only around 70% maximum. Typical projection optics designs feature NAs of around 0.25 and consist of at least six aspherical mirror surfaces, with reflections tilted off-axis.

Designing a total system with exceedingly accurate mirror surfaces and mounting the components in a controllably accurate and stable manner, and in a clean vacuum environment, while at the same time managing the ancillary system requirements is an exceedingly difficult engineering task. Each mirror surface for the illumination optics, the mirror reticle, and the projection optics absorbs at least 30% of the incident illumination. Thus, to achieve the necessary power density at the wafer plane for reasonable throughput, the system requires very high EUV source power. Achieving that source power at reasonable cost is very difficult.

Other necessary items to support an EUV lithography system include defect-free reflecting masks with the necessary patterning, inspection, and repair infrastructure, as well as resists capable of EUV exposure with effective, accurate pattern transfer.

The expectation is that this technology can, in fact, be introduced by 2010 for the 45-nm node, as scheduled by the 2001 International Technology Roadmap for Semiconductors. Some proponents expect production EUV lithography machines to be available far earlier. Nikon's plan, in cooperation with the ASET consortium, is to have a full-field test system completed in 2006, with a production tool as early as 2008. The target specification is for such a machine to be able to print 45-nm lines and spaces (1/2 pitch) with 25-nm gates at 18-nm overlay and CD control of 1.5 nm. Throughput should be 60 to 80 wafers/hour (300 mm wafers, 5 mJ/cm2 resist). Of course, this plan assumes the availability of the source and of the resist and mask infrastructure.

optical design


NIKON CORP.

Figure 4. The small-field exposure system has demonstrated proof-of-concept for EUV lithography.


Figure 5. The EUV experimental interferometer will be able to test five different types of metrology schemes.

With respect to reflective EUV optics, Nikon has achieved sub-1/4-nm surface figures, with roughnesses in the range of 0.1 to 0.15 nm. With this capability, Nikon has built and delivered experimental small-field systems (see figure 4) to ASET.1,2 Nikon has also collaborated with ASET on EUV aspheric mirror metrology using point-diffraction interferometry with a helium-neon laser and an at-wavelength system based on a synchrotron/undulator EUV source. Nikon is working with Canon Inc. (Tokyo, Japan) on an investigation of improved interferometer designs (see figure 5). The program timeline calls for capability of testing a complete projection optics set in 2004/2005, with completion in early 2006. In addition, Nikon has been studying system-level design and technologies. Finally, Nikon is cooperating with Canon in the new EUVA initiative in design proposals for the initial EUV projection optics.

Fortunately, much of Nikon's development work from the electron-projection-lithography (EPL) project can be carried over to the EUV lithography development. Work on in-vacuum, air-guided wafer and reticle stages; wafer chucks; wafer and reticle loaders and vacuum load-locks; the suppression of unwanted vacuum outgassing from materials; total vacuum system, thermal design, and control; and the ancillary control electronics and software can all be directly adapted, even adopted, from the EPL work.

These comments are not meant to minimize the difficulty of fabricating aspherical mirror surfaces to the requisite accuracies, with multilayer reflective coatings graded to handle the range of incident illumination angles, nor the difficulty of designing mirror mounts that will permit actually building a projection optics system achieving the necessary total accuracy and stability under computer-controlled adjustments. All these engineering concerns still lie ahead, even though there is room for cautious optimism when viewing current results and progress.

masks, sources, and resists

The industry has now moved from round, silicon-wafer-based experimental masks to a standard-format square mask, built on a low-expansion substrate. However, the significant rate of improvement shown through 1999 on defect-density reduction has appeared to plateau, even reverse, with the square masks. Much more work needs to be done before commitments to commercially available masks can be made.

Results discussed at last fall's EUV Symposium (Dallas, TX) showed that there is much optimism with the development of sources. However, the gap between current developments and needed performance still appears large, with a needed improvement in source power of around two orders of magnitude and further work needed on debris mitigation inside the illumination optics, as well as improved efficiency, affordability, and cost of ownership (CoO). It is not clear yet when a production-worthy source will be available.

Current results with resists are, of course, strictly in the experimental stage. The issue is first the difficulty in achieving a resist thickness that can be exposed as a single level with EUV and then in achieving good resist profiles and low line-edge roughness. As the required feature dimensions diminish and approach the size of polymer molecules, this latter problem will only worsen, and it is not specific to EUV lithography.

Viewing the challenges of achieving an industry-accepted EUV lithography approach, Nikon believes that the EUV lithography system itself may only be half of the problem, and one which Nikon and the joint development at ASET and elsewhere will probably solve as an engineering project. However, the number of really inventive steps required for the ancillary, supporting technologies, and infrastructure lie beyond the core capabilities of tool suppliers. Further, it was by no means certain at the close of 2002 that an EUV lithography system and infrastructure meeting performance requirements would also meet affordability and cost-of-ownership requirements. Therefore, the job at hand must be twofold: Make it work, and make it affordable. oe

Acknowledgments

The work at ASET was supported by NEDO (New Energy and Technology Development Organization). The author expresses thanks to John Wiesner for preparing the English manuscript.

References

1. K. Murakami, "EUV lithography system technologies," NGL Workshop, July 2002.

2. K. Murakami, J. Saito, et al., "Development Plan of EUV Wavefront Metrology System in ASET," EUV Symposium, October 2002.


Noreen Harned, Steve Roux
Noreen Harned is vice president in charge of EUV development, and Steve Roux is a systems engineer on the EUV program at ASML's Wilton, CT operations.
Phil Ware
Phil Ware is senior fellow, lithography strategy at Canon USA Inc., Irving, TX. 
Akikazu Tanimoto
Akikazu Tanimoto is the General Manager of Development Department, IC Equipment Division, Precision Equipment Company, Nikon Corp., Kumagaya, Japan.

Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research