Extending extreme-UV lithography technology

Free-electron lasers may offer a cost-effective, single-source alternative for powering an entire integrated circuit manufacturing program.
09 February 2016
Erik R. Hosler, Obert R. Wood II and Moshe E Preil

Extreme-UV (EUV) lithography is widely recognized as a strong candidate to succeed 193nm immersion lithography for patterning the most critical layers in integrated circuit manufacturing. However, slow progress in laser-produced plasma (LPP) sources to date has delayed the insertion of EUV technology into high-volume manufacturing (HVM). Only recently have advances in LPP source power and reliability enabled their use in next-generation technology development programs. Currently, EUV lithography is projected to support manufacturing at the 7nm technology node for a limited number of exposure layers. However, the history of EUV lithography demonstrates that there is the potential for the insertion target to continue to slip, if the economics of the tooling cannot be justified against alternative patterning techniques. Moreover, as the target technology for EUV lithography evolves, the source power required to achieve the necessary resolution, printed feature roughness, and throughput will increase. This constant evolution of source requirements is driving the source community to consider pushing LPP technology beyond its current target of 250W, or to consider even higher-power alternatives, e.g., free-electron lasers (FELs). These devices have the capability of powering—with a single light source—a fabrication facility's entire EUV lithography fleet to a kilowatt level.

Purchase SPIE Field Guide to LasersAt present, FELs are used primarily by the scientific community as spectroscopic light sources, where high brightness and coherence are preferred over raw average power. However, previous projects, such as the Jefferson National Laboratory IR demonstration,1 have focused on the potential of scaling accelerator and FEL technology for pure power (for industrial and military applications). For power scaling, there are several key enabling technologies. These include a high-brightness electron source, superconducting accelerators, and electron beam quality preservation. Each of these technologies is being developed for use in next-generation short-pulse, high-brightness x-ray light sources. However, there is also the opportunity to use the same research to develop a high-average-power EUV FEL for lithography (see Figure 1).2–4


Figure 1. Illustration of an electron bunch that is radiating extreme-UV (EUV) light within the undulator of a free-electron laser. N and S are the magnet polarities, and k is the propagation vector of both the electron and radiation beams.

To date, most studies of using FELs for EUV lithography have focused on the feasibility of, and basic facility designs for, producing 500–1000W (i.e., the predicted maximum per scanner power requirement).5, 6 Given the substantial cost of an accelerator facility, over the past two years we have been working on the design and development of a FEL that can produce power of many tens of kilowatts.7, 8 Such a facility would be capable of powering a fabrication facility's entire EUV lithography fleet, and thus potentially present significant economic advantages over equivalent LPP sources.

Although the initial capital investment for a FEL facility may be equivalent, or greater than, the collective cost of a comparative set of LPP sources, the estimated cost of ownership advantage can be as much as $100 million per year. Compared with LPP sources, FELs would provide cost savings through reduced utility and gas supply requirements. In addition, they would remove the need for tin containment/management or optic refurbishment. Instead, specialized handling of potentially activated materials (depending on the design of the accelerator) would be required. Furthermore, we find that an accelerator-based light source would be readily scalable to higher powers, by either increasing the electron bunch charge or the repetition rate. Such a source architecture could also be adapted easily to shorter wavelengths. This could be achieved by increasing the electron bunch energy, or by changing the configuration of the undulator. Moore's law would thus be further extended, without the need for extensive source-scanner revisions. Of even more immediate importance, however, is that FELs would obviate the need for any (tin) debris mitigation.

Driving innovation toward a high-power EUV FEL for lithography requires that we narrow down the possible configurations for emission architecture, facility configuration, energy/FEL efficiency, and waste energy. Recently we have focused our efforts on the choice of emission architecture. We have evaluated how self-amplified spontaneous emission, self-seeding, and a regenerative amplifier impact lithography performance, with respect to photon flux, bandwidth, and center wavelength stability as a function of intrinsic accelerator fluctuations. Although scientific user facilities are capable of absorbing such fluctuations, by increasing data collection time, this is not practical in an HVM environment, i.e., where a single light source is used to power multiple tools (see Figure 2). We have thus developed a scorecard that provides a straightforward evaluation metric for gauging each technology's readiness versus manufacturing requirements.9


Figure 2. Schematic diagram of a potential free-electron laser that is integrated with a semiconductor manufacturing facility.

Beyond the choice of the emission architecture, we still need to investigate the energy of the facility and the production of activated material. The primary energy efficiency consideration is whether recovery of the electron beam energy after radiative emission in the FEL's undulator is required (or even possible). It may also be possible to taper the undulator to achieve maximum conversion to the EUV. Or, indeed, there may be the potential for a combination of the two techniques. Coupled with the issue of energy efficiency is the production of activated material at the accelerator's electron dump. Without energy recovery or aggressive tapering, a megawatt-class electron beam would be required and would create a nontrivial radiation hazard. A critical issue that we therefore need to address is how to specifically engineer the FEL to maximum economic advantage, while maintaining near-100% system availability and operation.

Since the first EUV and hard x-ray FELs were constructed in the 2000s, accelerator and FEL technology has expanded rapidly, through the construction of multiple large-scale, ambitious facilities around the world. These endeavors are rooted in the mature fields of particle and nuclear physics, with the associated knowledge base and expert scientific community. Leveraging these advantages to drive Moore's law in EUV lithography can be a key enabler for future technologies, but the push toward focused, aggressive research and development programs must start soon. As part of these efforts, we will continue to assess the complexities of integrating a high-power FEL light source with a manufacturing facility.


Erik R. Hosler, Obert R. Wood II, Moshe E Preil
GLOBALFOUNDRIES
Malta, NY

Erik Hosler is a principal engineer in the Emerging Lithography and Tools group. His work is focused on lithography source and scanner innovation, including disruptive technologies. He obtained his PhD in physical chemistry from the University of California at Berkeley in 2013, where he studied ultrafast chemical dynamics with Stephen R. Leone.

Obert Wood is a principal member of the technical staff. He was previously a member of the technical staff at Bell Laboratories for 34 years. He has also authored 271 technical papers and has been issued 27 patents. He is a fellow of SPIE and the Optical Society of America, a senior member of the Institute of Electrical and Electronics Engineers, and a member of the American Association for the Advancement of Science, the American Physical Society, and the American Vacuum Society.

Moshe Preil is the manager of the Emerging Lithography and Tools group. He has more than 25 years of experience in both the fabrication and supplier sides of the industry, has published numerous journal articles, has been issued 22 US patents, and is an SPIE fellow.


References:
1. S. Benson, K. Beard, G. Biallas, J. Boyce, D. Bullard, J. Coleman, D. Douglas, et al., High power operation of the JLab IR FEL driver accelerator, Proc. IEEE Part. Accel. Conf., p. 79-81, 2007. doi:10.1109/PAC.2007.4440128
2. E. A. Schneidmiller, V. F. Vogel, H. Weise, M. Y. Yurkov, Potential of the FLASH free electron laser technology for the construction of a kW-scale light source for next-generation lithography, J. Micro/Nanolith. MEMS MOEMS 11, p. 021122, 2012. doi:10.1117/1.JMM.11.2.021122
3. A. Murokh, High efficiency 10 kW class FEL for EUV lithography, 2014 Int'l Workshop EUV Soft X-Ray Sources, 2014. http://www.euvlitho.com/2014/S52.pdf
4. Y. Socol, G. N. Kulipanov, O. A. Shevchenko, N. A. Vinokurov, A. N. Matveenko, 13.5-nm free-electron laser for EUV lithography, Proc. Free Electron Laser Conf. 32, p. 250-253, 2010. https://accelconf.web.cern.ch/accelconf/FEL2010/papers/tupa28.pdf
5. A. Meseck, A. Jankowiak, J. Knobloch, J. Bahrdt, A. Gaupp, D. Spengler, E. M. Sohmen, U. Dinger, M. Patra, Accelerator-based EUV lithography source: FEL-oscillator, SASE-FEL, or a very different beast? Presented at SPIE Advanced Lithography 2014.
6. W. A. Barletta, Compact EUV radiation sources. Presented at SPIE Advanced Lithography 2012.
7. E. Hosler, Free-electron laser extreme ultraviolet lithography: considerations for high-volume manufacturing, Int'l Symp. Extreme UV Lithog., 2014.
8. E. R. Hosler, O. R. Wood, W. A. Barletta, P. J. S. Mangat, M. E. Preil, Considerations for a free-electron laser-based extreme-ultraviolet lithography program, Proc. SPIE 9422, p. 94220D, 2015. doi:10.1117/12.2085538
9. E. Hosler, SASE, RAFEL, oscillator, or a self-seeded free-electron laser source for EUV lithography, Int'l Symp. Extreme UV Lithog., 2015.
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research