A multibeam mask writer for nodes of 11nm or less

Using multiple electron beams for mask writing offers high throughput, beam accuracy, and resolution for half-pitch nodes of 11nm or less.
26 November 2013
Christof Klein, Hans Loeschner and Elmar Platzgummer

Mask writer tools that use electron beams are of key importance in patterning surfaces for semiconductor manufacture. They provide leading-edge masks for 193nm water-immersion optical scanners and extreme UV lithography, as well as 1 :1 templates for imprint lithography. Currently, the most commonly used tools are variable shaped beam (VSB) mask writers. These enable alteration in the shape of the 50keV beam during writing, achieving considerably higher throughput than Gaussian spot beam tools, which are used for ultra-high-resolution work.

However, water-immersion lithography is now extending to nodes of 20nm or less, and in achieving these requirements, VSB tools suffer from an explosion in the total number of shots per mask.1 For example, for the 18nm node, complex masks are already well beyond 1×10E12 shots (around four shots for single patterning and 1.5 for double), which is predicted to translate to write times of around 30 hours. This ‘write time explosion’ occurs for three reasons. First, the number of shots grows exponentially from node to node. Second, smaller shapes are needed, leading to decreased average shot sizes. Third, the mask exposure dose must be increased (or the resist sensitivity decreased) to minimize the roughness in line edge and width, which is induced by shot noise. As an example, for the 11nm HP (half-pitch, or half the distance between patterns) node, the exposure dose would need to be increased to around 50μC/cm2, and for the 6nm node to around 100μC/cm2. To address these issues, we developed multibeam mask writer (MBMW) technology. Instead of using one electron beam, we employ thousands to write complex mask patterns in parallel (see Figure 1).2


Figure 1. A comparison of the 50keV electron beam mask writer tools: variable shaped beam (VSB) and multibeam (MB). TPT: Throughput.

To test our approach, we realized two proof-of-concept MBMW tools providing an 82×82μm array field containing 262,144 programmable beams of 20nm and 50keV energy. On 6″ mask substrates we demonstrated line resolution of 24nm at half-pitch and at any angle: see Figure 2(a) and (b). Within the array, we achieved a beam placement accuracy of 2.5nm 3sigma, which we verified with the state-of-the-art LMS IPRO43 metrology system (see Figure 3, left). Without recalibrating, we demonstrated scale stability of 0.1nm per day, before undertaking recalibration with in situ metrology techniques (see Figure 3, right).4


Figure 2. (a) The multibeam mask writer (MBMW) tool. (b) MBMW exposure results achieved with 262,144 programmable beams of 20nm size and 50keV energy: 24nm any-angle lines in negative and positive resist material. HSQ: Hydrogen silsesquioxane. pCAR: Positive chemically amplified resist.

Figure 3. Left: MBMW beam placement accuracy within the 82×82μm array field. Right: Long-term (10 days) stability of the scale of the beam array field, and results of the scale recalibration.

Our current focus is the MBMW Alpha tool, which combines one of the existing electron optical columns with a novel platform that features a laser interferometer-controlled air-bearing vacuum stage for increased precision. In parallel, two MBMW Beta tools are in development, where the column will provide 262,144 programmable beams of size 20 or 10nm. To maintain productivity when using 10nm beams, the current density will be enhanced to 4A/cm2, thus obtaining 1μA current (with all beams ‘on’). Concurrently, the data path speed is enhanced from 12 to 120Gbits/s, which supports productivity when using such small beams.


Figure 4. MBMW development roadmap. POC: Proof of concept. HVM: High-volume manufacturing. HP: Half-pitch.

In summary, we have developed a method of writing mask patterns using multiple small electron beams, with high accuracy of beam placement (2.5nm 3sigma) and scale stability verified with metrology. The MBMW tools' throughput is independent of pattern data complexity, and they are designed from scratch to achieve < 10 hour mask write times using 100μC/cm2 resists.

MBMW technology could be extended in future to sub-10nm mask technology nodes to achieve mask write times of less than 10 hours. The resolution and blur of the electron optical column are well suited for the 8 and 6nm HP technology nodes, and the number of programmable beams can be increased. Furthermore, the air-bearing vacuum stage platform meets sub-10nm HP requirements. For our next steps, we plan to deliver MBMW Beta tools in 2015, and first-generation high-volume-manufactured tools in 2016.


Christof Klein, Hans Loeschner, Elmar Platzgummer
IMS Nanofabrication AG
Vienna, Austria

Elmar Platzgummer joined IMS in 1999, taking a key role in developing multibeam technology. He has generated more than 30 patents and has authored and co-authored more than 100 technical publications. He has been chief executive officer since 2012.


References:
1. M. Chandramouli, F. Abboud, N. Wilcox, A. Sowers, D. Cole, Future mask writers requirements for the sub-10nm node era, Proc. SPIE 8522, p. 85221K, 2012. doi: 10.1117/12.977172
2. E. Platzgummer, C. Klein, H. Loeschner, Electron multibeam technology for mask and wafer writing at 0.1nm address grid, J. Micro/Nanolith. MEMS MOEMS 12(3), p. 031108, 2013. doi:10.1117/1.JMM.12.3.031108
3. C. Enkrich, G. Antesberger, O. Loeffler, K.-D. Roeth, F. Laske, K.-H. Schmidt, D. Adam, Registration measurement capability of VISTEC LMS IPRO4 with focus on small features, Prof. SPIE 7028, p. 70282Y, 2008. doi:10.1117/12.793104
4. C. Klein, H. Loeschner, E. Platzgummer, Performance of the proof-of-concept multi-beam mask writer (MBMW POC), Proc. SPIE 8880, p. 88801E, 2013. doi:10.1117/12.2030772
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research