Proceedings Volume 11609

Extreme Ultraviolet (EUV) Lithography XII

cover
Proceedings Volume 11609

Extreme Ultraviolet (EUV) Lithography XII

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 19 April 2021
Contents: 15 Sessions, 36 Papers, 62 Presentations
Conference: SPIE Advanced Lithography 2021
Volume Number: 11609

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 11609
  • Opening Remarks
  • Keynote Session
  • Low- and High-NA EUV Scanner Roadmaps and Considerations
  • EUV Masks
  • Resist Chemistry: Joint Sessions with Conferences 11609 and 11612
  • MOR and Infiltration Methods: Joint Sessions with Conferences 11609 and 11612
  • EUV Mask Inspection and Repair
  • EUV Patterning: Joint Session with Conferences 11609 and 11615
  • EUV Patterning Fidelity
  • EUV Pellicle
  • EUV Stochastics I
  • EUV Source
  • EUV Stochastics II
  • Poster Session
Front Matter: Volume 11609
icon_mobile_dropdown
Front Matter: Volume 11609
This PDF file contains the front matter associated with SPIE Proceedings Volume 11609, including the Title Page, Copyright information, and Table of Contents.
Opening Remarks
icon_mobile_dropdown
Welcome and Introduction to SPIE Conference 11609
Introduction to SPIE Advance Lithography Conference 11609: Extreme Ultraviolet (EUV) Lithography XII
Keynote Session
icon_mobile_dropdown
EUV lithography: past, present and future
Extreme UltraViolet (EUV) lithography has come a long way since the pioneering work in the mid 1980’s. In 2019 the first commercially available smartphones, which included IC’s made with EUV, demonstrated that EUV had entered high volume manufacturing. In the presentation a brief review will be given how many technology obstacles in the scanner and the scanner infrastructure have been overcome. Next an overview of current status of 0.33 numerical aperture scanner and the infrastructure will be shared as well as the impact it has on the semiconductor industry. A next step in the EUV technology will be the realization of an 0.55 numerical aperture EUV scanner, a project well under way. After introduction of the first NA=0.55 scanner further innovations in scanner, mask and resist are foreseen, they will further reduce the “k1” factor and enable a continuation of shrinkage well into the next decade. A shift to smaller wavelength and/or larger numerical aperture are both being explored. They will come with significant technical and business challenges. Some of the key technical challenges presented as a challenge towards to litho community.
Mask is key to unlock full EUVL potential
In the concept phase of EUV lithography much thought was spent on engineering the best multilayer mirror to achieve the optimal combination of wavelength and reflectivity. The EUV mask started its career in lithography as a commodity, benefitting from the mirror technology with an opaque layer carrying the pattern, for which Tantalum was a straightforward choice. The low NA of 0.25 and the technology nodes for 90nm pitch at the start of the EUV era, allowed the mask industry to drive the fabrication of the Ta-based EUV mask to perfection. As the understanding of the EUVL grew, its different components – e.g., resist, scanner optics, source - are being tailored to create the perfect aerial image and robust resist pattern. Since recent years, the attention is moving more and more to the EUV mask. Mask specific challenges involve mask deficiency induced stochastic failures, the anamorphicity of high-NA EUVL and mask 3D effects. Pellicle development and mask lifetime understanding are well progressing to control mask deficiency impact on wafer, and mask data prep software is being made aware to handle different mask reduction factors. Mask 3D effects, as a common denominator for inherent pitch- and orientation-dependent wafer observations, are identified to limit wafer performance for current and future technology nodes of 32nm pitch and below. Initial solutions are proposed by source-mask optimization, where the illumination and mask design are compensating these wafer effects, which are in fact due to the choice of the mask materials. At imec we started several years ago to target the mask material as the parameter to control the mask 3D effects on wafer. Our strategy towards a material down-selection for a mask technology change evolves on two levels, demonstrating improvements from lithographic perspective and validating compatibility with essential mask absorber requirements. Through simulations we mapped EUV n&k regions with their expected imaging benefit. Our simulation approach and material properties knowledge directed our engineering and characterization of novel absorber films. Various patterning strategies are investigated to ultimately validate the experimental imaging behavior to the simulation predictions. In this effort imec actively drives collaboration with suppliers, stakeholders, and institutes to explore the EUV mask space. The choice of EUV SPIE committee to have EUV mask as a keynote topic this year emphasizes that this mask material change is no longer a research matter, but a key enabler to fully exploit EUVL now and in the future.
Low- and High-NA EUV Scanner Roadmaps and Considerations
icon_mobile_dropdown
High-NA EUV lithography exposure tool: key advantages and program progress
Jan Van Schoot, Sjoerd Lok, Eelco Van Setten, et al.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are being applied in high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this so-called high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law for another decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future nodes. In this paper we will outline the advantages of High-NA, especially for managing the needed extreme low defect printing rates while maximizing the effective throughput for patterning economics. The imaging performance is being simulated based on expected surface figures of the illumination and projection optics. Next to this, an update will be given on the status of the developments at ZEISS and ASML. Buildings, cleanrooms and equipment are being constructed, mirror production is ramping up, many tests are carried out to ensure a smooth implementation.
EUV dark field lithography: extreme resolution by blocking 0th order
Timothy A. Brunner, Jara G. Santaclara, Gerardo Bottiglieri, et al.
We have used the MET5 exposure system using “dark field” lithography, where a small σ=0.1 source is wholly contained in the 30% Central Obscuration of the 0.5NA mirror optics. One goal of this paper is to quantify and explain the superior image contrast of dark field lithography over normal dipole imagery. We demonstrate that almost ideal grating images can be obtained over the pitch range from 15 to 25nm. With the x-polarized source, gratings with Horizontal lines (TE polarized) have the best image contrast, while Vertical lines (TM polarized) have lesser contrast, showing less contrast as pitch decreases. By comparing lines of different orientation, the impact of polarization on lithography can be assessed. At the 16nm pitch, the experimental data showed roughly 20% improvement of the LWR metric for TE over TM. Besides the image contrast, we also calculate the effective contrast Ceff by folding in a Gaussian resist blur. These calculations highlight the need to find resist processes with small blur, e.g. with σ < 3nm. Exposure latitude scales with Ceff, as does LWR, LCDU and stochastic defect levels. Therefore optimization of Ceff, at the small pitches needed for production, is of high importance. We have also looked at dense arrays of bright spots produced with dark field imaging, which can produce either dense contact holes or dense pillars depending on the resist process tone. Our experimental results used a negative tone Metal Oxide resist process to print pillar arrays with pitches of 22, 24 and 26nm. Our experiments, and most of our simulations, were done with a standard EUV mask using a Ta-based absorber. Additional simulations explored the use of alternative absorber materials which can increase the dark field image intensity. For example, 25nm thick Ru absorber can more than double the image intensity relative to Ta absorber. The MET5 dark field litho imaging method is well-suited for testing resist processes in advance of the high NA tool availability. Both dense line gratings and dense hole/pillar array images can be imaged with good image contrast. However, pure dark field imaging is not capable of producing all the patterns needed for production, such as the larger pitch structures needed for overlay and alignment marks. On the other hand, there is a kind of “partial” dark field imagery that is very promising for production imaging with the high NA tool. Allowing Source Mask Optimization (SMO) software to include source points within the obscured part of the pupil, i.e. “dark field source points”, implements this capability in a very natural way and seems attractive for High Volume Manufacturing (HMV) applications with the high NA tool in the near future.
Effect of high NA “half-field” printing on overlay error
High NA EUV lithography will offer single exposure patterning for pitches below 28nm, simplifying process flows. However, overlay error complexity will increase. Specifically, a semiconductor build will require use of both high NA EUV tools that can only expose half the field size of low NA EUV and optical tools. This means that to achieve full productivity on the low NA EUV and optical tools used, a semiconductor build using these tools will need to minimize overlay error back to a scanner map exposed with the high NA EUV tool that has twice the number of exposures. Overlay error minimization on full field tools takes advantage of keeping exposure maps constant between different layers so that scan direction and step direction are maintained. This is not possible when using a high NA EUV tool in a semiconductor build unless the number of scans on the low NA and optical tools are doubled, thus decreasing the productivity on those tools. Having a full field minimize overlay error back to two half fields results in new required overlay controls between the two half fields to achieve low overlay error. In particular, stitch overlay control for the half field exposures can be very helpful to achieving good overlay error between a layer exposed with full field exposure map and a layer exposed with a half field exposure map. To get early learning on these effects, we designed a test reticle with a unique “figure 8” black border that allows step plans to be exposed with either half or full field exposures. To get rapid learning the reticle is designed to take advantage of resist-to-resist overlay targets that engage with each other when there is a programed 180 um step delta between the two exposures. The effects of order of exposures as well as scan and step direction differences between the half field and full field step plans are investigated.
0.33 NA EUV systems for high-volume manufacturing
Eric Verhoeven, Ron Schuurhuis, Marcel Mastenbroek, et al.
0.33 NA EUV scanners are being used for High Volume Manufacturing. In this paper we will give an update on the performance improvements of the NXE:3400 systems related to the lithographic performance, productivity and uptime. Finally we look at future system improvements to meet requirements for the 3 nm node and beyond.
Imaging enhancement (low k1 imaging) in EUV lithography: current status and future resolution enhancement techniques
With the introduction of the NXE:3400 scanner, ASML has brought EUV lithography to high-volume manufacturing (HVM) at the 7-nm logic node and beyond. In this presentation, we will briefly summarize the imaging performance results obtained from the latest NXE:3400 system that is characterized by a numerical aperture (NA) of 0.33 and a pupil-fill ratio (PFR) of 0.2. Then we will discuss enhancement techniques which can be considered to push EUV lithography to finer resolution and lower k1 values. We will do this by first looking back over the last two decades at the enhancement techniques considered and used for low k1 imaging in KrF, ArF and ArFi lithographies and discussing their applicability for EUV lithography. We also will look into the fundamental differences between KrF/ArF and EUV, most notably concerning resist and mask. Both have an impact on the effective NILS. Two main pillars for both DUV and EUV NILS or imaging enhancement are the mask absorber embodiment and the illumination pupil characteristics. We will summarize our findings on mask optimization, which has been carried out by studying EUV lithography at diffraction level. We will show by simulations and experiments how M3D effects (contrast loss, best focus per feature) can be mitigated by either advanced mask embodiments or advanced illumination schemes.
EUV Masks
icon_mobile_dropdown
Investigation into a prototype EUV attenuated phase-shift mask
Simulations on attenuated phase-shift masks (att PSM) for EUV have shown that these novel mask absorbers can strongly boost optical contrast. The optimum EUV imaging mask does not only need to balance the diffraction order amplitudes (as in DUV imaging), it also needs to mitigate the strong mask 3D effects that are present in EUV lithography. The latter is very important and strongly relies on material properties. Here, we present an overall progress update on our att PSM work, including the first experimental lithography results on an EUV att PSM test mask and guidelines needed for optimum performance from diffraction point of view.
Measuring EUV mask 3D effects with hyperspectral Zernike phase contrast
Stuart Sherwin, Ryan Miyakawa, Markus Benk, et al.
Achieving the ultimate resolution limit of EUV lithography is greatly impeded by the 3D photomask geometry, including an absorber whose thickness is comparable to the minimum lateral dimensions of the pattern, and a reflection plane a similar depth beneath the surface of the multilayer mirror. Rigorous simulations have shown that these effects can in theory be mitigated by adopting a thinner absorber and a multilayer with a reflection plane closer to the surface. But regardless of how rigorously the design is optimized, there is clearly a need to experimentally confirm that the as-built photomask conforms to the simulation’s predicted complex electric field. This experimental confirmation is difficult because only the field’s intensity is directly observable. One promising approach to unambiguously make this measurement is Zernike phase contrast imaging, which determines the complex electric field from intensity images acquired from a single illumination condition with different phase shifts on the 0 order. In this work we present an extension to a hyperspectral version of the technique. By varying the wavelength, we are able to empirically observe the complicated interaction between absorber, multilayer, pattern, and illumination. We performed an experimental demonstration of the technique on a patterned EUV mask with 60nm TaN absorber using specially fabricated zone plates on the SHARP EUV microscope at the Center for X-Ray Optics. Our results demonstrate the sensitivity of hZPC to both the Fresnel reflectance as well as more subtle 3D effects also observed in rigorous simulations.
Statistical analysis of the impact of 2D reticle variability on wafer variability in advanced EUV nodes using large-scale Monte Carlo simulations
Adam Lyons, Luke Long, Tom Wallow, et al.
In this submission we describe a framework to simulate mask variability in the form of CD distributions, edge placement error distributions and edge roughness. The impact of each method on wafer variability is then simulated. The results show that assumptions of mask edge placement correlation affect the match of simulated wafer variability to experiment, and that simulated mask contributions to wafer variability are not negligible. The authors demonstrate that for a DRAM use case, wafer level variability increases with scaling, but can be reduced with mask and wafer process improvement. For a curvilinear use case it is demonstrated that the contribution of mask roughness to wafer level variation can be large compared to typical process specs.
Optical design of EUV attenuated PSM for contact-hole applications
An optical design of EUV attenuated PSM is proposed for contact-hole imaging. LCDU depends on MEEF as well as NILS. If co-optimization of MEEF and NILS is carried out, EUV PSM performs better when the PSM reflectance is higher. In order to make a high-reflectance PSM, the shifter materials should be as transparent as possible. Since the shifter’s thickness variation throughout the mask can cause phase and reflectance variation and thus global CD variation, its thickness should be set where phase and reflectance are least sensitive to such thickness variation. In short, the shifter’s thickness should be determined to maximize PSM performance while ensuring lithography process robustness. Applying PSM so designed leads to a dramatically lower dose-to-size while maintaining LCDU at the same level. Proposed PSM is manufacturable and effective in increasing throughput of EUV lithography.
Investigation of waveguide modes in EUV mask absorbers
We propose and prove an alternative view of patterns on the EUV mask absorber as waveguides. The geometrical similarities between waveguides and EUV mask after stripping out the multilayer is shown. The waveguiding effect inside the EUV mask absorber is demonstrated by comparing rigorous RCWA (rigorous coupled-wave analysis) to the waveguide analytical solution. Our investigations of the near field of EUV mask demonstrate that waveguide effects dominate transmission of light through openings in the absorber of EUV masks. This perspective of the EUV mask is not to provide a model that explains all phenomena in EUV imaging scenarios or to propose other absorber materials. Instead, the proposed waveguide perspective of EUV masks helps to understand the root causes of certain far field and imaging characteristics of EUV masks.
Enhancing lithographic performance by new EUV photomask materials
Supriya L. Jaiswal
Next generation high volume EUV manufacturing for 7, 5 and 3 nm nodes drives advanced performance in material design, specification and selection. Materials are currently adapted for ever increasingly complex lithographic design with tight tolerances; some trade-offs leading to sub-optimal performance. We consider a new class of materials for the EUV photomask and optics that have the potential for advanced lithographic performance. The overarching factors that drive adoption include performance, lifetime, manufacturability, cost of operations and cost of consumables. As such any new selection in materials must demonstrate robustness against these specifications. Astrileux is sponsored by National Science Foundation and CASIS.
Resist Chemistry: Joint Sessions with Conferences 11609 and 11612
icon_mobile_dropdown
Progress and challenges of EUV patterning material design
The development of EUV lithography has been enabled by the resist material design which had initially adapted of known concepts from DUV lithography. But with the low number of photon counts in EUV has brought stochastics defects as a significant concern to enable yield. This paper will review the development on resist concepts that have targeted limiting variations in resist design to mitigate stochastic defects. The limits of this trade-off between improving stochastics-related defects with a higher dose will also be discussed. How strongly does the resist formulation itself contribute to stochastics, or is it a purely dose-driven effect? Along with the resist the patterning stack has a more significant effect in EUV patterning Due to the thickness decrease in the resist and the underlying stack, the interfacial effects of the resist and hardmask films play a dominant factor in the material stochastics. This offers an opportunity to think differently about underlayer design for sub-32nm pitch patterning. The choice of hardmask can be used to modulate post-litho defectivity to mitigate the stochastics effects and enable more efficient pattern transfer. This paper will address multiple approaches to improving the materials stochastics through resist component optimization and hardmask film development. It will also demonstrate how the methodology of defectivity at post-exposure and post-etch are correlated to electrical yield to validate material evaluations
Chemically-amplified backbone scission (CABS) resist for EUV lithography
Polymeric materials with an imaging chemistry based on the main chain cleavage under the influence of photogenerated acid are a promising resist platform for EUV lithography. Backbone scission resist materials are, in principle, capable of creating very small structures due to the fact that the removing parts can have dimensions in the order of the monomers that they consist of. Nevertheless, in the case of the commonly used non-chemically amplified materials of this type, issues like sensitivity and poor etch resistance limit their applications, whereas inadequate etch resistance and non-satisfactory process reliability are the usual problems encountered in acid-catalyzed materials based on main chain scission. In this work, we report on optimization of the formulation of new high-sensitive polymeric materials for EUV lithography by tuning the ratio between the photoacid generator (PAG) and the base quencher. In our material design, the acid-catalyzed chain cleavable polymers contain very sensitive acid-labile bonds in their backbone while they remain intact in alkaline ambient. These photoresists were evaluated with several PAG and base quencher (BQ) loadings. The PAG ratio spans from 4% to 7% with respect to polymer weights, whereas the BQ ratio tuned to 5%, 10%, and 15% with respect to PAG weight. High-performance patterning capabilities were achieved for linesspaces down to 22 nm half-pitch using EUV interference lithography. We report linewidth roughness versus dose-to-size for 25 nm and 22 nm half-pitch for different PAG and BQ loadings and provide a comparison.
Design considerations for chemically amplified EUV resist materials
Emad Aqad, ChoongBong Lee, Suzanne M. Coley, et al.
The development of Chemically Amplified Resists (CARs) for Extreme Ultra-Violet Lithography (EUVL) requires unique molecular and macromolecular design considerations. The combination of photon-induced variation effect coupled with material and processing variabilities makes stochastic consequences in EUV resist significantly more severe than that in ArF resist. Among the other factors, conversion of the scarce number of absorbed EUV photons into imaging events is directly modulated by acid generation quantum yield. In this study, we measure the EUV acid generation efficiency of different Photoacid Generators (PAGs). Our results show that in addition to PAG electronic properties, other structural-driven PAG properties can have a significant impact on resist sensitivity. In a complementary part of this study, we have measured PAG acid generation efficiency under EUV exposure in newly designed polymer matrixes. Such polymers comprise high absorption EUV elements and EUV-specific sensitizers. Insights into the effect of the polymer matrix on EUV acid generation quantum yield are presented.
Progress in EUV resist screening towards the deployment of high-NA lithography
T. Allenet, X. Wang, M. Vockenhuber , et al.
The development of EUV resists is one of the major challenges for the deployment of high-NA EUV lithography, which is on the roadmap for high-volume manufacturing of future semiconductor technology nodes. Resist performance is admittedly governed by a resolution-roughness-sensitivity (RLS) tradeoff. This study reports on the EUV resist progress achieved during the last year in the framework of the resist screening program by PSI and ASML. An extensive performance characterization of different resists was carried out using the XIL-II beamline EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS). We present the upgraded screening metrology used in 2020 at PSI enabling increased confidence in results. We report material performance towards patterning lines/spaces features with respect to the key parameters of RLS: half-pitch (HP), dose-to-size (DtS), line-width-roughness (LWR), as well as Z-factor for overall performance consideration. General progress in EUV resist development is reviewed by considering several resist platforms available today from different vendors. Different molecular and chemically amplified resist materials are demonstrated as viable for 16 nm resolution technology nodes. One chemically-amplified resist vendor shows suitable materials for 13-nm-resolution technologies while exhibiting potential for further downscaling. We present different metal-oxide resists screening with resolutions down to 10 nm HP. We finally discuss the overall progress of materials achieved between 2019 and 2020 towards reaching high-NA.
MOR and Infiltration Methods: Joint Sessions with Conferences 11609 and 11612
icon_mobile_dropdown
Advances in defect performance in metal oxide photoresists for EUV lithography
Stephen T. Meyers, Jan Doise, Michael Kocsis, et al.
Inpria has pioneered the development of high-resolution metal oxide (MOx) photoresists designed to unlock the full potential of EUV lithography. In addition to resolution, LWR, and sensitivity to enable advanced process nodes, there are also stringent defectivity requirements that must be realized for any resist system. We will review advances in post-etch defectivity based on: resist design and formulation, track process design, developer design, and etch optimization. We will present data supporting each of these topics quantifying the defect impact and will describe improvement strategies to take full advantage of such MOx resist systems.
EUV Mask Inspection and Repair
icon_mobile_dropdown
Laser-assisted discharge produced plasma (LDP) EUV source for actinic patterned mask inspection (APMI)
Safak Sayan, Kishore Chakravorty, Yusuke Teramoto, et al.
Improved lithography resolution provided by EUVL simplifies the patterning process and makes it possible to use less restrictive design rules. This in turn enables cost effective scaling with extendibility. There are several technical challenges and infrastructure gaps that need to be resolved to make EUVL suitable for high volume manufacturing (HVM). These gaps relate to development of a stable and reliable high power EUV source, EUV resist and EUV compatible photomask infrastructure. Realization of Actinic patterned mask inspection (APMI) capability is a critical component of the required Photomask infrastructure [1,2]. Most critical enabler of actinic patterned mask inspection technology/capability has been the EUV source. In this contribution, we will discuss key aspects of the developed High-Volume Manufacturing (HVM) worthy LPD EUV source for APMI. These include performance aspects such as brightness and spatial position stability of the EUV emission, dynamics of the EUV-emitting plasma and long-term stability of the source
Lensless EUV mask inspection for anamorphic patterns
Anamorphic mask design is necessary to overcome the geometrical limitation imposed by the reflective geometry used in EUV lithography projection systems and will be used in high-NA EUVL scanners. In this study, we demonstrate the capability of anamorphic imaging using CDI. We created anamorphic EUV masks with programmed defects and inspected it with RESCAN, a lensless microscope dedicated to EUV mask inspection.
Particle removal tool to repair particle defects on EUV reticles
Mark van de Kerkhof, Markus Waiblinger, Julia Weber, et al.
With the introduction of the NXE:3400 scanner, EUV has progressed to High-Volume Manufacturing (HVM) for sub-10nm lithography. In this context, manufacturers are pursuing a dual-path approach towards near-zero reticle defectivity: EUV-compatible pellicle or zero particles towards reticle by advanced particle contamination control. However, given the high cost of reticles, it is equally important to establish tooling and processes for cleaning the reticle should a particle land on it. To this end, we investigated an extension of the existing MeRiT mask repair product line to also address particle defects. The resulting tool for particle removal leverages the MeRiT know-how on defect repair using e-beam based repair schemes with a novel in-situ manipulator to remove particles, including real-time observation by SEM (scanning electrode microscopy). This paper will focus primarily on a feasibility study, successfully demonstrating proof of principle of defect removal, reviewing the area of interest by SEM and showing no collateral damage being observed by SEM-EDX (Energy Dispersive X-ray) analysis.
EUV Patterning: Joint Session with Conferences 11609 and 11615
icon_mobile_dropdown
Plasma etch solutions for EUV patterning: defect challenges
Noel Sun, Naveed Ansari, Ratndeep Srivastava, et al.
EUV exposure stochastics remains a key limiter in driving lower dose and better economics for scaling EUV patterning implementation. In particular, the stochastics translates to resist defects that become detrimental to device performance and yield. There has been development and innovation in plasma etch to mitigate these defects during the pattern transfer process – key challenge to achieve high selectivity to EUV resist mask while etching the patterning layers. Advanced pulsing solutions as well as deposition-based approaches have been characterized and developed. This paper will delineate the various approaches, including the benefits and risks associated with each, in relation to the plasma etch requirements needed for the pattern transfer for current and future process nodes.
The road towards aggressive pitch scaling with single exposure EUV
In 2015 IBM announced the first 7 nm test chip patterned with Extreme Ultraviolet Lithography (EUV) technology, enabling 36nm back end of the line (BEOL) metal pitch and self-aligned contact. Five years later, EUV has become the mainstream enabler for 7 nm node manufacturing, including the recent announcement of IBM Power10 high-performance chip. The high-performance definition of this technology, essential to IBMs server chips, has unique requirements that push the process complexity even further. In this paper, we will review some of the challenges and patterning solutions that will allow successful implementation of high-performance design definitions. We will also discuss our current efforts to extend the use of single expose EUV in the printing of interconnects to a second node, over a multi-patterning scheme, by replicating our strategy of co-optimization across all contributors to patterning success. We will review a few examples of this approach, including resolution improvements of our latest NXE3400 EUV system, all of which have allowed us to realize significant yields for our transistor interconnects at 28nm pitch. The entitlement of each process and material will be gauged through a robust characterization methodology that includes an understanding of the defect mode modulated, as well its ultimate correlation to electrical yield.
28nm pitch single exposure patterning readiness by metal oxide resist on 0.33NA EUV lithography
D. De Simone, L. Kljucar, P. Das, et al.
For many years traditional 193i lithography has been extended to the next technology node by means of multi-patterning techniques. However recently such a 193i technology became challenging and expensive to push beyond the technology node for complex features that can be tackled in a simpler manner by the Extreme UltraViolet Lithography (EUVL) technology. Nowadays, EUVL is part of the high-volume manufacturing device landscape and it has reached a critical decision point where one can push further the single print on 0.33NA full field scanner or move to a EUV double patterning technology with more relaxed pitches to overcome current 0.33NA stochastic limits. In this work we have selected the 28nm pitch dense line-space (P28) as critical decision check point. We have looked at the 0.33NA EUV single print because it is more cost effective than 0.33NA EUV double patterning. In addition, we have conducted a process feasibility study as P28 in single print is close to the resolution limit of the 0.33NA EUV full field scanner. We present the process results on 28nm dense line-space patterning by using Inpria’s metal-oxide (MOx) EUV resist. We discuss the lithographic and etching process challenges by looking at resist sensitivity, unbiased line edge roughness (LER) and nano patterning failures after etching (AE), using broad band plasma (BBP) and e-beam (EB) defectivity inspection tools. To get further understanding on the P28 single patterning capability we have integrated the developed EUV MOx process in a relevant iN7 technology test vehicle by developing a full P28 metallization module with ruthenium. In such a way we were able to carry on electrical tests on metallized serpentine, fork-fork and tip-to-tip structures designed with a purpose of enabling further learning on pattern failures through electrical measurements. Finally, we conclude by showing the readiness of P28 single exposure using Inpria’s MOx process on a 0.33NA EUV full field scanner.
Metal layer single EUV expose at pitch 28 nm: how bright field and NTD resist advantages align
We evaluated the printability of patterns relevant for Logic Metal at P28nm (L/S and T2T) on wafer using EUV single expose. We compare illumination sources with and without fading correction as well as Bright field / Dark field mask tonalities and NTD MOR / PTD CAR resist. In simulations, Bright field (BF) imaging gives better image quality than Dark field (DF) at small pitch/CD. It also enables smaller T2T. To avoid tone inversion (assuming dual damascene processing), BF imaging requires the use of a NTD resist. On wafer, exposure latitudes increase for a BF/NTD choice, concurrent with simulations, even after correcting out SEM shrinkage. Also, T2T CD is reduced. In terms of illumination, we compare dipole sources to fading corrected sources. As fading correction, we have both induced aberrations (Z6-corrected dipole) and monopoles. As expected, a fading correction significantly reduces best focus differences of L/S through pitch and T2T. Moreover, the Z6-corrected dipole is optimal to print small T2T with better uniformity. Finally, we observe that PTD and NTD MOR resist utilize the same aerial image differently. NTD resist can leverage pupil shapes with high exposure latitude, but low depth of focus, better than PTD resist. Fading correction via induced aberrations naturally produces such sources. In summary, the preferred option is a Z6-corrected dipole for best focus alignment and sharp T2T, together with BF imaging to allow higher L/S exposure latitudes and small T2T. Combining this choice with NTD MOR resist avoids tone inversion and leverages the illumination source optimally.
Performance of stacked nanosheet gate all around FET’s with EUV patterned gate and sheets
Gate all around stacked nanosheet FET’s have emerged as the next technology to FinFET’s for beyond 7-nm scaling. With EUV technology integrated into manufacturing at 7nm, there is great interest to enable EUV direct print patterning for nanosheet technology in the FEOL. While sheet and gate pitches expected for the beyond 7nm node fall within the EUV direct print regime (>40nm), it is unclear if direct print solutions can meet device performance requirements at technology critical sheet widths and gate lengths. Here, we demonstrate electrical performance of nanosheet FET’s with 20 – 80 nm wide sheets with 40-150 nm pitch gates patterned with single expose EUV. We compare results against a benchmark double patterning process towards meeting variability, device and critical dimension targets. We also explore the limits of process and material knobs - resists, illuminations and etch chemistries with the specific goal of reducing LER/LWR and towards shrink for further scaling. Our results demonstrate crossover points between direct print EUV and double patterning processes for nanosheet technology and identify relevant design guidelines and focus areas to successfully enable EUV for the FEOL in nanosheets.
EUV Patterning Fidelity
icon_mobile_dropdown
Extending 0.33 NA EUVL to 28 nm pitch using alternative mask and controlled aberrations
The purpose of our study is to evaluate the benefit of contrast enhancement strategies on a logic metal layer at pitch 28 nm. We build up on three studies from imec and ASML [1][2][3]. We take as a reference a Negative Tone Development (NTD) Metal Oxide Resist (MOR) process used in combination with a binary TaBN mask absorber, without SRAF, exposed with an X/Y symmetric pupil on a 0.33 NA EUV scanner, the NXE:3400 from ASML [7]. The fading mitigation strategies leverage asymmetrical pupil (monopole), wavefront injection (Z6 aberration) and low-n attenuated Phase Shift Mask (PSM). We find very good agreement between our simulations on design clips, the theoretical expectations and the experimental data shared in the above mentioned papers on building blocks (L/S through pitch and dense tip-to-tip). Overall the three fading correction techniques are efficient to improve the printability of our use case in term of ILS. It also improves the best focus shift of L/S through pitch and between L/S and tip-to-tip. In conclusion, the most promising exposure strategy for the logic metal pitch 28 nm use case is the attenuated PSM. It provides the highest ILS, the narrower best focus range, the largest overlapping process window without any compromise on the illumination efficiency, i.e. using the full NXE:3400 throughput.
EUV single exposure via patterning at aggressive pitch
As the semiconductor industry continues pushing Moore’s law to the next node, interconnect structures scaling will be a key element to performance improvement of functional devices. However, the requirements for low LCDU and defectivity of these interconnect structures have become more stringent with continuous scaling. In this paper, a fundamental study is conducted to understand the impact of various factors on the patterning of EUV single exposure vias, and to find effective strategies to shrink CD while improving LCDU and defectivity. The work is based on a 40 nm pitch orthogonal via array baseline, and probes different patterning factors including illumination, resist materials, stack, scanner, and develop methods for LCDU improvement and defectivity reduction. The patterns are transferred to bottom dielectrics to study the evolution of LCDU and defectivity during etching.
Optimization of the EUV contact layer process for 7nm FPGA production
Qi Lin, Toshiyuki Hisamura, Nui Chong, et al.
After years of effort, extreme ultraviolet (EUV) lithography is finally in production for 7nm technology node and beyond. The 7nm node is the first generation where EUV has been employed to replace a few critical multi-patterning immersion layers in the product. While EUV lithography has helped to overcome some challenges in multi-patterning immersion lithography in advanced nodes such as process complexity and pattern uniformity, it has also brought about new challenges. In this paper, we choose the EUV contact layer as an example to describe how to insert EUV in a 7nm FPGA product to simplify the process and improve product performance. We select the EUV contact layer because it can improve the transistor performance by lowering the contact resistance with EUV’s reduced Edge Placement Error. We demonstrate how to tackle EUV contact defectivity, variability, and integration from a production point of view through the FPGA embedded memory CRAM. CRAM failure signatures and behaviors can be used to debug the contact related defects and monitor the contact resistance variation in the product. Combined with physical-failure-analysis (PFA) results on failed EUV contacts and CRAM characterization data, foundry can fine tune the EUV contact process to reduce contact defects and contact resistance variation. After a few iterations, our product achieves a stable and low-resistance EUV contact process with a significant reduction in contact failure rate.
Alternative developer solution/process for EUV lithography: ethyltrimethylammonium hydroxide (ETMAH)
Julius Joseph Santillan, Masahiko Harumoto, Tomohiro Motono, et al.
The application of the ethyltrimethylammonium hydroxide (ETMAH) as alternative developer solution for EUV lithography was investigated (compared to the tetramethylammonium hydroxide or TMAH standard developer). Early reports have shown that at a specific or optimal developer concentration of around 0.20N the ETMAH developer allows mitigation of resist-based stochastic defects (increase in stochastic defect margin) while maintaining lithographic performance. Results obtained here suggest that with the use of a non-ionic surfactant additive for the ETMAH developer solution LWR reduction was achieved (compared to TMAH). This improvement in LWR was achieved while preserving resolution, sensitivity, and stochastic defect margin.
Regression analysis of photodecomposable quencher concentration effects on chemical gradient in chemically amplified extreme ultraviolet resist processes
Chemically amplified resists have been used in the EUV lithography. The basic additive, called a quencher, has been added to the resist formula to control acid diffusion. In this study, the effects of photodecomposable quencher (PDQ) concentration on the chemical gradient (an indicator for LER) in chemically amplified EUV resist processes were investigated. The chemical gradients were simulated on the basis of the sensitization and reaction mechanisms for different half-pitchs, sensitivities, photoacid generator (PAG) concentrations, PDQ concentrations, and the effective reaction radii for deprotection. The simulation results analyzed using least square, lasso, Ridge, and elastic net regressions are discussed.
EUV Pellicle
icon_mobile_dropdown
CNT EUV pellicle tunability and performance in a scanner-like environment
Research on carbon nanotube (CNT) films for the EUV pellicle application was initiated at imec in 2015 triggered by the remarkable optical, mechanical, and thermal properties of the CNT material. Today the advancement of the CNT material synthesis together with matured methods to fabricate thin CNT membranes make free-standing CNT films a very promising EUV pellicle candidate for high volume EUV lithography. Balancing the CNT material properties for the optimal pellicle performance in EUV scanners remains the ongoing research focus. Depending on the density and morphology of the CNTs within the film and individual CNT parameters, like number of walls, bundle size, metal catalyst content, purity etc., the optical and thermal properties of the CNT pellicle can be tuned. It is critical for the pellicle to be stable in the EUV lithography scanner environment which includes hydrogen plasma and heat loads associated with high powers beyond 250 W. Different types of CNTs, i.e. single-, double-, multi-walled CNTs and their combinations, are explored as building blocks of an optimized pellicle membrane. Optical properties of different pellicles and their ability to withstand high EUV powers in the hydrogen-based environment were tested. Transmission, spectroscopic and chemical composition mapping of the exposed free-standing CNT films are used to study the material changes that occur in the scanner-like environment. A solution is needed to extend the CNT pellicle lifetime and coating is discussed as a potential approach to protect the CNT material from hydrogen plasma damage.
CNT pellicles: imaging results of the first full-field EUV exposures
EUV lithography has recently been implemented in high volume wafer production. Consequently, maximizing yield is gaining importance. One key component to achieve optimal yield is using a pellicle to hold particles out of the focal plane and thereby minimize the printing of defects. The Carbon Nano Tube (CNT) pellicle is a membrane consisting of a network of carbon nanotubes, and demonstrated EUV transmission up to 98%. The challenge is to balance the CNT material parameters for optimal performance in the EUV scanner: low probability for particles to pass, low impact on imaging through scattered light, high durability in the scanner environment, while maintaining high transmission. We report results of the first full-field CNT pellicle exposures on an NXE EUV scanner. We demonstrate handling of the pellicles on the scanner, without breakage, and provides a first assessment of their imaging behavior. Multiple single- and double-walled uncoated CNT pellicles with EUV transmission up to 97.7% were exposed on the NXE scanner at imec, and minimal impact on the imaging is confirmed. In these exposures, uncoated CNT pellicles were used which will not meet the specifications regarding lifetime. Therefore, current ongoing developments focus on CNT coating and durability in scanner environment. The presented demonstration proves the value of a CNT-based EUV pellicle solution.
Enabling non-actinic EUV mask inspection using CNT pellicle
Mor Keshet, Dor Gershon, Uriel Malul, et al.
Wafer fab mask inspection is facing new challenges in the era of Extreme Ultraviolet Lithography (EUVL). Mask qualification methods in use for ArF lithography are reticle verification based on wafer prints or defect inspection on Deep Ultraviolet (DUV) mask inspection tools. The latter is the industry preference in most cases. To implement this established methodology for EUV masks with pellicle, the pellicle must have transmission that enables inspection for defect detection. In this work we test the viability of a DUV mask inspection platform to image and inspect an EUV mask with a Carbon Nanotube (CNT) based pellicle [1]. Pellicles are used to keep particles off the patterned side of the photomask. For DUV and longer exposure wavelengths, polymers with transmission above 99% are routine. For EUV wavelengths, identifying a transparent, mechanically robust film that is compatible with EUV exposure environments has been challenging. Commercially-available EUV pellicles for lithography are p-Si based[2]. This pellicle type achieves the critical protection of the mask but induces a significant productivity loss due to EUV light absorption. The critical need for a highly-transmissive pellicle will increase with advanced nodes that put more pressure on uniformity specifications and throughput. In this arena, CNT based pellicles exceed the required transmission for High-Volume Manufacturing (HVM) and show promise towards production adoption [3]. DUV light absorption is relevant for mask inspection. DUV light is absorbed by the p-Si pellicle, while the CNT has high transmission in this wavelength region as well, making mask inspection of EUV masks with pellicles possible on existing DUV inspection tools, such as Applied Materials Aera5TM. In order to understand the impact of CNT pellicle on DUV inspection, a controlled study was performed by Applied Materials in cooperation with imec. The study consisted of various system measurements and extensive application qualification. Aera5TM inspection results on a Programmed Defect Mask (PDM) with a full field CNT pellicle will be presented. The results with pellicle are comparable to the reference no-pellicle inspection and meet the same detection sensitivity. Detection optimization Best-Known Methods (BKM) developed on EUV masks without pellicles proved to be effective. These include, but are not limited to, aperture shaping, defocus and polarization. Moreover, the imaging and main system components performance were examined, and no impact was observed.
EUV scattering from CNT pellicles: measurement and control
Ivan Pollentier, Marina Y. Timmermans, Cedric Huyghebaert, et al.
EUV lithography is introduced in semiconductor fabrication processes, which makes maximizing yield and throughput increasingly important. One key component is the use of a high-transmission pellicle to keep particles out of the focal plane and thereby minimize their impact on imaging. Imec initiated the development of a promising pellicle approach based on a network of carbon nanotubes (CNT), which has the advantage of many tunable structural parameters to form a pellicle membrane. A balance between membrane robustness and particle non-permeability on one side and low EUV absorption and membrane scattering on the other, must be found. The membrane scatter is important for EUV flare effects during wafer printing. Therefore, it is important to verify its magnitude experimentally as a function of the tunable CNT structural parameters. However, this measurement can be very challenging for low-flare requirements. In this work, the EUV scatter measurements on CNT-based pellicle membranes have been performed and optimized in a stand-alone irradiation setup at RWTH Aachen University. Membranes with different CNT structures and network parameters are investigated, as well as membranes with protective coatings. These measurements, in combination with scattering calculations and printing performance, can serve as a guideline on acceptable scattering levels for industrial applications.
Progress on EUV pellicle and pellicle infrastructure for high volume manufacturing
Raymond Lafarre, Raymond Maas
In the past year ASML NXE pellicle has made a significant steps. ASML EUV Customers can order in volume pellicles, which meet all the production KPI. The next step to meet the industry high volume manufacturing requirements, is releasing high EUV transmittance, high power compatibility and superior imaging performance membranes, made of novel materials. In this presentation we will give a comprehensive overview on the current development status of the NXE pellicle product, including a future outlook to the EUV pellicle roadmap.
EUV Stochastics I
icon_mobile_dropdown
Measuring and analyzing contact hole variations in EUV lithography
Background: Decomposing an observed variation in critical dimension (CD) into its sources of variation is an important analysis, but it is often tedious and prone to error. For EUV processes, identifying the magnitude of stochastic variations is especially important and relevant. Aim: An automated process for decomposing CD errors into its sources will aid in the analysis of a specific EUV process. Approach: MetroLER offline metrology software has been updated to perform automated sources of variation analysis, including the measurement and subtraction of systematic and random components, such as across-SEM-field signatures and random metrology errors. Results: For a staggered array of 24 nm contacts holes on an 80X46 nm pitch, the total CD uniformity (CDU) of about 3.3 nm included a global CDU across wafer of about 1.0 nm, a systematic mask contribution of 1.7 nm, systematic metrology contribution of 1.0 nm, and a random metrology contribution of 0.67 nm (all 3σ), leaving a stochastics-only CDU of about 2.6 nm. Conclusions: Careful consideration of the systematic and random components in CD measurement variations enables measurement of the stochastic contribution to a state-of-the-art EUV contact printing process. The contribution of metrology error
Modeling stochastic effects of exposure/diffusion and dissolution on missing contacts
Andrew R. Neureuther, Luke Long, Patrick Naulleau
An algebraic model and simulation with the Multivariate Poisson Propagation Model (MPPM) are used to investigate the formation and extrapolation with dose of missing contact defects due to inherent inhomogeneity in on-average uniform resist component densities. A Poisson model of both local roadblocks as well global initial clearing of contacts is derived. When fit to the 2019 experimental data from Maslow et al. this model becomes nearly Gaussian and with 400 equivalent effective dissolution aiding events NEff. A dose 9% higher than the central Bossong process window dose reduces the error rate to 10-13. Fitting revealed that the asymptotic scaling behavior is present at error rates of 10-1 and thus measuring less than a million contacts at doses of 80% to 95% of normal is likely adequate. An algebraic expression to interpret NEff is derived in terms of the averages and variances of exposure, acid generation, base, and deprotection in the Post-Exposure Bake (PEB) process. The model assumes concentration independent Poisson generation rates and greatly simplifies when averages are substituted for Poisson variances. The variance in EUV exposure dominates as it is inherited by acid and deprotection neither of which is Poisson. The large NEff is attributed to regional sharing due to acid movement. MPPM simulations of the PEB time-evolution include the behavior of averages and variations of species with saturation or their disappearance with depletion, the volumetric reduction of variance by acid motion, and the mitigation of a local programmed doubling of the quencher base.
Predicting very rare stochastic defects in EUVL processes for full-chip correction and verification
Zachary Adam Levinson, Yudhishthir Kandel, Makoto Miyagi, et al.
The quality of any lithographic pattern is dependent on the photon absorption events that a photoresist pattern receives during exposure and subsequent molecular events. The failure probability is not governed by the stochastics of any one process and is conditional on multiple stochastic and conventional variations (such as resist thickness). These processes jointly result in the observed distribution with multiple pathways resulting in the same rare event. This paper will examine how probabilistic processes combine to form rare defects so that these defects can be predicted and corrected. Rigorous simulations will be used to study the distributions and will be applied to existing stochastic compact model forms.
Stochastic defect criticality prediction enabled by physical stochastic modeling and massive metrology
With the adoption of extreme ultraviolet (EUV) lithography for high volume production in the advanced wafer manufacturing fab, defects resulting from stochastic effects could be one of major yield killers and draw increasing interest from the industry. In this paper, we will present a flow, including stochastic edge placement error (SEPE) model calibration, pattern recognition and hot spot ranking from defect probability, to detect potential hot spot in the chip design. The prediction result shows a good match with the wafer inspection. HMI eP5 massive metrology and contour analysis were used to extract wafer statistical edge placement distribution data.
Gaussian random field EUV stochastic models, their generalizations and lithographically meaningful stochastic metrics
Photon absorption statistics combined with a simple model of resist chemistry triggered by each absorbed photon leads to a family of stochastic models with a Gaussian Random Field deprotection. Two important aspects of such models are discussed. First, the generalizations to stochastic reaction-diffusion models, accounting for the effects of depletion, and to models accounting for both exposure-resist stochastic and other process parameter variations, are presented. Second, several options for the stochastic metrics of EUVL processes, both meaningful and useful for lithographers and fast enough to be applicable to the full chip OPC and verification, are described, and some details of their implementations for the full-chip OPC verification and the results of tests are presented. The relation of one of the introduced stochastic metrics to the stochastic-caused variability of the electrical conductance of vertical interconnects (vias) is explained.
EUV Source
icon_mobile_dropdown
Laser produced plasma EUV sources for N5 HVM and beyond: performance, availability and technology innovation
Multiple ASML NXE:3400C scanners are installed at customer factories and being used in high volume manufacturing (HVM) of leading semiconductor devices. The latest generation of NXE:3400C sources has improved performance and availability by implementing a modular vessel concept and an automated tin supply system. In this paper, we provide an overview of 13.5 nm tin laser-produced-plasma (LPP) extreme-ultraviolet (EUV) sources enabling HVM at the N5 node and beyond. The field performance of sources operating at 250 watts power including the performance of subsystems such as the Collector and the Droplet Generator will be shown. Progress in the development of key technologies for power scaling towards 420W will be described.
Challenge of >300W high power LPP-EUV source with long mirror lifetime-III for semiconductor HVM
Gigaphoton develops CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies including; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses for shooting and debris mitigation by magnetic field have been applied. We have developed first practical source for HVM; “GL200E” 1) in 2014. Then it is demonstrated which high average power CO2 laser more than 20kW at output power in cooperation with Mitsubishi Electric2). Pilot#1 is up running and it demonstrates HVM capability; EUV power recorded at 111W on average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22 hour operation in October 20163). Availability is achievable at 89% (2 weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= 0.5%/Gp) at 100W or higher power operation with dummy mirror test. We have demonstrated >300W operation data (short-term) and actual collector mirror reflectivity degradation rate is less than 0.15%/Gp by using real collector mirror around 125W (at I/F clean) in burst power > 10 Billion pulses operation4). Also we will update latest challenges for >250W average long-term operation with collector mirror at the conference. REFERENCE 1) Hakaru Mizoguchi, et. al.: “Sub-hundred Watt operation demonstration of HVM LPP-EUV source”, Proc. SPIE 9048, (2014) 2) Yoichi Tanino et.al.:” A Driver CO2 Laser Using Transverse-flow CO2 Laser Amplifiers”, EUV Symposium 2013, ( Oct.6-10.2013, Toyama) 3) Hakaru Mizoguchi, et al: ” High Power HVM LPP-EUV Source with Long Collector Mirror Lifetime”, EUVL Workshop 2017, (Berkley, 12-15, June, 2017) 4) Hakaru Mizoguchi et al.:” Challenge of >300W high power LPP-EUV source with long collector mirror lifetime for semiconductor HVM”, Proc. SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI (2019) [11323-28]
Influence of temperature, hydrogen ions, and hydrogen radicals on Sn etching
Dren Qerimi, Gianluca Panici, Jack Stahl, et al.
A hydrogen plasma cleaning technique to clean Sn (tin) off EUV collector optics is studied in detail. The cleaning process uses hydrogen radicals and ions (formed in the hydrogen plasma) to interact with Sn-coated surfaces, forming SnH 4 and being pumped away. This technique has been used to clean a 300mm-diameter stainless steel dummy collector optic, and EUV reflectivity of multilayer mirror samples was restored after etching Sn from them. Previous experiments have shown etch rates of greater than 10 nm/min over a 2 inch diameter circular plasma area with an SWP launcher. An etch experiment was conducted with a sweep over sample bias to investigate the influence of hydrogen ions. Radial etch rates for each hydrogen ion energy were measured using profilometry. Langmuir probe and radical measurements were also taken. Langmuir probe measurements of the surface wave plasma show a two temperature distribution for electrons: a bulk temperature of 2.3 eV and some population at 8.6 eV. The bulk electron density was measured to be 2.7e11 cm -3 . Radical probe measurements give the hydrogen radical density at 1.96e15 cm -3 . A COMSOL model of this experiment was also built and simulation results will be presented. In this work, experiments elucidating the fundamental processes of tin removal are conducted by varying pressure, power, surface temperature and gas flow rate. The ion bombardment reduces the number of radicals needed to etch a single tin atom to the range of -. The linear SWP antenna yields plasma densities on the order of and radical densities on the order of , allowing for greater utilization of ion etch enhancement. Etch rates of up to 200 nm/min have been achieved. The surface temperature of the samples is an important factor in the etching process such that decrease of the surface temperature increases the etch rates and decreases the hydrogen desorption rates. In addition, a kinetic etch model is developed to explain the behavior of the etch rates as function of the surface temperature. Furthermore, results from experiments performed in Illinois NXE:3100 chamber will be discussed.
EUV Stochastics II
icon_mobile_dropdown
Elucidating the radiation chemistry of prototypical tin-oxo resist with first-principles computations
Jonathan H. Ma, Patrick P. Naulleau, David Prendergast, et al.
Metal-organic systems have shown great promise as EUV resists. They have demonstrated good sensitivity and etch resistance while maintaining high resolution and low line edge roughness, making them a potential pathway to modify the tradeoff between resolution, line edge roughness, and sensitivity common to organic chemically amplified resists. In particular, tin-based systems have attracted significant interest and the two known families of fab-ready metal organic resist are based on organotin compounds. Part of this interest derives from the high EUV absorption cross-section of tin, but an equally important driver is the unique chemistry of the element, which affords a multiplicity of coordination environments and a tin-carbon bond stable with respect to hydrolysis, yet sensitive to cleavage by ionizing radiation. Realizing the patterning potential promised by these empirical properties will require a better understanding of the fundamental chemistry behind them, and has already motived several academic and industrial investigations. In this contribution we continue our previous work to develop a deeper understanding of tin-carbon bond chemistry with quantum chemistry using the well-known Sn12 “football” cluster archetype. We demonstrate the consistency between our computations and experimental data. And then we move on to explore a more detailed description of ionization and electron attachment induced chemistry. Investigations of electronic structure would shed light on what chemical reactions can happen subsequently.
3D modeling of EUV photoresist using the multivariate Poisson propagation model
Luke Long, Andrew R. Neureuther, Patrick P. Naulleau
As target feature sizes for EUV lithography shrink, it is becoming ever more important to understand the intricate details of pattern formation in these materials. One such frontier that is garnering more attention is the role of the “third dimension" involved in the patterning process- the dimension perpendicular to the wafer. With resist thicknesses shrinking to accommodate ever narrower linewidths, small changes in resist performance in this dimension will have a greater overall effect on pattern quality, particularly as the thickness of these resists approaches target pattern dimensions. To understand the effect that the third dimension has on resist performance, and in particular the interplay between the third dimension and resist stochastics, we have developed a 3D version of the multivariate Poisson propagation model. As a test case for the model, we explore the role of acid diffusion in the so called third dimension on a set of 105 vias, showing that increased z blur yields an improvement in both dose to size and pattern uniformity without sacrificing resolution.
Poster Session
icon_mobile_dropdown
Advances toward high power EUV sources for EUVL scanners for HVM in the next decade and beyond
Over 50 EUV scanners are installed at customer factories and being used in high volume manufacturing (HVM) of leading semiconductor devices. The latest generation of EUV sources are operating at 250W while meeting all other requirements. Future EUV scanners are projected to require more stable EUV and higher powers >600W to meet throughput requirements. In this paper, we provide an overview of a the latest advances in the laboratory for tin laser-produced-plasma (LPP) extreme-ultraviolet (EUV) sources at 13.5nm enabling HVM at the N5 node and beyond, highlighting crucial EUV source technology developments needed to meet future requirements for EUV power and stability. This includes the performance of subsystems such as the Collector and the Droplet Generator.
Morphology change and release of tin and lead micro-particles from substrates in hydrogen plasma
Extreme ultraviolet (EUV) lithography is a technology for high volume manufacturing (HVM) of integrated circuits. HVM defines critical specification for cleanliness of reticles (masks) used to impose a pattern on wafers. EUV-induced hydrogen plasma produced by photoionization of the H2 gas by the 13.5 nm photons plays an important role in the release and transport of particles from contaminated surfaces to the reticle. It was observed that the rate of particle deposition on the reticle in an EUV scanner scales with EUV power which in turn defines the properties of the EUV-induced plasma to increase the knowledge regarding this phenomenon. We demonstrate images, acquired by a scanning electron microscopy (SEM) to illustrate morphological changes, accumulating in particles of tin, lead and lead oxide that were subject to applied hydrogen plasma (non-EUV). These changes led to the potential loss of adhesion of these materials to the relevant surfaces or potential defectivity outbreaks via explosive fragmentation. This work proposes that the mechanical stress in particles' material lattice caused by accumulation of hydrogen bubbles under the surface plays the major role in the morphological changes observed.
The development progress of the high power LPP-EUV light source using a magnetic field
Hirokazu Hosoda, Shinji Nagai, Tatsuya Yanagida, et al.
We report the status of the CO2-Sn-LPP (Laser-produced-plasma) EUV light source development at Gigaphoton. It is the high power 13.5nm light source solution for the manufacturing of semiconductor pattern below 7nm. Our unique and original technologies are; a combination of a pulsed CO2 laser with Sn droplets, dual wavelength laser application and Sn debris mitigation with a magnetic field. Providing high EUV power with high operation availability is a requirement for the EUV light source system. With above technologies, we have demonstrated a collector mirror reflectivity degradation rate of less than -0.5%/Bp at an average power of 125W at IF during a week of operation. We also achieved an inband power of 270W under dose-controlled operation and demonstrated a power scalability up to 365W. To achieve higher availability, we improved the two main factors that limit the operation availability, that are the lifetime of the collector mirror and of the droplet generator. The mirror lifetime is determined by the reflectivity degradation of the multilayer coating, due to hydrogen blistering, oxidation, as well as sputtering, implantation, and deposition by Sn ions, Sn atoms, and Sn fragments. Since our magnetic mitigation scheme works effectively for lower energy ions, we developed advanced pre-pulse laser irradiation to suppress the generation of high-energy ions from the Sn plasma without loss of the high EUV Conversion Efficiency of ~6%. We are also developing a new long-lifetime droplet generator with an inline Tin feed feature, that extends the lifetime of our droplet generators.
Introduction of fully automated EUV pellicle mounter and demounter (EPMD)
Dongyoung Shin, Seongyong Moon, Sungchul Jeon, et al.
Continuous innovations in lithography have led to the advancement of integrated chips for decades. To extend the so-called Moore's Law, chipmakers started to adopt Extreme Ultraviolet Lithography (EUVL) and have extended the use of EUV in their mass production. For the mass production using EUV lithography, EUV pellicles will are essential to prevent unexpected particle-induced yield drop and to promote productivity. However, the structure of the current EUV pellicle is different from that of optical pellicles. Thus, a new type of mounting and demounting system for EUV pellicle is required. The first generation of EUV pellicle mounting and demounting tools allowed chipmakers to handle EUV pellicles at their R&D levels. However, due to many manual processes involved with the first generation, it is necessary to introduce a fully automated tool to increase throughput and minimize particle contamination.
Defect repairs for the extreme ultraviolet mask
Extreme ultraviolet (EUV) lithography is a prospective technology for the fabrication of integrated chips with critical dimensions (CDs) under 10-nm. However, since chips with similar CDs have similar defect sizes, one of the most critical problems in extreme ultraviolet lithography (EUVL) is mask defect and repair. Defects cause local areas of undesired absorption, reflectivity, or phase change, which ultimately lead to imperfections in the printed image. For example, phase defects may cause substantial changes in image anomalies with different focuses. In this paper, repair methods such as modified absorber, modified absorber with film adding, modified absorber with multilayer peeling, and vote-taking are compared quantitatively using the scattering matrix method.
Effect of contaminants on pellicle lifetime for EUV lithography
The lifetime of the EUV pellicle might be reduced, if contaminant particles accumulate on the pellicle during the EUV lithography process. Through the simulation, the temperature change, deformation, and stress of the pellicle with the type, shape, size, number and location of contaminated particles were examined. And the lifetime expectancy of pellicles for EUV lithography of various structures was compared through a relative comparison of failure points due to thermal deformation. The difference in thermal properties such as heat capacity, thermal conductivity, emissivity, and thermal expansion coefficient of the pellicle and the contaminated particle generated thermal stress in the area where the pellicle and the contaminated particle abutted, and the thermal stress increased as the exposure was repeated. In addition, if exposure continued even after the convergence of temperature, deformation, and thermal stress, physical fatigue due to exposure and cooling could accumulate and shorten the lifetime of the pellicle.
Line width roughness variation and printing failures caused by stochastic effect at extreme-ultraviolet exposure
In-Hwa Kang, Tae-Yi Kim, Su-Mi Hur, et al.
One of the challenges to achieving high volume manufacturing (HVM) using extreme ultraviolet (EUV) is to improve the line width roughness of photoresist (PR). In EUV having high photon energy, the intensity of the light source is insufficient, and a large number of photons cannot enter the resist, and thus a fine pattern with small roughness cannot be made. Roughness is not determined by only one factor but is manifested by various and complex photochemical reactions such as non-uniform photon distribution, dose, acid diffusion, and the reaction of PR components. In the EUV lithography process, the roughness varies even under the same conditions owing to stochastic effects and random printing failures may occur. Ultimately, to develop PR that will be applied to the mass production of EUV, it is necessary to study the factors affecting roughness formation among various phenomena occurring inside the resist. Through computational simulation, line edge roughness and line width roughness were calculated by reflecting stochastic effects in various aspects such as the initial distribution of materials in PR, photon density, and acid. To implement a finer pattern using EUV lithography, we must alleviate roughness by controlling the acid diffusivity and chemical reaction of the quencher. On the other hand, the ionization energy, which affects the interaction between the electron energy and the acid, changed the acid generation efficiency, but as a result, did not significantly affect the roughness.
Novel processing technologies for advanced EUV patterning materials using metal oxide resist (MOR)
Extreme ultraviolet (EUV) lithography has been begun high volume manufacturing (HVM). To allow for robust processing, both CAR and novel metal oxide resist (MOR) materials are needed, but they each come with unique challenges specific to the layer being printed. CAR resist shows good capability for CH printing and pattern transfer. However, specific processing techniques for the pattern transfer is required to mitigate LCDU issues. Additionally CAR L/S printing shows robust capability at 18nm HP, but when approaching 16nm HP, the defect process window is impacted by collapse and bridging. For ultimate resolution, novel materials such as MOR have been demonstrated but sensitivities of the materials for CD stability and defectivity need to be mitigated. TOKYO ELECTRON investigates ways to reduce these risks with a novel approach for coating process, post exposure bake, and developing sequence. This paper reports technologies to improve CDU, PW, and defectivity. In addition, we report solutions of solving metal contamination risk for MOR while maintaining productivity.
NXE:3400 OPC process monitoring: model validity vs process variability
As technology nodes shrink, OPC model accuracy needs to the fulfill tighter requirements. Those requirements can be met only under good process control. However, OPC model accuracy relies on the specific context. Ignoring the impact of process variation on OPC accuracy could lead to break edge placement error (EPE) budget. The OPC process monitoring project at imec is conducted on imec logic N7 M2 design at pitch 32nm use case and aims at quantifying long-term validity of the OPC model in the face of NXE:3400 scanner and process variations. To account and compensate for scanner and process variations impact, the ability of restoring OPC validity by OPC model dose tuning is tested.
Wafer heating analysis with pattern variation in EUVL
As the width of the line decreases, there are advantages such as improved performance, reduced unit price, and reduced power consumption, but high accuracy is required. Heat deformation by exposure light is one of several factors that reduces accuracy. These variations cause overlay and focus problems and can sometimes lead to serious overlay and CD variation. Because the linewidth is reduced to x nm, the overlay error of several nm and the critical dimension (CD) value are also greatly affected. Currently, it can be solved to some extent by stage adjustment and fine-tuning, but the problem still remains. In this paper, we checked the mechanism of wafer deformation due to energy absorption during exposure, changing the conditions of pattern linewidth and line and space (L/S).
Modeling Sn scattering through hydrogen using DFT potentials
Jack Stahl, Dren Qerimi, Niels Braaksma, et al.
A novel method of modeling Sn (tin) scattering through H2 (molecular hydrogen) is examined. Density-functional theory (DFT) software from the Amsterdam Modeling Suite was used to determine the interaction energy of Sn and H2 at varying spacing and orientations. This data was used to generate a function that describes the average interaction energy with respect to distance between the two species for neutral Sn as well as selected Sn ionized states. These resulting functions were inserted into RustBCA, a binary collision approximation code for ion-material interactions. The scattering of a Sn beam through H2 was modeled for each newly generated potential, along with well-known potentials such as ZBL and Moliere for comparison. Legacy software, such as TRIM, is not capable of modeling scattering using potentials that contain attractive components. The potentials generated with DFT have attractive components, so this analysis is only possible now using RustBCA. This method can give more accurate results than previous work. A model using the ZBL potential wherein a neutral Sn beam of 10 keV scattered through 15 cm of H2 left 87.8% of the Sn atoms within 41.4 millisteradians of the primary axis and an average energy of 816.3 eV ± 8.71 eV. The same model with a DFT-generated potential gives a much narrower particle distribution with higher average energies. This modeling work will also be compared against ongoing experimental measurements of Sn ions through H2 for further comparison.
Two-dimensional feature stochastic printing with mask deficiencies in high-NA EUV
An Extreme Ultra-Violet (EUV) photo mask is a complex engineering marvel. It is integral to semiconductor manufacturing, as it holds the design layout information intended for the device. The mask complexity allows many potential points of variation during the mask manufacturing process. Some of these deviations from the ideal mask remain benign to wafer patterning but others impact the wafer pattern. To date, reported studies have characterized the impact of local mask defects in the absorber pattern and within the multilayer mirror of an EUV mask [1], as well as various non-local mask deficiencies such as multilayer mirror surface roughness and contamination growth [2]. The results revealed relationships between mask quality limitations and stochastic failures on the wafer. The studies to date were based on line-and-space patterns to reduce optical complexity and were completed using NA 0.33. The study proposed will extend the research effort into two-dimensional (2D) structures such as contacts, via, and tip-to-tip containing line/space structures, to further understand the impact of mask defects in complex imaging configurations. The study will also investigate these 2D structures patterned with high-NA EUV lithography. As a start, one-dimensional structures will be imaged with high-NA EUV using conditions from previous studies to provide a continuous baseline. The introduction of 2D structures brings optical and computational complexities into the study. The optics contain more complex information than found in the line/space patterns used in previous studies. This may lead to additional impacts not observed in previous work. In addition, due to the complexities of these patterns, the computational effort becomes larger, which may necessitate improved algorithms or learning methodologies. Additionally, in the anamorphic high-NA system the choice of pattern orientation in the 4x or 8x direction is thought to be based on critical feature type such as tip-to-tip or minimum CD, but the introduction of stochastic mask analysis may influence this preference. This work is expected to contribute to a better understanding of which mask quality aspects must be tightened for high-NA EUV.
Modeling of emission spectrum of plasma EUV (13.5 nm) and shorter wavelength (≤7 nm) sources
Akira Sasaki
We investigate atomic model of Sn and heavier elements for the numerical simulation of the EUV emission, which is useful for optimizing EUV sources. The emission of Sn at λ=13.5 nm mainly arises from 4-4 unresolved transition array (UTA) of around 10 times ionized ion. We developed an atomic model of Sn based on computational atomic data, taking the effect of configuration interaction (CI) into account. The opacity table based on the present atomic model was used to simulate the EUV emission using the radiation hydrodynamics code. Furthermore, we will show improvement of the atomic model including heavier elements. We use machine learning (ML) algorithms to infer unknown atomic data from existing data by identifying characteristic features of UTA.
Fabrication and evaluation of nickel-based high-k mask for high numerical aperture extreme ultraviolet lithography
Dongmin Jeong, Yoon Jong Han, Deuk Gyu Kim, et al.
We fabricated and evaluated a high-k mask using Nickel for high-NA EUVL. Since the absorber thickness of the high-k mask is much thinner compared to the Ta-based mask, the mask 3D effects are reduced resulting in improvement of imaging performance. It can resolve 10 nm half-pitch L/S pattern for all the leading candidate systems of 0.55NA EUVL. Also, it is optimized for dry etching and wet cleaning process due to the insertion of CrN spacer layers. We suggest this Ni-based high-k mask for the high-NA system since this exhibit improved imaging performance and easier manufacturability.
Characterization of secondary electron blur via determination of electron attenuation length
Oleg Kostko, Terry R. McAfee, Jonathan Ma, et al.
The absorption of an EUV photon by a thin film resist leads to the emission of a photoelectron as well as several secondary electrons with low kinetic energy. The “universal curve”, used in X-ray photoelectron spectroscopy, indicates that the low kinetic energy electrons may travel tens to hundreds of nanometers before losing their kinetic energy via initiation of chemical reactions. The distance that the electrons are able to travel in the resist is directly related to the resultant “blur” of the aerial image. Thus, identifying how to measure and influence the distance traveled by the secondary electrons is extremely beneficial to the resist community. In this work, we utilize several model polymer materials to investigate the impact of specific chemistry groups on the secondary electron attenuation length (EAL) – the thickness of resist material required to reduce number of emitted secondary electrons to 1/e of initial. The EAL measures the distance the secondary electrons can travel in a resist film, which is directly related to the electron blur. Possibilities to gain additional information on electron penetration depth in resist films will also be discussed.
Experimental validation of particle impact on thermo-mechanical behavior of EUV pellicle
Yong Ju Jang, Seong Ju Wi, Chang Soo Kim, et al.
Possibility of localized thermal gradient near particles on pellicle surface during EUV-induced heat load was investigated. Optical/thermo-mechanical simulations were performed to calculate EUV/UV absorbance and thermal stress distribution of a particle contaminated pellicle. Ru/SiNx double-stack pellicles were fabricated and contaminated by particles of Ti, C, Fe which are the main contamination species in a EUV scanner. As a result, a localized thermal stress was induced by particles and a shorter lifetime was observed for a membrane contaminated with larger particles.
Actinic mask imaging using EUV ptychography microscope
Young Woong Kim, Byungmin Yoo, Dong Gi Lee, et al.
In this study, we carried out actinic EUV mask imaging using EUV ptychography microscope with an updated algorithm. To improve the illumination probe update and the reconstruction quality, we adopted the regularized ptychographic iterative engine (rPIE) with intensity correction. The amplitude and phase of line and space patterns were reconstructed and verified quantitatively. The demonstrated performance of EUV ptychography microscope will be helpful for mask qualification and development of the advanced attenuated phase-shift masks (attPSMs).