Presentation + Paper
26 May 2022 Evaluation of Ta-Co alloys as novel high-k EUV mask absorber
Devesh Thakare, Meiyi Wu, Karl Opsomer, Christophe Detavernier, Philipp Naujok, Qais Saadeh, Victor Soltwisch, Annelies Delabie, Vicky Philipsen
Author Affiliations +
Abstract
An alternate mask absorber is a generally applicable approach as a mitigation strategy for Mask 3-D effects (M3D) observed in EUV lithography. It is also an efficient solution in a production-worthy environment compared to multilayer modification or Source Mask Optimization (SMO) techniques. Absorbers with a high EUV extinction coefficient k allow for lower Best Focus Variation (BFV) through pitch and reduced Telecentricity Errors (TCE). This study evaluates Ta-Co alloys as potential high-k mask absorbers. It includes an experimental study of film morphology, surface composition, and stability of Ta-Co alloys as well as a theoretical investigation of the imaging performance. The optical constants were determined from EUV angle-dependent reflectivity measurements for three selected compositions, viz. TaCo, Ta2Co, and TaCo3. The Ta-Co alloys exhibit a higher EUV extinction coefficient k compared to the currently used TaBN absorber. TaCo and Ta2Co demonstrated smooth surfaces, were stable in a hydrogen environment, and in mask cleaning solutions. These qualified compositions of Ta-Co alloys were selected for aerial image simulations and compared with a TaBN absorber. The optimized absorber thickness for Ta-Co alloy absorbers is based upon NILS enhancement, Threshold to Size (TtS) and balancing of diffraction order amplitudes. A 10 nm alternate line-space pattern with a pitch of 20 nm and 14 nm square contact holes with a pitch of 28 nm were considered for the simulation study using High NA 0.55 EUV lithography process settings. The through pitch imaging performance was evaluated using NILS, TCE and BFV as metrics. Ta-Co alloys allow for a reduction in M3D effects at smaller absorber thickness compared to a 60 nm TaBN absorber.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Devesh Thakare, Meiyi Wu, Karl Opsomer, Christophe Detavernier, Philipp Naujok, Qais Saadeh, Victor Soltwisch, Annelies Delabie, and Vicky Philipsen "Evaluation of Ta-Co alloys as novel high-k EUV mask absorber", Proc. SPIE 12051, Optical and EUV Nanolithography XXXV, 120510D (26 May 2022); https://doi.org/10.1117/12.2614235
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Tantalum

Extreme ultraviolet

Photomasks

Cobalt

Extreme ultraviolet lithography

RELATED CONTENT


Back to Top