Share Email Print
cover

PROCEEDINGS VOLUME 9235

Photomask Technology 2014
For the purchase of this volume in printed format, please visit Proceedings.com

Volume Details

Volume Number: 9235
Date Published: 29 October 2014

Table of Contents
show all abstracts | hide all abstracts
Front Matter: Volume 9235
Author(s): Proceedings of SPIE
Layout compliance for triple patterning lithography: an iterative approach
Author(s): Bei Yu; Gilda Garreton; David Z. Pan
Show Abstract
Double-patterning optimization in 20nm SRAM design
Author(s): Qi Lin; Toshiyuki Hisamura; Nui Chong; Hans Pan; Yun Wu; Jonathan Chang; Xin Wu
Show Abstract
Pattern-based pre-OPC operation to improve model-based OPC runtime
Author(s): Piyush Verma; Fadi Batarseh; Shikha Somani; Jingyu Wang; Sarah McGowan; Sriram Madhavan
Show Abstract
Conducting OPC retargeting as guided by principles of classical dynamics
Author(s): Jingyu Wang; Piyush Verma; Alexander Wei; William Wilkinson
Show Abstract
Trends in mask data preparation
Author(s): Aki Fujimura; Liyong Pang; Bo Su; Yohan Choi
Show Abstract
Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP
Author(s): Robert C. Pack; Keith Standiford; Todd Lukanc; Guo Xiang Ning; Piyush Verma; Fadi Batarseh; Gek Soon Chua; Akira Fujimura; Linyong Pang
Show Abstract
Mask model calibration for MPC applications utilizing shot dose assignment
Author(s): Ingo Bork; Peter Buck; Sankaranarayanan Paninjath; Kushlendra Mishra; Christian Bürgel; Keith Standiford; Gek Soon Chua
Show Abstract
Automated hotspot analysis with aerial image CD metrology for advanced logic devices
Author(s): Ute Buttgereit; Thomas Trautzsch; Min-ho Kim; Jung-Uk Seo; Young-Keun Yoon; Hak-Seung Han; Dong Hoon Chung; Chan-Uk Jeon; Gary Meyers
Show Abstract
OPC-Lite for gridded designs at low k1
Author(s): V. Axelrad; M. Smayling; K. Tsujita; K. Mikami; H. Yaegashi
Show Abstract
Plasma technology for advanced quartz mask etching
Author(s): Munenori Iwami; Hirotsugu Ita; Yoshihisa Kase; Hidehito Azumano; Kazuki Nakazawa; Yoshie Okamoto; Hiroki Shirahama; Tomoaki Yoshimori; Makoto Muto; Ivan Ganachev
Show Abstract
Increasing efficiency and effectiveness of processes related to airborne particles in reticle mask environments
Author(s): Allyn Jackson
Show Abstract
Performance of GFIS mask repair system for various mask materials
Author(s): Fumio Aramaki; Tomokazu Kozakai; Osamu Matsuda; Anto Yasaka; Shingo Yoshikawa; Koichi Kanno; Hiroyuki Miyashita; Naoya Hayashi
Show Abstract
Defects caused by blank masks and repair solution with nanomachining for 20nm node
Author(s): HyeMi Lee; ByungJu Kim; MunSik Kim; HoYong Jung; Sang Pyo Kim; DongGyu Yim
Show Abstract
Intra-field CDU map correlation between SEMs and aerial image characterization
Author(s): Guoxiang Ning; Peter Philipp; Lloyd C. Litt; Stefan Meusemann; Thomas Thaler; Kristian Schulz; Martin Tschinkl; Paul Ackmann
Show Abstract
Imaging impact of multilayer tuning in EUV masks, experimental validation
Author(s): Vicky Philipsen; Eric Hendrickx; Erik Verduijn; Sudhar Raghunathan; Obert Wood II; Victor Soltwisch; Frank Scholze; Natalia Davydova; Pawitter Mangat
Show Abstract
A broader view on EUV-masks: adding complementary imaging modes to the SHARP microscope
Author(s): Markus P. Benk; Ryan H. Miyakawa; Weilun Chao; Yow-Gwo Wang; Antoine Wojdyla; David G. Johnson; Alexander P. Donoghue; Kenneth A. Goldberg
Show Abstract
Phase-enhanced defect sensitivity for EUV mask inspection
Author(s): Yow-Gwo Wang; Ryan Miyakawa; Weilun Chao; Kenneth Goldberg; Andy Neureuther; Patrick Naulleau
Show Abstract
Capability of particle inspection on patterned EUV mask using model EBEYE M
Author(s): Masato Naka; Ryoji Yoshikawa; Shinji Yamaguchi; Takashi Hirano; Masamitsu Itoh; Kenji Terao; Masahiro Hatakeyama; Kenji Watanabe; Hiroshi Sobukawa; Takeshi Murakami; Kiwamu Tsukamoto; Takehide Hayashi; Ryo Tajima; Norio Kimura; Naoya Hayashi
Show Abstract
AIMS EUV first light imaging performance
Author(s): Anthony Garetto; Renzo Capelli; Krister Magnusson; Jan Hendrik Peters; Sascha Perlitz; Ulrich Matejka; Dirk Hellweg; Markus Weiss; Michael Goldstein
Show Abstract
Negative tone development process for ArF immersion extension
Author(s): Kosuke Koshijima; Michihiro Shirakawa; So Kamimura; Keita Katou
Show Abstract
Characterization of a new polarity switching negative tone e-beam resist for 14nm and 10nm logic node mask fabrication and beyond
Author(s): T. Faure; A. Zweber; L. Bozano; M. Sanchez; R. Sooriyakumaran; L. Sundberg; Y. Sakamoto; S. Nash; M. Kagawa; T. Isogawa; T. Senna; M. Tanabe; T. Komizo; I. Yoshida; K. Masunaga; S. Watanabe; Y. Kawai; J. Malenfant Jr.; R. Bowley Jr.
Show Abstract
Increasing reticle inspection efficiency and reducing wafer printchecks at 14nm using automated defect classification and simulation
Author(s): Shazad Paracha; Eliot Goodman; Benjamin G. Eynon; Ben F. Noyes III; Steven Ha; Jong-Min Kim; Dong-Seok Lee; Dong-Heok Lee; Sang-Soo Cho; Young M. Ham; Anthony D. Vacca; Peter J. Fiekowsky; Daniel I. Fiekowsky
Show Abstract
Bringing mask repair to the next level
Author(s): K. Edinger; K. Wolff; H. Steigerwald; N. Auth; P. Spies; J. Oster; H. Schneider; M. Budach; T. Hofmann; M. Waiblinger
Show Abstract
Laser-written binary OMOG photomasks for high-volume non-critical 193-nm photolithographic layers
Author(s): Rémi Rivière; Selvi Gopalakrishnan; Martin Mazur; Nevzat Öner; Sven Mühle; Rolf Seltmann
Show Abstract
MDP challenges from a software provider's perspective
Author(s): Shuichiro Ohara
Show Abstract
Effective corner rounding correction in the data preparation for electron beam lithography
Author(s): Kang-Hoon Choi; Clyde Browning; Thiago Figueiro; Christoph Hohle; Michael Kaiser; Patrick Schiavone
Show Abstract
Photonic curvilinear data processing
Author(s): Clyde Browning; Thomas Quaglio; Thiago Figueiro; Sébastien Pauliac; Jérôme Belledent; Aurélien Fay; Jessy Bustos; Jean-Christophe Marusic; Patrick Schiavone
Show Abstract
Mask data processing in the era of multibeam writers
Author(s): Frank E. Abboud; Michael Asturias; Maesh Chandramouli; Yoshihiro Tezuka
Show Abstract
EBM-9000: EB mask writer for product mask fabrication of 16nm half-pitch generation and beyond
Author(s): Hidekazu Takekoshi; Takahito Nakayama; Kenichi Saito; Hiroyoshi Ando; Hideo Inoue; Noriaki Nakayamada; Takashi Kamikubo; Rieko Nishimura; Yoshinori Kojima; Jun Yashima; Akihito Anpo; Seiichi Nakazawa; Tomohiro Iijima; Kenji Ohtoshi; Hirohito Anze; Victor Katsap; Steven Golladay; Rodney Kendall
Show Abstract
Study of heating effect in multi-beam mask writing
Author(s): Jongsu Kim; Jihoon Kang; Inhwan Noh; Sookhyun Lee; Soeun Shin; Sungil Lee; Hyunchung Ha; Hojune Lee; Jin Choi; Sanghee Lee; Inkyun Shin; Shuichi Tamamushi; Chan-Uk Jeon
Show Abstract
Resist charging effect correction function qualification for photomasks production
Author(s): Vadim Sidorkin; Michael Finken; Timo Wandel; Noriaki Nakayamada; G. R. Cantrell
Show Abstract
EUV mask black border evolution
Author(s): Christina Turley; Ravi Bonam; Emily Gallagher; Jonathan Grohs; Masayuki Kagawa; Louis Kindt; Eisuke Narita; Steven Nash; Yoshifumi Sakamoto
Show Abstract
The feasibility of EUV lithography for printing circuits with 4 nm feature size
Author(s): Michael Yeung; Eytan Barouch
Show Abstract
Capability of etched multilayer EUV mask fabrication
Author(s): Kosuke Takai; Koji Murano; Takashi Kamo; Yasutaka Morikawa; Naoya Hayashi
Show Abstract
Repairing native defects on EUV mask blanks
Author(s): Mark Lawliss; Emily Gallagher; Michael Hibbs; Kazunori Seki; Takeshi Isogawa; Tod Robinson; Jeff LeClaire
Show Abstract
Carbon removal from trenches on EUV reticles
Author(s): N. B. Koster; C. P. E. C. Geluk; T. W. Versloot; J. P. B. Janssen; Y. Fleming; T. Wirtz
Show Abstract
The study on EUV mask cleaning without Ru surface damage
Author(s): Daisuke Matsushima; Kensuke Demura; Satoshi Nakamura; Masafumi Suzuki; Katsuhiro Kishimoto; Makoto Muto
Show Abstract
Effect of amplitude roughness on EUV mask specifications
Author(s): Rene A. Claus; Andrew R. Neureuther; Patrick P. Naulleau; Laura Waller
Show Abstract
Impact of B4C capping layer for EUV mask on the sensitivity of patterned mask inspection using projection electron microscope
Author(s): Susumu Iida; Ryoichi Hirano; Tsuyoshi Amano; Hidehiro Watanabe
Show Abstract
Recent results from EUVL patterned mask inspection using projection electron microscope system
Author(s): Ryoichi Hirano; Susumu Iida; Tsuyoshi Amano; Tsuneo Terasawa; Hidehiro Watanabe; Masahiro Hatakeyama; Takeshi Murakami; Shoji Yoshikawa; Kenji Terao
Show Abstract
Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering
Author(s): Victor Soltwisch; Jan Wernecke; Anton Haase; Jürgen Probst; Max Schoengen; Michael Krumrey; Frank Scholze; Jan Pomplun; Sven Burger
Show Abstract
Particle reduction and control in EUV etching process
Author(s): JeaYoung Jun; TaeJoong Ha; SangPyo Kim; DongGyu Yim
Show Abstract
A new mask exposure and analysis facility
Author(s): Edwin te Sligte; Norbert Koster; Alex Deutz; Wilbert Staring
Show Abstract
A reusable framework for data-mining mask shop tools
Author(s): Dan Meier
Show Abstract
Phase defect detection signal analysis: dependence of defect size variation
Author(s): Tsuyoshi Amano; Hidehiro Watanabe; Tsukasa Abe
Show Abstract
Best-practice evaluation-methods for wafer-fab photomask-requalification inspection tools
Author(s): Chan Seob Cho; Ashish Mungmode; Ron Taylor; David Cho; Hui Peng Koh
Show Abstract
Automatic classification of blank substrate defects
Author(s): Tom Boettiger; Peter Buck; Sankaranarayanan Paninjath; Mark Pereira; Rob Ronald; Dan Rost; Bhamidipati Samir
Show Abstract
Study of high sensitivity DUV inspection for sub-20nm devices with complex OPCs
Author(s): Sang Hoon Han; Hong Yul Jung; Sun Pyo Lee; In-Yong Kang; Gi Sung Yoon; Dong Hoon Chung; Chan-Uk Jeon; Yulia Brand; Yair Eran; Yoad Bar-Shean; Alexander Chereshnya; Chung Ki Lyu
Show Abstract
Development and characterization of advanced phase-shift mask blanks for 14nm node and beyond
Author(s): Chang-Jun Kim; Kyu-Jin Jang; Min-Ki Choi; Chul-Kyu Yang; Jae-Chul Lee; Jong-Keun Lee; Byung-Sun Kang; Jong-Hwa Lee; Cheol Shin; Kee-Soo Nam
Show Abstract
New grade of 9-inch size mask blanks for 450mm wafer process
Author(s): Noriyuki Harashima; Hiroyuki Iso; Tatsuya Chishima
Show Abstract
The feasibility of the additional process for improving pattern collapse in develop process
Author(s): Jong Hoon Lim; Jae Sik Son; Eui Sang Park; Sang Pyo Kim; Dong Gyu Yim
Show Abstract
Further beyond: registration and overlay control enhancements for optical masks
Author(s): Kujan Gorhad; Avi Cohen; Dan Avizemer; Vladimir Dmitriev; Dirk Beyer; Wolfgang Degel; Markus Kirsch
Show Abstract
A method of utilizing AIMS to quantify lithographic performance of high transmittance mask
Author(s): Chun Seon Choi; Dong Sik Jang; Sung Hyun Oh; Jae Cheon Shin; Byungho Nam; Tae Joong Ha; Sang Pyo Kim; Dong Gyu Yim
Show Abstract
On the benefit of high resolution and low aberrations for in-die mask registration metrology
Author(s): Dirk Beyer; Dirk Seidel; Sven Heisig; Steffen Steinert; Susanne Töpfer; Thomas Scherübl; Jochen Hetzler
Show Abstract
Using rule-based shot dose assignment in model-based MPC applications
Author(s): Ingo Bork; Peter Buck; Lin Wang; Uwe Müller
Show Abstract
Short feedback loop for OPC model based on wafer level CD
Author(s): Guoxiang Ning; Thomas Thaler; Kristian Schulz; Peter Philipp; Ute Buttgereit; Paul Ackmann; Lloyd C. Litt; Chin Teong Lim
Show Abstract
Shot overlap model-based fracturing of curvilinear OPC layouts
Author(s): Shangliang Jiang; Avideh Zakhor
Show Abstract
Efficient model-based dummy-fill OPC correction flow for deep sub-micron technology nodes
Author(s): Ayman Hamouda; Mohamed Salama
Show Abstract
Calibration and application of a DSA Compact model for graphoepitaxy hole processes using contour-based metrology
Author(s): Germain Fenger; Andrew Burbine; J. Andres Torres; Yuansheng Ma; Yuri Granik; Polina Krasnova; Geert Vandenberghe; Roel Gronheid; Joost Bekaert
Show Abstract
Efficient full-chip QA Tool for design to mask (D2M) feature variability verification
Author(s): Fadi Batarseh; Piyush Verma; Robert Pack; Shikha Somani
Show Abstract
Full-flow RET creation, comparison, and selection
Author(s): Neal Lafferty; Yuan He; Mikhail Silakov; Toshi Endo; Kostas Adam
Show Abstract
Assessment of carbon layer growth induced by resists outgassing in multi e-beams lithography
Author(s): JC Marusic; ML Pourteau; S. Cêtre; L. Pain; AP Mebiene-Engohang; S. David; S. Labau; J. Boussey
Show Abstract
General shot refinement technique on fracturing of curvilinear shape for VSB mask writer
Author(s): Takuya Tao; Nobuyasu Takahashi; Masakazu Hamaji; Jisoong Park; Sukho Lee; Sunghoon Park
Show Abstract
Impact of reticle writing errors on the on-product overlay performance
Author(s): Richard van Haren; Hakki Ergun Cekli; Xing Lan Liu; Jan Beltman; Anne Pastol; Jean Massin; Emilie Dupre La Tour; Maxime Gatefait; Frank Sundermann
Show Abstract
The defect printability study for 28nm mode mask
Author(s): Catherine Ren; Eric Guo; Irene Shi; Eric Tian
Show Abstract
Characteristics and issues of haze management in a wafer fabrication environment
Author(s): Sung Ha Woo; Dae Ho Hwang; Goo Min Jeong; Young Mo Lee; Sang Pyo Kim; Dong Gyu Yim
Show Abstract
Qualification of local advanced cryogenic cleaning technology for 14nm photomask fabrication
Author(s): Ralf Taumer; Thorsten Krome; Chuck Bowers; Ivin Varghese; Tyler Hopkins; Roy White; Martin Brunner; Daniel Yi
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray