Share Email Print
cover

PROCEEDINGS VOLUME 8681

Metrology, Inspection, and Process Control for Microlithography XXVII
For the purchase of this volume in printed format, please visit Proceedings.com

Volume Details

Volume Number: 8681
Date Published: 18 April 2013

Table of Contents
show all abstracts | hide all abstracts
Front Matter: Volume 8681
Author(s): Proceedings of SPIE
Metrology in times of shrinking budgets
Author(s): William H. Arnold
Show Abstract
Implementation of hybrid metrology at HVM fab for 20nm and beyond
Author(s): Alok Vaid; Lokesh Subramany; Givantha Iddawela; Carl Ford; John Allgair; Gaurav Agrawal; John Taylor; Carsten Hartig; Byung Cheol (Charles) Kang; Cornel Bozdog; Matthew Sendelbach; Paul Isbester; Limor Issascharoff
Show Abstract
On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections
Author(s): Kaustuve Bhattacharyya; Chih-Ming Ke; Guo-Tsai Huang; Kai-Hsiung Chen; Henk-Jan H. Smilde; Andreas Fuchs; Martin Jak; Mark van Schijndel; Murat Bozkurt; Maurits van der Schaar; Steffen Meyer; Miranda Un; Stephen Morgan; Jon Wu; Vincent Tsai; Frida Liang; Arie den Boef; Peter ten Berge; Michael Kubis; Cathy Wang; Christophe Fouquet; L. G. Terng; David Hwang; Kevin Cheng; TS Gau; Y. C. Ku
Show Abstract
Toward 7nm target on product overlay for C028 FDSOI technology
Author(s): Maxime Gatefait; Bertrand Le-Gratiet; Pierre Jerome Goirand; Auguste Lam; Richard Van Haren; Anne Pastol; Maya Doytcheva; Xing Lan Liu; Jan Beltman
Show Abstract
Introduction of next-generation 3D AFM for advanced process control
Author(s): J. Foucher; R. Thérèse; Y. Lee; S.-I. Park; S.-J. Cho
Show Abstract
High-volume process monitoring of FEOL 22nm FinFET structures using an automated STEM
Author(s): Ozan Ugurlu; Michael Strauss; Gavin Dutrow; Jeff Blackwood; Brian Routh Jr.; Corey Senowitz; Paul Plachinda; Roger Alvis
Show Abstract
Material contrast based inline metrology: process verification and control using back scattered electron imaging on CD-SEM
Author(s): Carsten Hartig; Daniel Fischer; Bernd Schulz; Alok Vaid; Ofer Adan; Shimon Levi; Adam Ge; Jessica Zhou; Maayan Bar-Zvi; Ronny Enge; Uwe Groh
Show Abstract
When things go pear shaped: contour variations of contacts
Author(s): Clemens Utzny
Show Abstract
Measurement technology to quantify 2D pattern shape in sub-2x nm advanced lithography
Author(s): Daisuke Fuchimoto; Hideo Sakai; Hiroyuki Shindo; Masayuki Izawa; Hitoshi Sugahara; Jeroen Van de Kerkhove; Peter De Bisschop
Show Abstract
Defect window analysis by using SEM-contour based shape quantifying method for sub-20nm node production
Author(s): Daisuke Hibino; Mingyi Hsu; Hiroyuki Shindo; Masayuki Izawa; Yuji Enomoto; J. F. Lin; J. R. Hu
Show Abstract
A framework for exploring the interaction between design rules and overlay control
Author(s): Rani S. Ghaida; Mukul Gupta; Puneet Gupta
Show Abstract
In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspection
Author(s): Eric Solecky; Oliver D. Patterson; Andrew Stamper; Erin McLellan; Ralf Buengener; Alok Vaid; Carsten Hartig; Benjamin Bunday; Abraham Arceo; Aron Cepler
Show Abstract
Enhancing 9 nm node dense patterned defect optical inspection using polarization, angle, and focus
Author(s): Bryan M. Barnes; Francois Goasmat; Martin Y. Sohn; Hui Zhou; Richard M. Silver; Abraham Arceo
Show Abstract
Capturing buried defects in metal interconnections with electron beam inspection system
Author(s): Hong Xiao; Ximan Jiang; David Trease; Mike Van Riet; Shishir Ramprasad; Anadi Bhatia; Pierre Lefebvre; David Bastard; Olivier Moreau; Chris Maher; Paul MacDonald; Cecelia Campochiaro
Show Abstract
22 nm node wafer inspection using diffraction phase microscopy and image post-processing
Author(s): Renjie Zhou; Gabriel Popescu; Lynford L. Goddard
Show Abstract
Coherent diffractive imaging microscope with a tabletop high harmonic EUV source
Author(s): Bosheng Zhang; Matthew D. Seaberg; Daniel E. Adams; Dennis F. Gardner; Margaret M. Murnane; Henry C. Kapteyn
Show Abstract
Fin stress and pitch measurement using X-ray diffraction reciprocal space maps and optical scatterometry
Author(s): A. C. Diebold; M. Medikonda; G. R. Muthinti; V. K. Kamineni; J. Fronheiser; M. Wormington; B. Peterson; J. Race
Show Abstract
Photoresist shrinkage effects in 16 nm node extreme ultraviolet (EUV) photoresist targets
Author(s): Benjamin Bunday; Cecilia Montgomery; Warren Montgomery; Aron Cepler
Show Abstract
Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkage
Author(s): Takeyoshi Ohashi; Tomoko Sekiguchi; Atsuko Yamaguchi; Junichi Tanaka; Hiroki Kawada
Show Abstract
Critical dimension small angle X-ray scattering measurements of FinFET and 3D memory structures
Author(s): Charles Settens; Benjamin Bunday; Brad Thiel; R. Joseph Kline; Daniel Sunday; Chengqing Wang; Wen-li Wu; Richard Matyi
Show Abstract
Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties
Author(s): Gangadhara R. Muthinti; Manasa Medikonda; Jody Fronheiser; Vimal K. Kamineni; Brennan Peterson; Joseph Race; Alain C. Diebold
Show Abstract
Probing limits of acoustic nanometrology using coherent extreme ultraviolet light
Author(s): Damiano Nardi; Kathleen M. Hoogeboom-Pot; Jorge N. Hernandez-Charpak; Marie Tripp; Sean W. King; Erik H. Anderson; Margaret M. Murnane; Henry C. Kapteyn
Show Abstract
Nanoscale modulus and surface chemistry characterization for collapse free resists
Author(s): Prashant K. Kulshreshtha; Ken Maruyama; Sara Kiani; Dominik Ziegler; James Blackwell; Deidre Olynick; Paul D. Ashby
Show Abstract
Photoluminescence metrology for LED characterization in high volume manufacturing
Author(s): Christopher J. Raymond; Zhiqiang Li
Show Abstract
Intercomparison between optical and x-ray scatterometry measurements of FinFET structures
Author(s): P. Lemaillet; T. A. Germer; R. Joseph Kline; Daniel F. Sunday; Chengqing Wang; Wen-li Wu
Show Abstract
28nm FD-SOI metal gate profile optimization, CD and undercut monitoring using scatterometry measurement
Author(s): R. Bouyssou; B. Le Gratiet; P. Gouraud; L. Desvoivres; G. Briend; B. Dumont
Show Abstract
Evaluating scatterometry 3D capabilities for EUV
Author(s): Jie Li; Oleg Kritsun; Prasad Dasari; Catherine Volkman; Tom Wallow; Jiangtao Hu
Show Abstract
Scatterometry evaluation of focus-dose effects of EUV structures
Author(s): Prasad Dasari; Oleg Kritsun; Jie Li; Catherine Volkman; Jiangtao Hu; Zhuan Liu
Show Abstract
Direct-scatterometry-enabled optical-proximity-correction-model calibration
Author(s): Chih-Yu Chen; Philip C. W. Ng; Chun-Hung Liu; Yu-Tian Shen; Kuen-Yu Tsai; Jia-Han Li; Jason J. Shieh; Alek C. Chen
Show Abstract
Enhancing scatterometry CD signal-to-noise ratio for 1x logic and memory challenges
Author(s): Derrick Shaughnessy; Shankar Krishnan; Lanhua Wei; Andrei V. Shchegrov
Show Abstract
The correlation between ArF resist dispense volume and surface tension
Author(s): Tung-Chang Kuo
Show Abstract
Enhanced photomask quality control by 2D structures monitoring using auto image-to-layout method on advanced 28nm technology node or beyond
Author(s): Eric Guo; Irene Shi; Eric Tian; Chingyun Hsiang; Guojie Cheng; Li Ling; Shijie Chen; Ye Chen; Ke Zhou; Joanne Wu; KeChih Wu
Show Abstract
High order wafer alignment for 20nm node logic process
Author(s): Bumhwan Jeon; Shyam Pal; Sohan Mehta; Subramany Lokesh; Yun Tao Jiang; Chen Li; Mark Yelverton; Yayi Wei
Show Abstract
In-die overlay metrology method using defect review SEM images
Author(s): Jaehyoung Oh; Gwangmin Kwon; Daiyoung Mun; Hyungwon Yoo; Sungsu Kim; Tae hui Kim; Minoru Harada; Yohei Minekawa; Fumihiko Fukunaga; Mari Nozoe
Show Abstract
Control of inspection for EUV substrates and mask blanks
Author(s): Milton Godwin; Teki Ranganath; Andy Ma
Show Abstract
Joint calibration of 3D resist image and CDSEM
Author(s): C. S. Chou; Y. Y. He; Y. P. Tang; Y. T. Chang; W. C. Huang; R. G. Liu; T. S. Gau
Show Abstract
Line edge roughness measurement technique for fingerprint pattern in block copolymer thin film
Author(s): Miki Isawa; Kei Sakai; Paulina A. Rincon Delgadillo; Roel Gronheid; Hiroshi Yoshida
Show Abstract
Increased particle inspection sensitivity by reduction of background scatter variance
Author(s): Peter van der Walle; Pragati Kumar; Dmitry Ityaksov; Richard Versluis; Diederik J. Maas; Olaf Kievit; Jochem Janssen; Jacques C. J. van der Donck
Show Abstract
Overlay improvement through lot-based feed-forward: applications to various 28nm node lithography operations
Author(s): B. Orlando; M. Gatefait; J. De-Caunes; P.J. Goirand
Show Abstract
Scatterometry-based dose and focus decorrelation: applications to 28nm contact holes patterning intrafield focus investigations
Author(s): B. Orlando; N. Spaziani; N. Socquet; R. Bouyssou; M. Gatefait; P.J. Goirand
Show Abstract
Fast simulation method for parameter reconstruction in optical metrology
Author(s): Sven Burger; Lin Zschiedrich; Jan Pomplun; Frank Schmidt; Bernd Bodermann
Show Abstract
DSA hole defectivity analysis using advanced optical inspection tool
Author(s): Ryota Harukawa; Masami Aoki; Andrew Cross; Venkat Nagaswami; Tadatoshi Tomita; Seiji Nagahara; Makoto Muramatsu; Shinichiro Kawakami; Hitoshi Kosugi; Benjamen Rathsack; Takahiro Kitano; Jason Sweis; Ali Mokhberi
Show Abstract
Scatterometry simulator development with GPU, real-coded GA and RCWA
Author(s): Hirokimi Shirasaki
Show Abstract
In-line high-K/metal gate monitoring using picosecond ultrasonics
Author(s): C. W. Hsu; R. P. Huang; J. Chen; J. Tan; H. F. Huang; Welch Lin; Y. L. Hsieh; W. C. Tsao; C. H. Chen; Y. M. Lin; C. H. Lin; H. K. Hsu; K. Liu; C. C. Huang; J. Y. Wu; J. Dai; P. Mukundhan
Show Abstract
Advanced overlay stability control with correction per exposure on immersion scanners
Author(s): Jinkyu Han; Jinseok Heo; Chan Hwang; Jeongho Yeo
Show Abstract
Optical analysis on the wafer defect inspection for yield enhancement
Author(s): Jeongho Ahn; Byoungho Lee; Dong-Ryul Lee; Shijin Seong; Hyungseop Kim; Seongchae Choi; Heewon Sunwoo; Junbum Lee; Dongchul Ihm; Soobok Chin; Ho-Kyu Kang
Show Abstract
Performance of ASML YieldStar µDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control
Author(s): Yoann Blancquaert; Christophe Dezauzier; Jerome Depre; Mohamed Miqyass; Jan Beltman
Show Abstract
Overlay accuracy calibration
Author(s): Eran Amit; Dana Klein; Guy Cohen; Nuriel Amir; Michael Har-Zvi; Cindy Kato; Hiroyuki Kurita
Show Abstract
A novel focus monitoring technique using Iso-Dense overlay mark
Author(s): S.X. Li; J.R. Cheng; A. Bourov; G. Sun
Show Abstract
Manufacturing and advanced characterization of sub-25nm diameter CD-AFM probes with sub-10nm tip edges radius
Author(s): Johann Foucher; Pavel Filippov; Christian Penzkofer; Bernd Irmer; Sebastian W. Schmidt
Show Abstract
Quality metric for accurate overlay control in <20nm nodes
Author(s): Dana Klein; Eran Amit; Guy Cohen; Nuriel Amir; Michael Har-Zvi; Chin-Chou Kevin Huang; Ramkumar Karur-Shanmugam; Bill Pierson; Cindy Kato; Hiroyuki Kurita
Show Abstract
SEM-contour shape analysis method for advanced semiconductor devices
Author(s): Yasutaka Toyoda; Hiroyuki Shindo; Yoshihiro Ota; Ryoichi Matsuoka; Yutaka Hojo; Daisuke Fuchimoto; Daisuke Hibino; Hideo Sakai
Show Abstract
Sensitivity improvement by a hybrid scatterometer
Author(s): Hailiang Lu; Fan Wang; Lifeng Duan; Yonghui Chen
Show Abstract
Study of overlay in EUV/ArF mix and match lithography
Author(s): Chin-Chou Kevin Huang; Lin Chua; KyungBae Hwang; Antonio Mani; Gino Marcuccilli; Bill Pierson; Ramkumar Karur-Shanmugam; John C. Robinson; Dongsub Choi; Michael Ferber; Klaus-Dieter Roeth; ByoungHoon Lee; Inhwan Lee
Show Abstract
Lithography focus/exposure control and corrections to improve CDU
Author(s): Young Ki Kim; Mark Yelverton; Joungchel Lee; Jerry Cheng; Hong Wei; Jeong Soo Kim; Karsten Gutjahr; Jie Gao; Ram Karur-Shanmugam; Pedro Herrera; Kevin Huang; Roie Volkovich; Bill Pierson
Show Abstract
Inspection of high-aspect ratio layers at sub 20nm node
Author(s): Abhishek Vikram; Kuan Lin; Janay Camp; Sumanth Kini; Frank Jin; Vinod Venkatesan
Show Abstract
Characterization of photochemical filtration membranes in organic solvents by using sub-10nm fluorescent Cd-based QDs
Author(s): Suwen Liu; Haizheng Zhang
Show Abstract
Scatterometry accuracy improvement using 3D shapes
Author(s): Shahin Zangooie; Satyanarayana Myneni; Peter Wilkens; Nicholas J. Keller; Thankasala P. Sarathy; Milad Tabet
Show Abstract
Advanced gate CDU control in sub-28nm node using poly slot process by scatterometry metrology
Author(s): Wei-Jhe Tzai; Howard Chen; Jun-Jin Lin; Yu-Hao Huang; Chun-Chi Yu; Ching-Hung Bert Lin; Sungchul Yoo; Chien-Jen Eros Huang; Lanny Mihardja
Show Abstract
The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line
Author(s): Jeffrey Lee; Steve McGarvey
Show Abstract
Sub-40nm high-volume manufacturing overlay uncorrectable error evaluation
Author(s): Pary Baluswamy; Ranjan Khurana; Bryan Orf; Wolfgang Keller
Show Abstract
Application of DBM tool for detection of EUV mask defect
Author(s): Gyun Yoo; Jungchan Kim; Chanha Park; Taehyeong Lee; Sunkeun Ji; Hyunjo Yang; Donggyu Yim; Byeongjun Park; Kotaro Maruyama; Masahiro Yamamoto
Show Abstract
Computational defect review for actinic mask inspections
Author(s): Paul Morgan; Daniel Rost; Daniel Price; Noel Corcoran; Masaki Satake; Peter Hu; Danping Peng; Dean Yonenaga; Vikram Tolani
Show Abstract
Design-based metrology for development and manufacturing applications
Author(s): Peter Brooker; Michael Lee; Ezequiel Vidal Russel; Shimon Levi; Sylvain Berthiaume; William A. Stanton; Travis Brist
Show Abstract
Productivity improvement through automated operation of reticle defect inspection tools in a wafer fab environment
Author(s): Christian Holfeld; Heiko Wagner; Anna Tchikoulaeva; Steffen Loebeth; Stephan Melzig; Yulin Zhang; Shinichi Tanabe; Takenori Katoh; Koichi Moriizumi
Show Abstract
Introduction of a high throughput SPM for defect inspection and process control
Author(s): H. Sadeghian; N. B. Koster; T. C. van den Dool
Show Abstract
Quantitative CD-SEM resist shrinkage study and its application for accurate CD-SEM tools' matching
Author(s): Wen Hui Li; Yi Shih Lin; Siyuan Frank Yang; Bo Xiu Cai; Yi Huang
Show Abstract
Phase extraction from random phase-shifted shadow moiré fringe patterns using stereovision technique
Author(s): Feifei Gu; Hubing Du; Hong Zhao; Bing Li
Show Abstract
Fast phase shifting shadow moiré by utilizing multiple light sources
Author(s): Hubing Du; Hong Zhao; Bing Li
Show Abstract
Measurement configuration optimization for grating reconstruction by Mueller matrix polarimetry
Author(s): Xiuguo Chen; Shiyuan Liu; Chuanwei Zhang; Hao Jiang
Show Abstract
Application of optical CD metrology for alternative lithography
Author(s): Masafumi Asano; Akiko Kawamoto; Kazuto Matsuki; Stephane Godny ; Tingsheng Lin; Koichi Wakamoto
Show Abstract
Hybrid approach to optical CD metrology of directed self-assembly lithography
Author(s): Stephane Godny; Masafumi Asano; Akiko Kawamoto; Koichi Wakamoto; Kazuto Matsuki; Cornel Bozdog; Matthew Sendelbach; Igor Turovets; Ronen Urenski; Renan Milo
Show Abstract
TSV reveal height and dimension metrology by the TSOM method
Author(s): Victor Vartanian; Ravikiran Attota; Haesung Park; George Orji; Richard A. Allen
Show Abstract
Use of TSOM for sub-11nm node pattern defect detection and HAR features
Author(s): Abraham Arceo; Benjamin Bunday; Ravikiran Attota
Show Abstract
Robustness analysis of non-linear phase retrieval from single intensity measurement
Author(s): A. Polo; S. F. Pereira; H. P. Urbach
Show Abstract
Systematic errors in the measurement of power spectral density
Author(s): Chris A. Mack
Show Abstract
Towards development of a sidewall roughness standard
Author(s): Aaron Cordes; Ben Bunday; Sean Hand; Jason Osborne; Hugh Porter
Show Abstract
Roughness of EUV resists exposed to EUV, ArF and KrF radiation as evaluated using three tools: spectroscopic ellipsometry, AFM and SEM
Author(s): Byong Chon Park; Yong Jai Cho; Insung Kim; Jeongho Yeo
Show Abstract
Evaluation of methods for noise-free measurement of LER/LWR using synthesized CD-SEM images
Author(s): Vassilios Constantoudis; Erwine Pargon
Show Abstract
Key points to measure accurately an ultra-low LER by using CD-SEM
Author(s): Hiroki Kawada; Takahiro Kawasaki; Toru Ikegami; Norio Hasegawa; Kenichi Oyama; Hedetami Yaegashi
Show Abstract
Diffraction based overlay and image based overlay on production flow for advanced technology node
Author(s): Yoann Blancquaert; Christophe Dezauzier
Show Abstract
Reduction of image-based ADI-to-AEI overlay inconsistency with improved algorithm
Author(s): Yen-Liang Chen; Shu-Hong Lin; Kai-Hsiung Chen; Chih-Ming Ke; Tsai-Sheng Gau
Show Abstract
Fundamentals of overlay measurement and inspection using scanning electron-microscope
Author(s): T. Kato; Y. Okagawa; O. Inoue; K. Arai; S. Yamaguchi
Show Abstract
DCM: device correlated metrology for overlay measurements
Author(s): Charlie Chen; George K. C. Huang; Yuan Chi Pai; Jimmy C. H. Wu; Yu Wei Cheng; Simon C. C. Hsu; Chun Chi Yu; Nuriel Amir; Dongsub Choi; Tal Itzkovich; Inna Tarshish-Shapir; David C. Tien; Eros Huang; Kelly T. L. Kuo; Takeshi Kato; Osamu Inoue; Hiroki Kawada; Yutaka Okagawa; Luis Huang; Matthew Hsu; Amei Su
Show Abstract
In-die overlay metrology by using CD-SEM
Author(s): Osamu Inoue; Takeshi Kato; Yutaka Okagawa; Hiroki Kawada
Show Abstract
Stress inspection for overlay characterization
Author(s): David M. Owen
Show Abstract
Multi layer overlay measurement recent developments
Author(s): Nuriel Amir; Nimrod Shuall; Inna Tarshish-Shapir; Philippe Leray
Show Abstract
3D AFM method for characterization of resist effect of aerial image contrast on side wall roughness
Author(s): Yong-ha Lee; Sang-Joon Cho; Sang-il Park; R. Ayothi; Y. Hishiro
Show Abstract
Three-dimensional profile extraction from CD-SEM image and top/bottom CD measurement by line-edge roughness analysis
Author(s): Atsuko Yamaguchi; Takeyoshi Ohashi; Takahiro Kawasaki; Osamu Inoue; Hiroki Kawada
Show Abstract
Buckling characterization of gate all around silicon nanowires
Author(s): Shimon Levi; Ishai Schwarzband; Yakov Weinberg; Roger Cornell; Ofer Adan; Guy M. Cohen; Cheng Cen; Lynne Gignac
Show Abstract
Characterization of a 'first measurement effect' in CD-SEM measurement
Author(s): Boxiu Cai; Yi-Shih Lin; Qiang Wu; Yi Huang; Siyuan Yang; Wen-Hui Li; Michael Hao
Show Abstract
Edge determination methodology for cross-section STEM image of photoresist feature used for reference metrology
Author(s): Kiyoshi Takamasu; Haruki Okitou; Satoru Takahashi; Mitsuru Konno; Osamu Inoue; Hiroki Kawada
Show Abstract
Characterizing edge profiles of photomask structures with complementary information from SEM and AFM
Author(s): Wolfgang Häßler-Grohne; Dorothee Hüser
Show Abstract
High accuracy CD matching monitor for CD-SEM beyond 20nm process
Author(s): K. Ueda; T. Mizuno; K. Setoguchi
Show Abstract
In-field in-design metrology target integration for advanced CD and overlay process control via DoseMapper and high order overlay correction for 28nm and beyond logic node
Author(s): J. Ducoté; F. Bernard-Granger; B. Le-Gratiet; R. Bouyssou; R. Bianchini; J. C. Marin; M. P. Baron; F. Gardet; T. Devoivre; E. Batail; C. Pouly; D. Gueze; L. Thevenon
Show Abstract
CD optimization methodology for extending optical lithography
Author(s): C. Wong; G. Seevaratnam; T. Wiltshire; N. Felix; T. Brunner; P. Rawat; M. Escalante; W. Kim; E. Rottenkolber; A. Elmalk; V. Wang; C. Leewis; P. Hinnen
Show Abstract
Improvement of focus accuracy on processed wafer
Author(s): Satomi Higashibata; Nobuhiro Komine; Kazuya Fukuhara; Takashi Koike; Yoshimitsu Kato; Kohji Hashimoto
Show Abstract
An investigation of high-order process correction models and techniques to improve overlay control by using multiple-pass cascading analysis at an advanced technology node
Author(s): Md Zakir Ullah; Mohamed Fazly Mohamed Jazim; Stephen Tran; Andy Qiu; Dawn Goh; Jesline Ang; Desmond Goh; David Tien; Kevin Huang; Dongsub Choi
Show Abstract
Gaps analysis for CD metrology beyond the 22nm node
Author(s): Benjamin Bunday; Thomas A. Germer; Victor Vartanian; Aaron Cordes; Aron Cepler; Charles Settens
Show Abstract
High-speed atomic force microscopy for patterned defect review
Author(s): Jason Osborne; Shuiqing Hu; Haiming Wang; Yan Hu; Jian Shi; Sean Hand; Chanmin Su
Show Abstract
Metrology solutions for high performance germanium multi-gate field-effect transistors using optical scatterometry
Author(s): Hock-Chun Chin; Moh-Lung Ling; Bin Liu; Xingui Zhang; Jie Li; Yongdong Liu; Jiangtao Hu; Yee-Chia Yeo
Show Abstract
Enhancing metrology by combining spatial variability and global inference
Author(s): Costas J. Spanos; Jae Yeon Baek
Show Abstract
Performance-based metrology of critical device performance parameters for in-line non-contact high-density intra-die monitor/control on a 32nm SOI advanced logic product platform
Author(s): Mario M. Pelella; Anda C. Mocuta; Birk Lee; Noah Zamdmer; Dustin K. Slisher; Xiaojun Yu; James S. Vickers; Yota Tsuruta; Subramanian S. Iyer; Nader Pakdaman
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray