Proceedings Volume 8352

28th European Mask and Lithography Conference

cover
Proceedings Volume 8352

28th European Mask and Lithography Conference

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 10 May 2012
Contents: 11 Sessions, 32 Papers, 0 Presentations
Conference: 28th European Mask and Lithography Conference (EMLC 2012) 2012
Volume Number: 8352

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 8352
  • Plenary Session
  • EUV Lithography and Mask Application
  • Mask Optimization
  • Lithography Optimization
  • Lithography for MEMS
  • Mask Materials
  • Mask Data Preparation
  • Emerging Lithography
  • Mask Handling, Cleaning, and Haze
  • EUV Mask Defect Management
Front Matter: Volume 8352
icon_mobile_dropdown
Front Matter: Volume 8352
This PDF file contains the front matter associated with SPIE Proceedings Volume 8352, including the Title Page, Copyright information, Table of Contents, and Foreword, and Conference Committee listing.
Plenary Session
icon_mobile_dropdown
Nanometer-level semiconductor imaging for micrometer-level MEMS
Lithography spearheaded the semiconductor industry to nanometer-level feature sizes. The MEMS industry, having started later and being less developed in economy of scale, can take advantage of the experience of semiconductor patterning to make MEMS patterning cheaper and faster. Even though most MEMS devices are still in the micrometer regime, there are still many semiconductor lithography techniques to benefit from. Four types of lithography are used for MEMS fabrication: proximity printing, nanoimprint, projection printing, and maskless direct write. Projection printing stands out as the best candidate for MEMS high volume manufacturing. The MEMS technology places more emphasis on DOF than resolution. It often requires fabrication of obliquely oriented devices. It also needs to test many innovative ideas before committing to mass production. Several semiconductor methods and some MEMS-specific methods to extend the depth of focus are covered. Multiple-e-beam direct-write systems are discussed with a focus on the suitability to high volume manufacturing in cost and lithographic performance for MEMS.
Mask industry assessment trend analysis: 2012
Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply among the top critical issues for lithography. A survey was designed by SEMATECH with input from semiconductor company mask technologists and merchant mask suppliers to objectively assess the overall conditions of the mask industry. With the continued support of the industry, this year's assessment was the tenth in the current series of annual reports. This year's survey is basically the same as the 2005 through 2011 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that ultimately produce a detailed profile of both the business and technical status of the critical mask industry. We received data from 11 companies this year, which was a record high since the beginning of the series. The responding companies represented more than 96% of the volume shipped and about 90% of the 2011 revenue for the photomask industry. These survey reports are often used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. They will continue to serve as a valuable reference to identify strengths and opportunities. Results can also be used to guide future investments in critical path issues.
EUV Lithography and Mask Application
icon_mobile_dropdown
Mask readiness for EUVL pilot line
EUVL pilot line will be launched in 2012 with several pre-production tools installed in world wide. Since there will be still the productivity issue on the exposure tool, certain demand of EUV masks may be required in 2012. In this presentation, the status of EUV mask readiness, such as pattern quality, related infrastructures, and mask handling flow etc., will be discussed.
NXE:3100 full wafer imaging performance and budget verification
Eelco van Setten, Koen van Ingen Schenau, Mark O'Mahony, et al.
With the introduction of the NXE:3100 NA=0.25 exposure system a big step has been made to get EUV lithography ready for High Volume Manufacturing. Over the last year, 6 exposure systems have been shipped to various customers around the world, active in Logic, DRAM, MPU and Flash memory, covering all major segments in the semi-conductor industry. The integration and qualification of these systems have provided a great learning, identifying the benefits of EUV over ArF immersion and the critical parameters of the exposure tool and how to operate it. In this paper we will focus specifically on the imaging performance of the NXE:3100 EUV scanner. Having been operational for more than a year a wide range of features were evaluated for lithographic performance across the field and across wafer. CD results of 32nm contact holes, 27nm isolated and dense lines, 27nm two-bar, 22nm dense L/S with Dipole, as well as several device features will be discussed and benchmarked against the current ArF immersion performance. A budget verification will be presented showing CD and contrast budgets for a selection of lithographic features. The contribution of the resist process and the mask will be discussed as well. The litho performance optimization will be highlighted with the 27nm twobar and isolated lines features that are sensitive to the illuminator pupil shape and projection lens aberrations. We will estimate the amount of resist induced contrast loss for 27 and 22nm L/S based on measurements of Exposure Latitude and the contributors from the exposure system. We will further present on the impact of variations in the mask blank and patterned mask on imaging, with several new contributors to take into account compared to traditional transmission masks. Finally, the combined results will be projected to the NXE:3300 NA=0.33 exposure system to give an outlook for its imaging performance capabilities.
A fast approach to model EUV mask 3D and shadowing effects
Ying Li, Danping Peng, Masaki Satake, et al.
EUV lithography is one of the leading candidates to replace traditional DUV for semiconductor patterning. Different from DUV mask, EUV masks consists of an absorber pattern layer and 40 layers of alternating molybdenum and silicon to generate reflective mask near field. Due to the complexity of the EUV mask structure, the high profile of the absorber layer relative to wavelength, and the non-telecentric nature of EUV optics, mask 3D- and shadowing effects are important and must be taken into consideration. The goal of our simulator is to build an empirical model specially tailored to capture such effects by reconstructing thin mask spectrum to match with rigorous simulation within the pupil of interests. In this study, we will present the mechanisms and accuracy results of our absorber model.
EUVL mask performance and optimization
Natalia Davydova, Robert de Kruif, Eelco van Setten, et al.
EUV lithography requires an exposure system with complex reflective optics and an equally complex EUV dedicated reflective mask. The required high level of reflectivity is obtained by using multilayers. The multilayer of the system optics and the mask are tuned to each other. The mask is equipped with an additional patterned absorber layer. The EUV mask is an optical element with many parameters that contribute to the final image and overlay quality on the wafer and the productivity of the system. Several of these parameters can be tuned for optimal overlay, imaging and productivity results. This should be done with care because of possible interaction between parameters. We will present an overview of the EUV mask contributors to the imaging, overlay and productivity performance for the 27 nm node and below, such as multilayer and absorber stack composition, reflectivity and reflectivity uniformity. These parameters will be reviewed in the context of real-life scanner parameters for the ASML NXE:3100 and NXE:3300 system configurations. The predictions will be compared to actual exposure results on NXE:3100 systems (NA=0.25) for various masks and extrapolated to the NXE:3300 (NA=0.33). In particular, we will present extensive multilayer and absorber actinic spectral reflectance measurements of a state-ofthe art EUV mask over a range of incidence angles corresponding to an NA of 0.33 at multiple positions within the image field. The ML measurements allow calibrating ML stack for imaging simulations. It allows also the estimation of mask-induced apodization effects having impact on overlay. In general, the reflectivity measurements will give detailed variations over the image field of mask parameters such as ML centroid wavelength and absorber reflectivity which contribute to CD uniformity. Such a relation will be established by means of rigorous full stack imaging simulations taking into account optical properties of the coming NXE:3300 system. Based on this investigation we will propose optimal EUV mask parameters for the 22 nm node EUV lithography and below, to provide guidance for mask manufacturers to support the introduction of EUV High Volume Manufacturing.
Mask Optimization
icon_mobile_dropdown
AIMS D2DB simulation for DUV and EUV mask inspection
Danping Peng, Ying Li, Masaki Satake, et al.
AIMS™ Die-to-Die (D2D) is widely used in checking the wafer printability of mask defects for DUV lithography. Two AIMS images, a reference and a defect image, are captured and compared with differences larger than certain tolerances identified as real defects. Since two AIMS images are needed, and since AIMS system time is precious, it is desirable to save image search and capture time by simulating reference images from the OPC mask pattern and AIMS optics. This approach is called Die-to-Database (D2DB). Another reason that D2DB is desirable is in single die mask, where the reference image from another die does not exist. This paper presents our approach to simulate AIMS optics and mask 3D effects. Unlike OPC model, whose major concern is predicting printed CD, AIMS D2DB model must produce simulated images that match measured images across the image field. This requires a careful modeling of all effects that impact the final image quality. We present a vector-diffraction theory that is based on solid theoretical foundations and a general formulation of mask model that are applicable to both rigorous Maxwell solver and empirical model that can capture the mask 3D-effects. We demonstrated the validity of our approach by comparing our simulated image with AIMS machine measured images. We also briefly discuss the necessary changes needed to model EUV optics. Simulation is particularly useful while the industry waits for an actinic EUV-AIMS tool.
Correcting image placement errors using registration control (RegC) technology in the photomask periphery
Avi Cohen, Falk Lange, Guy Ben-Zvi, et al.
The ITRS roadmap specifies wafer overlay control as one of the major tasks for the sub 40 nm nodes in addition to CD control and defect control. Wafer overlay is strongly dependent on mask image placement error (registration errors or Reg errors)1. The specifications for registration or mask placement accuracy are significantly tighter in some of the double patterning techniques (DPT). This puts a heavy challenge on mask manufacturers (mask shops) to comply with advanced node registration specifications. The conventional methods of feeding back the systematic registration error to the E-beam writer and re-writing the mask are becoming difficult, expensive and not sufficient for the advanced nodes especially for double pattering technologies. Six production masks were measured on a standard registration metrology tool and the registration errors were calculated and plotted. Specially developed algorithm along with the RegC Wizard (dedicated software) was used to compute a correction lateral strain field that would minimize the registration errors. This strain field was then implemented in the photomask bulk material using an ultra short pulse laser based system. Finally the post process registration error maps were measured and the resulting residual registration error field with and without scale and orthogonal errors removal was calculated. In this paper we present a robust process flow in the mask shop which leads up to 32% registration 3sigma improvement, bringing some out-of-spec masks into spec, utilizing the RegC® process in the photomask periphery while leaving the exposure field optically unaffected.
Lithography Optimization
icon_mobile_dropdown
Optimization method of photolithography process by means of atomic force microscopy
Andrzej Sierakowski, Paweł Janus, Daniel Kopiec, et al.
In this article authors present a method for determining optimal photoresist exposure parameters in a photolithography process by an analysis of a topographic profile of exposed images in a photoresist layer. As a measurement tool an Atomic Force Microscopy (AFM) integrated with a system for maskless lithography was used. The measurement system with the piezoresistive cantilever and experimental procedure was described. Initial experiments result of determining the optimal exposure energy and minimizing the stitching error method were presented.
Investigation and mitigation of field-edge CDU fingerprint for ArFi lithography for 45-nm to sub-28-nm logic nodes
Bertrand Le-Gratiet, Jo Finders, Orion Mouraille, et al.
While looking for intrafield CD variability budget definition we have observed that mask CDU correlates much better to silicon intrafield CDU when it is combined with an edge of field overexposure. This parasitic light diffusion into the field from the edge, generating a localized overexposure, is related to a mechanism called Out-Of-Field straylight. In this paper we will show evidences of this straylight mechanism, from specific experiments as well as from inline intrafield CDU analysis. In parallel we will detail specific scanner and masks tests that are being done attempting to quantify and understand this phenomenon. During this first characterisation phase we have also seen that this signature is quite systematic from a scanner to another and could be somehow modulated by the mask itself (transmission and absorber type). Today straylight is modelled in our APC as a unique contribution added to the mask and further investigations are needed to fully characterize it.
Double exposure as a method to correct on-wafer CD variations: a proposal
Arthur Hotzel, Holger Bald
Keeping across-field CD variation on the wafer within the tight limits imposed by 28nm and other advanced technologies is a challenge, particularly in a foundry where designs of different customers are realized. We propose a cost-efficient, fast, and flexible method to improve CD uniformity and correct reticle or design-induced variation, by applying a second exposure to the wafer, in the form of a grey scale map created with a low grade correction reticle. Compared to CD correction by subsequent modification of the primary reticle, this method has the potential of much higher spatial resolution and simpler logistics, which make it an attractive alternative especially for prototyping and lowvolume production.
Lithography for MEMS
icon_mobile_dropdown
Lithographic aspects for the fabrication of BiCMOS embedded bio-MEMS and RF-MEMS
P. Kulse, M. Birkholz, K.-E. Ehwald, et al.
Latest developments in micro-electro-mechanical systems (MEMS) have paved the way to follow the more than Moore approach. Several key components, such as silicon pressure sensors have been developed using MEMS processing techniques. Recently, MEMS technologies have been combined with standard CMOS processes and MEMS devices such as microviscosimeters and RF-MEMS switches were successfully demonstrated. The most challenging part of this MEMS process is the last long wet etch step, which remove the sacrificial layer to make the actuator moveable. Such long etch step is strongly influenced by the previous lithography steps. Especially the type of the photoresist has a strong influence on the performance of the final MEMS device. Here, we report a novel MEMS fabrication process, applied to the back-end-off-line (BEOL) of a 0.25μm SiGe BiCMOS technology. The full MEMS process flow is explained and the last lithography step is detailed. First, we show the influence of different substrate surface preconditions which defines the adhesion between the photoresist and the substrate. The final 6μm thick photoresist layer is required for the critical MEMS actuator release procedure due to the long wet etch process. In this wet etch process, a buffered hydrofluoric acid etchant penetrates the resist layer due to the long etch time (>80 min). Such penetration becomes more critical in the case of low adhesion between the photoresist and the wafer surface. Improving the latter can be achieved by using different primers or dehydration bakes. Furthermore, a new approach of an alternative standard lithography process is investigated. For both studies, additional SEM cross sections and contact angle measurements is presented.
MEMS: fabrication of cryogenic bolometers
J. Kunert, S. Anders, T. May, et al.
Cryogenic bolometers are among the most sensitive devices for the detection of electromagnetic radiation in the submillimeter wavelength range. Such radiation is of interest for astronomical observations as well as for security checks. We describe how we fabricate an array of these bolometers. Standard contact lithography is sufficient for these relatively coarse features. To increase the sensitivity, it is imperative to weaken the thermal link between the thermistors (the sensing devices) and the temperature bath. This is achieved by placing them on a silicon nitride membrane that is structured so that the thermistors are placed on a platform which is held only by a few beams. The fabrication process does not require sophisticated lithographic techniques, but special care to achieve the desired yield of 100 % intact bolometers in one array. We discuss bolometer basics and requirements for our applications, critical fabrication issues, and show results of complete systems built for a radio telescope and for security cameras.
Mask Materials
icon_mobile_dropdown
Impact of reticle absorber on the imaging properties in ArFi lithography
Jo Finders, O. Mouraille, A. Bouma, et al.
In this paper we compare the imaging properties of lithographic test structures formed on test masks with different reticle absorbers for use in1.35 NA immersion lithography. We will look into different aspects like process windows and CD fingerprints. Beyond that we look into the topographic effects caused by the different absorbers, the mask 3D effects. We will study the interaction between the different masks and immersion scanner. Special attention is given towards the correctability of the intrafield CD fingerprint by mask and scanner applying dose corrections.
PSM and thin OMOG reticles aerial imaging metrology comparison study
Yaron Cohen, Jo Finders, Shmoolik Mangan, et al.
For sub 20nm features, IC (integrated circuits) designs include an increasing number of features approaching the resolution limits of the scanner compared to the previous generation of IC designs. This trend includes stringent design rules and complex, ever smaller optical proximity correction (OPC) structures. In this regime, a new type of mask, known as opaque MoSi on glass (OMOG), has been introduced to overcome the shortcomings of the well-established phase shift masks (PSM). This paper reviews the fundamental aerial imaging differences between identically designed PSM and thin OMOG masks. The masks were designed for scanner qualification tests and therefore contain large selections of 1D and 2D features, including various biases and OPCs. Aerial critical dimension uniformity (CDU) performance for various features on both masks are reported. Furthermore, special efforts have been made to emphasize the advantages of aerial imaging metrology versus wafer metrology in terms of shortening scanner qualification cycle time.
Mask Data Preparation
icon_mobile_dropdown
Pointwise process proximity function calibration: PPFexplorer application results
M. Krueger, M. Banasch, R. Galler, et al.
The semiconductor industry and mask shops spend great efforts in order to keep pace with the requirements on pattern fidelity of the ITRS lithography roadmap. Even for e-beam lithography - often referred to as technology with "unlimited" resolution - the challenges increase with shrinking feature sizes in combination with applicable resist processes. The pattern fidelity, specifically CD control, is crucial for the application of e-beam lithography. One aspect in CD control is the intrinsic proximity effect of the electron beam. This together with other contributions like influences from resist process or beam generation which are summarized altogether under the term process proximity effect have to be corrected. An accurate e-beam process proximity effect correction is therefore a key component of e-beam lithography. Some process proximity effect correction algorithms provide not only accurate correction for the process proximity effect induced pattern deformation but also optimize pattern contrast by adjusting geometry and dose simultaneously. However, the quality of the process proximity effect correction is limited by the calibration accuracy of the used model, i.e., the accuracy of the utilized process proximity function (PPF). In a previous paper [R. Galler et al, "PPF - Explorer: Pointwise Proximity Function calibration using a new radialsymmetric calibration structure", BACUS 2011] the PPF-explorer - a new experimental method for pointwise process proximity function calibration - was introduced and some first promising calibration results were shown. This paper presents the progress of the PPFexplorer proximity function calibration. This progress, among others, comprises automatic generation of calibration patterns, including pre-correction with respect to a rough forecast of the process proximity function to be calibrated. This pre-correction approach significantly reduces the number of necessary calibration structures and the number of measurement sites, without sacrificing calibration accuracy. On the contrary, the pre-correction has positive impact on the calibration quality, since it allows unifying the pattern contrast at the measurement sites, which reduces the SEM measurement induced error. We present the results of a PPFexplorer calibration with special focus on minimizing the number of measurement sites. The results show that the PPFexplorer method can help to improve the proximity effect model calibration with controllable efforts.
Mask write time reduction: deployment of advanced approaches and their impact on established work models
The extension of 193nm exposure wavelength to smaller nodes continues the trend of increased data complexity and subsequently longer mask writing times. In particular inverse lithography methods create complex mask shapes. We introduce a variety of techniques to mitigate the impact - data simplification post-optical proximity correction (OPC), L-Shots, multi-resolution writing (MRW) and optimization based fracture. Their potential for shot count reduction is assessed. All of these techniques require changes to the mask making work flow at some level - the data preparation and verification flow, the mask writing equipment, the mask inspection and the mask qualification in the wafer manufacturing line. The paper will discuss these factors and conduct a benefit - effort assessment for the deployment. Some of the techniques do not reproduce the originally targeted mask shape. The impact of the deviations will be studied at wafer level with simulations of the exposure process and quantified as to their impact on the exposure process window. Based on the results of the assessment a deployment strategy will be discussed.
Improvements on Corner2, a lossless layout image compression algorithm for maskless lithography systems
Jeehong Yang, Serap A. Savari
The Corner2 algorithm was designed to resolve the data delivery problem on maskless lithography systems. The Corner2 algorithm utilizes dictionary-based compression to handle repeated circuit components and applies a transform which is specifically tailored for layout images to deal with irregular circuit components. It obtains high compression ratios and fast encoding/decoding times while requiring limited decoder memory in the decoder hardware. Moreover, the entire decompression is simple so that it could be implemented as a hardware add-on to the lithography writer. However, there is some room for improvement in how we build the dictionary to handle frequent circuit patterns. In this paper, we introduce an improved way to discover frequent patterns from the circuit layout images based on binary integer programming. By applying this improved frequent pattern dictionary, we were able to obtain 4.5-35.8% more compression while maintaining the same Corner2 decoder. Moreover, this binary integer programming framework could be applied to other binary image compression problems with similar pattern restrictions.
Emerging Lithography
icon_mobile_dropdown
Enhanced e-beam pattern writing for nano-optics based on character projection
E.-Bernhard Kley, Holger Schmidt, Uwe Zeitner, et al.
The pattern generation for nano-optics raises high demands on resolution, writing speed and flexibility: nearly arbitrary complex structures with feature sizes below 100 nm should be realized on large areas up to 9 inches in square within reasonable time. With e-beam lithography the requirements on resolution and flexibility can be fulfilled but the writing time becomes the bottle neck. Acceleration by Variable Shaped Beam (VSB) writing principle (geometrical primitives with flexible size can be exposed with a single shot) is sometimes not sufficient. Character Projection (CP) is able to speed up the writing drastically because complex pattern of a limited area can be exposed by one shot [1]. We tested CP in the Vistec SB350 OS for optical applications and found a shot count reduction up to 1/1000, especially for geometries which are hard to approximate by geometrical primitives. Additionally, the resolution and the pattern quality were influenced in a positive way. Another benefit is the possibility to spend a part of the gain in writing speed to the use of a high resolution but low sensitive resist like HSQ. The tradeoff between speed and flexibility should be compensable by a large number of characters available.
A novel tool for frequency assisted thermal nanoimprint (T-NIL)
Andre Mayer, Khalid Dhima, Saskia Möllenbeck, et al.
Based on the well-known fact that thermoplastic polymers feature a decrease of viscosity at increased frequency we propose a novel tool for frequency assisted thermal nanoimprint. The system is equipped with a stepper motor to drive into contact and to apply a static loading. In addition a piezo-unit is available that allows the superposition of the static load with a dynamic excitation. Detailed analysis of the frequency response of the overall system makes obvious that the frequency range available is limited not only by the frequency cut-off of the piezo amplifier, but also by its power or rather by the limited output current available. As a consequence the maximum frequency at full displacement is only 10 Hz. Nonetheless this should be enough to reduce the viscosity of typical imprint polymers at a low imprint temperature. The measurement system is sensitive enough to detect the small changes induced by the polymeric layer in the imprint stack, when the temperature is raised to typical imprint temperatures. Decay times for the residual force during imprint with a conventional imprint stack could be obtained from a relaxation experiment, where the piezos are used as step-displacement sources. The data are in excellent agreement with values calculated from dynamic rheological characterization experiments.
Nanoimprint activities in Austria in the research project cluster NILaustria
Michael Mühlberger, Hannes Fachberger, Iris Bergmair, et al.
The NILaustria research project cluster consists of 8 individual research projects and aims to improve nanoimprint lithography in an application driven approach. The cluster is presented as well as highlights from the projects, e.g. the replication of 12.5nm half pitch features using working stamp copies, topics from organic electronics, metamaterials and SiGe technology. An outlook on the new activities is given.
Phase-shift at subwavelength holographic lithography (SWHL)
Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, et al.
Authors of the report have been developing sub-wavelength holographic lithography (SWHL) methods of aerial image creation for IC layer topologies for the last several years. Sub-wave holographic masks (SWHM) have a number of substantial advantages in comparison with the traditional masks, which are used in projection photo-microlithography. The main advantage is the tolerance of SWHM to local defects. This tolerance allows considerable reduction of manufacturing environment and post-production verification requirements. At the report we are also going to consider another important advantage of the SWHL technology. In order to achieve sub-wavelength resolution in this technology it is enough just to alter the number, sizes and positions of transparency areas on the SWHM. There is no need in coating the mask with one- or multi-layer highly-local phase-shifting coat or creating of local phase-shifting structural elements, which is usual for traditional lithography. Introducing of the object wave with the specified phase-shift into the calculation is enough. Our research shows that such approach could be applied to the creation of the test structures as well as to the creation of the real IC layer topologies.
Mask Handling, Cleaning, and Haze
icon_mobile_dropdown
High quality mask storage in an advanced Logic-Fab
Carmen Jähnert, Silvio Fritsche
High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like temperature, humidity, AMC (Airborne Molecular Contamination) and particles are controlled online within the system and monitored via the Cleanroom Monitoring System and iCADA RSM. The storage system is well conditioned, based on a fine adjusted heating and cooling concept whereby the desired temperature and humidity values are kept very stable even under high frequent mask transactions. The in-house developed RFID system and traceability of masks within the Infineon Dresden Lithotool landscape is a new and complex logistics improvement, decoupling masks from boxes, saving costs and time and reducing particles. The presented hardware and software solution shows how the potential of automation and improved production efficiency can be increased by such adapted systems even in a mature 200mm waferfab.
Through pellicle management of haze formation in a wafer fabrication environment
Alexander Figliolini, Michael Archuletta, Jeff LeClaire, et al.
The haze nucleation and growth phenomenon on critical photomask surfaces has periodically gained attention as it has significantly impacted wafer printability for different technology nodes over the years. A number of process solutions have been shown to suppress or minimize the propensity for haze formation, but none of these technologies has stopped every instance of haze. Additionally, the management of photo-induced defects during lithography exposure is expensive, so some capability will always be needed to remove haze on photomasks for long term maintenance over a mask's lifetime. A novel technology is reviewed here which uses a dry (no chemical effluents) removal system to safely sweep the entire printable region of a pelliclized photomask to eliminate all removable haze. This process is safe regardless of the mask substrate materials or the presence of small critical patterns such as SRAF's that may represent damage problems for traditional cleaning methods. Operational process techniques for this system and performance in removal will be shown for haze located on the mask pattern surface. This paper will also discuss the theory of operation for the system, including expected chemical reactions and address the reformation rate of haze crystals. Data from tool acceptance and preliminary production use will also be reviewed including analysis of process window through a focus-exposure matrix, repair durability, CD performance, and sort yield.
Cleaning aspects of material choice for high end mask manufacturing
Pavel Nesladek, Steve Osborne, Stefan Rümmelin
After decades of binary mask manufacturing using Cr absorber the material spectrum was extended by phase shift material in late 90's during introduction of Half Tone Phase Shift Masks (HT-PSM). This change had strong impact on manufacturing flow as well as several unit processes. A consequences of phase shifter introduction was the necessity of introducing a second level litho process, as well as introducing of dry etch processes due to poor etch properties of MoSi using wet chemistry. Less obvious and rather unremarkable was the impact of this change to clean processes, except the impact of the clean process on the phase shift. In recent years we've seen several new materials based on varying chemical composition as well as thickness of the absorber developed by various mask blank vendors namely Hoya and ShinEtsu. These materials are improving resolution, pattern fidelity and to some degree also mask lifetime. Adding the EUV mask blank materials increases further the spectrum of materials, taking into account all the absorber stacks available today on market. Thorough investigation of the clean process performance as a function of surface material shows significant variation in the critical parameters as defectivity, susceptibility to recontamination and relative cleaning efficiency. Goal of this work is to 1) Compare the already mentioned clean related properties together with feature damage and impact on the critical dimension (CD) shift for different materials. 2) Find a compromise between the technology requirements and process limitations resulting from the combination of available processes with material properties. Some aspects of the new materials such as stack height and interface between absorber and substrate are making this task easier, especially with respect to feature damage. On the other hand the most critical parameter - the cleaning efficiency, dropped due to the introduction of the new materials, mainly due to unfavorable sticking coefficients of these materials.
The effect of puddle megasonic cleaning for advanced photomask with subresolution assist features (SRAFs)
Ming-Chih Chen, Hsiang-Jen Yang, Chen-Rui Tseng
Sub-resolution assist features (SRAFs) damage-free cleaning by use of megasonic nozzle becomes main challenge in photomask industry. Using non-sulfate cleaning tool, the effect of key performance parameters of 1 MHz puddle megasonic nozzle such as megasonic power, and the gap between puddle nozzle and cleaned surface were investigated for opaque SRAFs sizes of 107 nm, 93 nm, 81nm, 71 nm, 63 nm, and 56 nm. Damage-free and high efficiency on particle removal cleaning for SRAFs size down to 71 nm for 38 nm technology node (MPU/ASIC 1/2 pitch, as outlined in ITRS 2010) has been demonstrated on advanced photomask with MoSi layer in this paper. Furthermore, conducting atomic force microscopy (CAFM) was employed to investigate the nanoscale surface electrical properties of chrome binary blanks cleaned by 1 MHz puddle megasonic nozzle. The results show that highly conducting regions on chromium oxide surfaces can be considered as "cavitation-rich and/or cavitation energy-strong" regions. And their sizes range from 15 to 100 nm, which are comparable to the sizes of SRAFs damage. Finally, in the future, CAFM may be a useful tool to inspect intrinsic defects on advanced photomasks at nanoscale, such as EUV blanks.
EUV Mask Defect Management
icon_mobile_dropdown
Integrated cleaning and handling automation of NXE3100 reticles
Rik Jonckheere, Tobias Waehler, Bart Baudemprez, et al.
This paper focuses on imec's activities to minimize particle contamination on reticles (front- and backside) for the latest EUVL scanner, i.e. the NXE3100. Mask cleaning is performed on the HamaTech MaskTrack Pro® (MTP). Although also front-side particles and other contamination are being tackled by cleaning, the prime purpose is the avoidance of back-side particles which would lead to unacceptable overlay performance of the scanner and hence create yield loss, as well as cause unscheduled scanner down situations for cleaning of the reticle clamp. In the absence of a soft pellicle, the present approach to minimize particle adders during handling is to load EUV reticles into the scanner via so-called dual pods. The inner pod as such acts as a removable hard pellicle. Through the installation of the HamaTech MaskTrack Pro InSync® tool, interfaced to the MaskTrack Pro Cleaner, automated handling of EUV reticles in such pods is enabled. This integrated solution for handling and storage is additionally being equipped with an integrated reticle back-side inspection capability.
Towards the optical inspection sensitivity optimization of EUV masks and EUVL-exposed wafers
Pending the availability of actinic inspection tools, optical inspection tools with 193 nm DUV illumination wavelength are currently used to inspect EUV masks and EUVL-exposed wafers. Due to strong optical absorption, DUV photons can penetrate only a few surface layers of EUV masks, making them sub-optimal for detecting hidden defects embedded within the sub-layers of the mask, the so-called phase defects. Although these phase defects may not be detected by optical inspection tools, they may print on the wafer. Conversely, false and nuisance defects which may not print on the wafer may be detected by optical inspection tools, and by so doing, degrade the inspection sensitivity of the tool to real and critical defects. This paper discusses approaches to optimizing the optical inspection sensitivity of EUV masks, with a view to overcoming some of the absorption limitations of the inspection wavelength and also with a view to enhancing the imaging contrast of the reflected light between the low reflective absorber/antireflection coating stack and the moderately reflective mirror surface of Mo/Si bilayers, capped with a thin Ru layer, and which serves to protect the mirror surface from damage and contamination during mask fabrication and wafer printing processes. The effects of mask absorber/ARC stack thickness on optical inspection contrast are simulated using rigorous coupled wave analysis (RCWA), and compared to experimental results. EUV masks with thin absorber/ARC stacks are observed to have higher inspection contrast, up to 15 % higher than their thicker counterparts, especially as the feature pitch gets smaller. Blank defect inspection performance of tools such as the Siemens DFX40 tool and KLA 617 Teron tool equipped with Phasur module are compared, and correlated with patterned mask inspection data generated from KLA 617 Teron tool. Patterned mask defect sensitivities to the tune of 40 nm and 90 nm were obtained on thin and thick absorber/ARC stacks, respectively. The defect location accuracy of the Teron 617 tool is better than 250 nm (3σ), while the alignment repeatability of the Teron 617 on the fiducials is better than 60 nm (3σ). Printability of mask blank and patterned mask defects on exposed wafers in terms of what and where the defects print, are also presented. Four masks with different absorber and antireflection coating thicknesses, some with substrate and absorber programmed defects of different types and sizes, were fabricated and used to expose resistcoated SiN substrate wafers on full field ASML EUV scanners.
EUV mask defects and their removal
EUV mask defectivity is one of the challenges of realizing EUV lithography. EUV mask defects are a combination of substrate, multilayer blank, and absorber patterning defects. Each defect on the substrate or blank may be able to print depending on different factors. Therefore, at every stage of EUV mask manufacturing, care must be taken to control defectivity. This paper reviews EUV mask defectivity during manufacturing and use. Principles involved in EUV defect detection and sizing are discussed. With EUV, examining defects in a two dimensional (2D) space where defect detection can be correlated with defect printability predictions is most useful. To determine the critical defect size on a multilayer, existing printability prediction modeling can be used. However to calculate defect size on a substrate, detailed information about the multilayer deposition process is needed. Defects < 2 nm deep with a full width half maximum (FWHM) < 20 nm on the substrate will be completely smoothed by the current multilayer deposition processes in use at SEMATECH. Defects > 2 nm deep with a FWHM < 20 nm after multilayer deposition become wider but their depth remains constant (0.6 nm) regardless of their width on the substrate. Cleaning-induced pits will contribute to both low thermal expansion material (LTEM) and Ru-capped multilayer blank defectivity. Particles added by the cleaning tool and processes are another key contributor to EUV mask, blank and substrate defectivity. Changes in EUV reflectivity due to multiple cleanings are likewise critical. Cleaning chemistries will also etch the absorber lines and antireflecting coatings (ARCs), which in turn will alter the mask critical dimensions (CDs). Finally, cleaning the mask may increase its surface roughness, which may change the line edge roughness (LER).
EUVL defect printability: an industry challenge
Hyuk Joo Kwon, Ranganath Teki, Jenah Harris-Jones, et al.
Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such major issue, as evidenced by the research focused on defect printability. Inspection tools are needed to detect phase defects on EUV mask blanks that could possibly print on the wafer. Currently available inspection tools can capture defects on the mask, but they also need to be able to classify possible printable defects. Defect classification for repair and mitigation of printable defects is very difficult using DUV inspection tools; however, if the actinic inspection tool (AIT) could gather defect information from more multilayer stacks, it may be able to separate printable defects from unprintable defects. If unprintable defects could be eliminated, the defect information could be used for mask pattern shifts to reduce printable defects. Fewer defects would need to be repaired if there were a better chance of capturing printable defects using an actinic inspection tool. Being able to detect printable defects on EUV blanks is therefore critical in mask making. In this paper, we describe the characterization of native phase defects in the manufacturing of EUV mask blanks using the state-of-the-art mask metrology equipment in SEMATECH's Mask Blank Development Center (MBDC). Commercially available quartz substrates were used and Mo/Si multilayers were deposited on the substrates to characterize phase defects. Programmed defects of various dimensions were also prepared using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECH's AIT was used to image defects and predict their printability. A defect library for native defects and printability of programmed phase defects is introduced. Finally technical challenges for EUV defect printability will be discussed.
Advanced metrology techniques for the characterization of EUV mask blank defects
Jenah Harris-Jones, Vibhu Jindal, C. C. Lin, et al.
Characterization of defects and their sources is essential for developing mitigation solutions to support the production of defect-free extreme ultraviolet (EUV) mask blanks. Enhancements to cleaning processes and the deposition tool are proving to decrease the defect density on mask blanks, and the resulting defect trends can be tracked to determine the effectiveness of these improvements. While standard defect characterization methods such as atomic force microscopy (AFM) and scanning electron microscopy (SEM) can provide useful information for large defects, sub-100 nm defects pose challenges to the current conventional metrology techniques. To address the study of these nanoscale defects, SEMATECH's Mask Blank Development Center (MBDC) houses advanced metrology capabilities that include Auger electron spectroscopy (AES) and high resolution transmission electron microscopy (TEM). Both techniques are providing enhanced compositional analysis capabilities for defect reduction efforts. TEM is proving to be a valuable technique for defect mitigation and is currently supporting many other projects including substrate smoothing activities, deposition simulation development, and defect printability studies. The rising issues with the metrology of increasingly small EUV mask blank defects will be outlined, and comprehensive characterization results using TEM and AES on EUV mask blank defects will be presented.