Proceedings Volume 8322

Extreme Ultraviolet (EUV) Lithography III

Patrick P. Naulleau, Obert R. Wood II
cover
Proceedings Volume 8322

Extreme Ultraviolet (EUV) Lithography III

Patrick P. Naulleau, Obert R. Wood II
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 26 April 2012
Contents: 19 Sessions, 102 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2012
Volume Number: 8322

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 8322
  • Invited Session I
  • EUV: Joint Session with Conference 8325
  • Mask Defects
  • EUV Sources
  • Metrology and Inspection for EUVL: Joint Session with Conference 8324
  • Mask Roughness and Cleaning
  • EUV Resist I
  • Mask/Extendability
  • Optics and Metrology
  • EUV Resist II
  • Invited Session II
  • EUV Integration
  • Poster Session: Mask
  • Poster Session: Resist
  • Poster Session: Source
  • Poster Session: System
  • Poster Session: Optics
  • Poster Session: Metrology
Front Matter: Volume 8322
icon_mobile_dropdown
Front Matter: Volume 8322
This PDF file contains the front matter associated with SPIE Proceedings Volume 8322, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.
Invited Session I
icon_mobile_dropdown
Progress in EUV lithography towards manufacturing from an exposure tool perspective
EUV lithography is a candidate for device manufacturing for the 16nm node and beyond. To prepare for insertion into manufacturing, the challenges of this new technology need to be addressed. Therefore, the ASML NXE:3100 preproduction tool was installed at imec replacing the ASML EUV Alpha Demo Tool (ADT). Since the technology has moved to a pre-production phase, EUV technology has to mature and it needs to meet the strong requirements of sub 16nm devices. We discuss the CD uniformity and overlay performance of the NXE:3100. We focus on EUV specific contributions to CD and overlay control, that were identified in earlier work on the ADT. The contributions to overlay originate from the use of vacuum technology and reflective optics inside the scanner, which are needed for EUV light transmission and throughput. Because the optical column is in vacuum, both wafer and reticle are held by electrostatic chucks instead of vacuum chucks and this can affect overlay. Because the reticle is reflective, any reticle (clamp) unflatness directly translates into a distortion error on wafer (non-telecentricity). For overlay, the wafer clamping performance is not only determined by the exposure chuck, but also by the wafer type that is used. We will show wafer clamping repeatability with different wafer types and discuss the thermal stability of the wafer during exposure.
Insertion strategy for EUV lithography
The first use of extreme ultraviolet (EUV) lithography in logic manufacturing is targeted for the 14 nm node, with possible earlier application to 20-nm node logic device back-end layers to demonstrate the technology. Use of EUV lithography to pattern the via-levels will allow the use of dark-field EUV masks with low pattern densities and will postpone the day when completely defect-free EUV mask blanks are needed. The quality of the imaging at the 14 nm node with EUV lithography is considerably higher than with double-dipole or double-exposure double-etch 193-nm immersion lithography, particularly for 2-dimensional patterns such as vias, because the Rayleigh k1-value when printing with 0.25 numerical aperture (NA) EUV lithography is so much higher than with 1.35 NA 193-nm immersion lithography and the process windows with EUV lithography are huge. In this paper, the status of EUV lithography technology as seen from an end-user perspective is summarized and the current values of the most important metrics for each of the critical elements of the technology are compared to the values needed for the insertion of EUVL into production at the 14 nm technology node.
Pattern collapse mitigation strategies for EUV lithography
Dario L. Goldfarb, Robert L. Bruce, James J. Bucchignano, et al.
In this study, a comprehensive approach towards assessing pattern collapse challenges and solutions for Extreme Ultraviolet Lithography (EUV) resists beyond the 14nm node is undertaken. The fundamental forces that drive pattern deformation are reassessed in order to propose a generalized design criterion for EUV photoresists and aqueous surfactanated rinses. Furthermore, ultimate pattern collapse solutions such as solvent drying utilizing pressurized fluids (supercritical CO2) are exemplified for sub-60nm pitch EUV patterning. In parallel, alternative EUV integration schemes that use a metal-based hardmask (MHM) are studied using a specifically tailored self-assembled monolayer (SAM) to prevent delamination-driven pattern collapse due to resist-hardmask interfacial adhesion failure. Finally, the marginal image transfer of 40nm pitched L/S of ultrathin EUV resist into a SiARC-underlayer stack appears to be gated by the EUV resist resolution limit and the reduced film thickness budget. An alternative method for achieving improved postetch line width roughness (LWR) with an ultrathin MHM-based integration scheme is herein demonstrated.
EUV: Joint Session with Conference 8325
icon_mobile_dropdown
Modeling and simulation of acid diffusion in chemically amplified resists with polymer-bound acid generator
The chemically amplified resist with a polymer-bound acid generator is a promising material for 16 nm node and beyond. However, its reaction mechanism is unknown. In this study, we propose a proton diffusion model for the chemically amplified resist with a polymer-bound acid generator. To examine the proton diffusion model, we carried out patterning experiments and simulation. The calculated latent images were compared with the measured line width and line edge roughness. The reaction mechanisms of the chemically amplified resist with a polymer-bound resist is discussed.
Contact edge roughness and CD uniformity in EUV: effect of photo acid generator and sensitizer
One of the main challenges for developing EUV resists is to satisfy sidewall roughness to allowable limit. With concern of this challenge, in this paper we study the effects of PAG and sensitizer concentration on the CD variation and roughness of contact holes in a EUV resist for a range of exposure doses by applying an advanced characterization methodology. It is found that the contact edge roughness(CER) parameters(RMS,ξ) merge when they are plotted versus the final CD value revealing the critical role of contact CD in the dependence of CER on PAG and sensitizer. This finding means that for specific target CD, different PAG and sensitizer concentrations modify only slightly contact edge roughness parameters. Power spectrum analysis reveals the importance of low frequency edge undulations in RMS dependence on CD. In addition, we found that CD Variation increase with sensitizer concentration.
Mask Defects
icon_mobile_dropdown
EUV mask multilayer defects and their printability under different multilayer deposition conditions
Hyuk Joo Kwon, Jenah Harris-Jones, Aaron Cordes, et al.
Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such major issue and inspection tools are needed to detect phase defects on EUV mask blanks that could possibly print on the wafer. Currently available inspection tools can capture defects on the mask, but they also need to be able to classify possible printable defects. Defect classification for repair and mitigation of printable defects is very difficult using deep ultraviolet (DUV) inspection tools; however, if the actinic inspection tool (AIT) could gather defect information from more multilayer (ML) stacks, it may be able to separate printable defects from unprintable defects. If unprintable defects could be eliminated, the defect information could be used for mask pattern shifts to reduce printable defects. Fewer defects would need to be repaired if there were a better chance of capturing printable defects using an actinic inspection tool. Being able to detect printable defects on EUV blanks is therefore critical in mask making. In this paper, we describe the characterization of programmed ML phase defects in the manufacturing of EUV mask blanks using the state-of-the-art mask metrology equipment in SEMATECH's Mask Blank Development Center (MBDC). Programmed defects of various dimensions were prepared using e-beam patterning technology and Mo/Si MLs were deposited with SEMATECH's best known method (BKM) and pit smoothing conditions on programmed defects to characterize ML phase defects. Atomic force microscopy (AFM) and transmission electron microscopy (TEM) were used to study ML profile changes, while SEMATECH's AIT was used to image ML phase defects and predict their printability. Multilayer defect reconstruction (MDR) was done using AFM images, which were then compared to TEM images. Defect printability simulation (DPS) was used for comparison to AIT through-focus images. 22 nm, 27 nm, and 32 nm line and space (L/S) absorber patterns were positioned on top of programmed ML phase defects and simulated defect printability. The ML phase defects are located at the edge of L/S patterns and at the center of space patterns and Bossung plot was used to separate printable defects from unprintable defects.
Printability study of pattern defects in the EUV mask as a function of hp nodes
Tae-Geun Kim, Hwan-Seok Seo, In-Yong Kang, et al.
Amplitude defects (or absorber defects), which are located in absorber patterns or multilayer surface, can be repaired during mask process while phase defects (or multilayer defects) cannot. Hence, inspection and handling of both defects should be separately progressed. Defect printability study of pattern defects is very essential since it provides criteria for mask inspection and repair. Printed defects on the wafer kill cells and reduce the device yield in wafer processing, and thus all the printable defects have to be inspected and repaired during the mask fabrication. In this study, pattern defect printability of the EUV mask as a function of hp nodes is verified by EUV exposure experiments. For 3x nm hp nodes, defect printability is evaluated by NXE3100. For 2x nm hp node, since resolution of a current EUV scanner is not enough, SEMATECH-Berkeley actinic inspection tool (AIT) as well as micro-field exposure tool (MET) in LBNL are utilized to verify it,. Furthermore those printability results are compared with EUV simulations. As a result, we define size of defects to be controlled in each device node.
Alternative smoothing techniques to mitigate EUV substrate defectivity
R. Teki, A. John Kadaksham, M. House, et al.
The majority of extreme ultraviolet (EUV) lithography mask blank defects originate from chemical mechanical polishing (CMP) of the substrate. The fact that CMP has not yet been able to yield EUV substrates with low defect counts highlights the challenges of polishing doped fused silica surfaces. Here we investigate alternative techniques based on processing either the substrate or coatings of amorphous silicon thin films and inorganic metal oxides. In particular, we evaluate a novel polymer-based non-abrasive a-Si CMP process, a photo-induced dry etching of substrate protrusions, a smoothing coat of spin-on or capillary coated Inpria metal oxide solution, CO2 laser polishing of the substrate surface, and annealing an a-Si thin film surface in reducing atmospheres. Although CMP still remains the best process with respect to overall process integration, these techniques have the potential to support CMP in solving the substrate defectivity issue and help pave the way to commercializing EUV mask blanks.
Closing the gap for EUV mask repair
The EUV-photomask is used as mirror and no longer as transmissive device. In order to yield defect-free reticles, repair capability is required for defects in the absorber and for defects in the mirror. Defects can propagate between the EUV mask layers, which makes the detection and the repair complex or impossible if conventional methods are used. In this paper we give an overview of the different defect types. We discuss the EUV repair requirements including SEMinvisible multilayer defects, and demonstrate e-beam repair performance. The repairs are qualified by SEM, AFM and through-focus wafer prints. Furthermore a new repair strategy involving in-situ AFM is introduced. Successful repair is demonstrated on real defects.
A next-generation EMF simulator for EUV lithography based on the pseudo-spectral time-domain method
The FDTD method has difficulty in modeling buried defects in multilayered EUV masks because of the limitations of grid snapping, large numerical-dispersion errors and rectangular cells that do not fit the non-planar shapes of buried defects easily. In this paper, a rigorous EMF simulator based on the pseudo-spectral time-domain (PSTD) method is discussed. The PSTD method is free from the limitations of FDTD and can model buried defects in EUV masks accurately. Detailed comparison with FDTD is given to demonstrate the accuracy, speed and memory efficiency of PSTD for the rigorous simulation of buried defects in EUV masks.
Analysis of EUV mask multilayer defect printing characteristics
Defects below and inside multilayers of EUV masks belong to the most critical concerns for the application of EUV lithography in manufacturing processes. These defects are difficult to inspect and to repair. Moreover, they may print at different focus positions. The paper employs fully rigorous electromagnetic field simulations to investigate the printing characteristics of such defects under various process conditions. Selected simulation results are compared to experimental data. Additional simulations demonstrate possible defect repair strategies.
EUV Sources
icon_mobile_dropdown
Development of laser-produced plasma-based EUV light source technology for HVM EUV lithography
Junichi Fujimoto, Tsukasa Hori, Tatsuya Yanagida, et al.
Since 2002, we have been developing a CO2-Sn-LPP EUV light source, which is the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUVL for its high efficiency, power scalability, and spatial freedom around plasma. We believe that the CO2-Sn-LPP scheme is the most feasible candidate for the EUV light source for HVM. We have several engineering data from our test tools, which include: the maximum of 3.8 % CE, the maximum of 2.5 mJ pulse energy, 93 % Sn ionization rate, 98 % Sn debris mitigation by a magnetic field, and 68 % CO2 laser energy absorption rate. Based on these data we are developing our first light source for HVM: "GL200E." The latest data and the overview of EUV light source for the HVM EUVL are reviewed in this paper. Part of this work was supported by the New Energy and Industrial Technology Development Organization (NEDO).
Wavelength dependence of prepulse laser beams on EUV emission from CO2 reheated Sn plasma
Extreme ultraviolet (EUV) emission from laser-produced plasmas (LPP) centered at 13.5 nm is considered a leading candidate for the light source in future lithography systems. Tin is currently the best material for generating this EUV emission since it emits strongly within the 13.5 nm region due to its various ionic states (Sn8+-Sn14+). Highly efficient and low-debris LPPs are a pre-requisite for their use as light sources for EUV lithography. Tin plasmas generate debris that can damage collection optics over time. Techniques to mitigate debris are needed to extend the lifetime of these components and the system. Optimization of plasma conditions is necessary for increasing EUV emission and enhancing conversion efficiency (CE). Improving the source CE is necessary in order to reduce the cost of ownership and hence, develop a commercially viable lithography system for the semiconductor industry. One method to accomplish this is to reheat pre-formed plasma with a laser pulse to enhance EUV emission. This enhancement is achieved by controlling those plasma conditions necessary for optimizing EUV emission. We investigated the role of prepulse laser wavelength on prepulse plume formation and EUV in-band signal enhancement. A 6 ns Nd:YAG laser operating at 1064 nm and 266 nm was used for generating the prepulse plume. The expanding plume was then reheated by a 35 ns CO2 laser operating at 10.6 μm. The role of prepulse wavelength and energy on EUV conversion efficiency is discussed.
Extreme ultraviolet and out-of-band radiation emission from a tin-droplet-based LPP source
Oran Morris, Andrea Z. Giovannini, Nadia Gambino, et al.
Extreme Ultraviolet Lithography (EUVL) is a leading candidate for the future development of smaller and faster microchips with feature sizes of 32 nm or less. Tin laser-produced plasmas (LPPs) are one of the most promising sources of in-band radiation for EUV lithography and inspection applications. However, ions emitted from these LPPs may cause significant damage to components, specifically the collector optics. Tin-droplet targets have the ability to supply the minimum mass required to generate the EUV radiation, leading to substantial decrease in the amount of generated debris. Absolute intensity measurements of the EUV radiation formed using a droplet target, have been recorded. Measurements were recorded over 2 pi steradian with respect to the plasma. The droplet generator, a fully in-house developed system, was synchronized with a Nd:YAG laser operating at a frequency of 5 kHz. The laser pulse was focused to a power density of approximately 1011 W/cm2 to maximize in-band emission. EUV measurements were recorded at the Intermediate Focus of the High Brightness Source of the Laboratory for Energy Conversion. To complement the EUV measurements, out-of-band measurements were simultaneously recorded with a calibrated spectrometer from 300 to 1000 nm.
Metrology and Inspection for EUVL: Joint Session with Conference 8324
icon_mobile_dropdown
Printability and inspectability of defects on EUV blank for 2xnm hp HVM application
Sungmin Huh, In-Yong Kang, Chang Young Jeong, et al.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. Recently both blank suppliers achieved 1-digit number of defects at 60nm in size using their M1350s. In this paper, a full field EUV mask with Teron 61X blank inspection is fabricated to see the printability of various defects on the blank using NXE 3100. Minimum printable blank defect size is 23nm in SEVD using real blank defect. Current defect level on blank with Teron 61X Phasur has been up to 70 in 132 X 132mm2. More defect reduction as well as advanced blank inspection tools to capture all printable defects should be prepared for HVM. 3.6X reduction of blank defects per year is required to achieve the requirement of HVM in the application of memory device with EUVL. Furthermore, blank defect mitigation and compensational repair techniques during mask process needs to be developed to achieve printable defect free on the wafer.
Closing the infrastructure gap: status of the AIMS EUV project
Dirk Hellweg, Markus Weiss, Sascha Perlitz, et al.
The EUV mask infrastructure is of key importance for a successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. With such a review it can be decided if a defect needs to be repaired or compensated. It also serves as verification whether the respective absorber or compensational repair with e.g. the MeRiT® tool has been successful, i.e. it closes the control loop in mask repair. To realize such an actinic review tool, Carl Zeiss and the SEMATECH EUVL Mask Infrastructure consortium started a development programme for an EUV aerial image metrology system (AIMS™ EUV). In this paper, we discuss the application of the AIMS™ EUV in the compensational repair process of multilayer and blank defects and present the status of the AIMS™ EUV project.
Quantification of shot noise contributions to contact hole local CD nonuniformity
As feature sizes continue to shrink, the discrete nature of light and matter is becoming a significant contributor for the variations observed in lithography in general and for EUVL in particular. Owing to the 15x higher energy of EUV compared to ArF photons and similar, if not lower, exposure doses, the number of photons per unit area in EUV is significantly reduced. If the number of photons per contact hole is considered, the situation is even more dramatic, as the target area of a contact is smaller for EUVL than for ArF patterning. The latter argument, however, is less of a concern in the case where the contact hole is fabricated by a negative tone rather than a positive tone process. Since photon shot noise scales with 1/√(#photons), shot noise statistics would favor a brightfield negative tone over a darkfield positive tone process. Indeed, stochastic simulations predict an increase in the number of photons used to delineate a 22nm contact hole structure when printed in EUV using a negative tone instead of a positive tone process. In this paper, we will quantitatively investigate the stochastic nature of the discreet steps in the lithography process and compare the local CDU performance of contact holes for both negative and positive tone processes.
Mask Roughness and Cleaning
icon_mobile_dropdown
Impact of EUV mask surface roughness on LER
Extreme UV lithography or EUVL is still the primary candidate to allow scaling below the 22 nm technological node. Three major engineering challenges need to be simultaneously solved for a smooth introduction of EUVL into high volume manufacturing: source power and reliability, mask readiness, and photoresist performance. For the EUV reticle infrastructure, most of the emphasis to date has been put on obtaining and maintaining a low number of mask defects. However, the reticle flatness requirements for EUV masks are also very stringent. Recent theoretical studies have indicated that multilayer roughness higher than 50 pm causes line edge roughness. In this paper we engineered an EUV mask having a systematic surface roughness aggravation. We exposed this mask on the IMEC ASML NXE:3100, equipped with an USHIO/XTREME discharge-produced plasma (DPP) source. Herein, we present the experimental results illustrating the impact of mask surface roughness on 27 nm half-pitch lines/spaces. No evidence of aggravated line edge roughness was found on the wafer when the mask surface roughness was lower than 500 pm.
EUV mask line edge roughness
Extreme ultraviolet (EUV) mask fabrication faces many unique challenges, including more stringent line edge roughness (LER) requirements. EUV mask absorber LER will need to be reduced to reliably meet the 2013 International Roadmap for Semiconductors line width roughness target of 3.3 nm. This paper will focus on evaluating resists modified and deployed specifically to reduce LER on EUV masks. Masks will be built, and the final mask absorber LER reported considering multiple imaging and analysis techniques. An assessment of best methods for mask LER analysis will be provided and used to judge resist performance.
Using the transport of intensity equation to predict mask-induced speckle through focus
Brittany M. McClinton, Patrick P. Naulleau
The shrinking critical dimensions in the semiconductor industry carries with it simultaneous shrinking requirements on line-edge roughness (LER). With the employment of extreme ultraviolet lithography (EUVL), a significant new contributor to LER is apparent in the form of mask roughness induced LER. Several simplified models have been developed for the prediction of mask roughness induced LER. Currently, these models use 2D aerial image thin-mask modeling through focus to obtain the clearfield speckle pattern (dependent on mask surface roughness and illumination), and combine that with fast 1D aerial image modeling of the image-log-slope (ILS) (dependent on feature type and illumination) to predict the overall mask roughness induced LER. We propose a further simplification and speed enhancement by employing the transport of intensity equation to predict how the speckle pattern will evolve through focus starting from the single 2D aerial image of the speckle at the image-plane.
A multistep approach for reticle cleaning
The introduction of EUV Lithography for the next node has two major obstacles at the moment; the first is source power and reliability and the second is defect free reticles and damage free cleaning of reticles. We present our results on our investigation for damage free cleaning of EUV reticles with remote plasma cleaning for molecular (carbon) contamination and nanobubbles for particle removal. We believe that a multi step approach is necessary for cleaning of reticles as a single cleaning step will not be sufficient for the efficient removal of molecular as well as particle contamination. Remote plasma seems to be the favorable technique for carbon cleaning and repeated cleaning up to 85 nm of carbon removal shows no degradation of the reticle material.
Investigation of EUV haze defect: molecular behaviors of mask cleaning chemicals on EUV mask surfaces
Jaehyuck Choi, Steve Novak, Yudhishthir Kandel, et al.
Photo-induced defects (or haze defects) on 193nm optic masks (haze defects) have been a serious problem not only to reticle engineers working for mask manufacturing and handling but also to photo-lithography engineers. The most widely accepted explanation of the root causes of haze defects is the cleaning chemical residues remaining on the mask surface and unavoidable outgassed molecules that outgas from pellicle materials when exposed to 193nm radiation. These have been significant challenges for reticle cleaning engineers who need to use cleaning chemicals whose residues do not lead to progressive defect formation on the mask and to find improved materials to minimize pellicle outgassing. It is assumed that contamination generation on EUV masks would have a higher probability than on optic masks, primarily since EUV masks are not protected by a pellicle and amorphous carbon films can accumulate during exposure to EUV light. While there is potential to mitigate the generation of carbon contamination by improving the exposure tool environment and removing carbon films using in-situ atomic hydrogen cleaning, it is not yet clear whether the reaction of mask cleaning chemicals to EUV radiation will lead to creation of progressive defects on EUV mask surfaces. With the work to being done it has been observed that carbon contamination on EUV masks dominates any effects of solvent chemicals under normal environmental or exposure conditions (from atmospheric pressure up to a vacuum level of 10-6 Torr) during EUV exposure. However, it is still unknown whether residual cleaning chemicals will provide a nucleus for progressive defect formation during exposure. This lack of understanding needs to be addressed by the industry as EUV masks are expected to undergo more frequent cleaning cycles. In this work, we will report on an investigation of the molecular behavior of cleaning chemicals on EUV mask surfaces during EUV exposure. Movement (e.g., migration or aggregation) of cleaning chemical molecules near EUV exposure spots on the top surface and beneath the mask will be examined under high vacuum (~10-8 Torr). We will also investigate whether EUV exposure can trigger the evaporation of cleaning chemical residues from the EUV mask surface, possibly contaminating the exposure environment. Better understanding of the influences of the mask cleaning chemicals during exposure, coupled with knowledge about mask tolerance and patterning performance affected by the cleaning chemicals, should enable the proper selection of mask cleaning processes and chemicals to meet EUV requirements.
EUV Resist I
icon_mobile_dropdown
High sensitivity chemically amplified EUV resists through enhanced EUV absorption
Owendi Ongayi, Matthew Christianson, Matthew Meyer, et al.
Resolution, line edge roughness, sensitivity and low outgassing are the key focus points for extreme ultraviolet (EUV) resist materials. Sensitivity has become increasingly important so as to address throughput concerns in device manufacturing and compensate for the low power of EUV sources. Recent studies have shown that increasing the polymer linear absorption absorption coefficient in EUV resists translates to higher acid generation efficiency and good pattern formation. In this study, novel high absorbing polymer platforms are evaluated. The contributing effect of the novel absorbing chromophore to the resultant chemically amplified photoresist is evaluated and compared with a standard methacrylate PAG Bound Polymer (PBP) platform. We report that by increasing EUV absorption, we cleanly resolved 17 nm 1:1 line space can be achieved at a sensitivity of 14.5 mJ/cm2, which is consistent with dose requirements dictated by the ITRS roadmap. We also probe the effect of fluorinated small molecule additives on acid yield generation (Dil C) at EUV of a PBP platform.
A new inorganic EUV resist with high-etch resistance
Markos Trikeriotis, Marie Krysak, Yeon Sook Chung, et al.
Performance requirements for EUV resists will necessitate the development of entirely new resist platforms. As outlined in the ITRS, the new resists for EUVL must show high etch resistance (to enable pattern transfer using thinner films), improved LER and high sensitivity. A challenge in designing these new resists is the selection of molecular structures that will demonstrate superior characteristics in imaging and etch performance while maintaining minimal absorbance at EUV wavelengths. We have previously described the use of inorganic photoresists in 193 nm and e-beam lithography. These inorganic photoresists are made of HfO2 nanoparticles and have shown etch resistance that is 25 times higher than polymer resists. The high etch resistance of these materials allow the processing of very thin films (< 40 nm) and will push the resolution limits below 20 nm without pattern collapse. Additionally, the small size of the nanoparticles (< 5 nm) leads to low LER while the absorbance at EUV wavelengths is low. In this presentation we show that these inorganic resists can be applied to EUV lithography. We have successfully achieved high resolution patterning (<30 nm) with very high sensitivity and low LER.
Tightly bound ligands for hafnium nanoparticle EUV resists
Brian Cardineau, Marie Krysak, Markos Trikeriotis, et al.
Relative ligand binding energies were determined for a series of common ligand types with hafnium oxide nanoparticles, and from these results a series of novel strong binding ligands were developed. The relative equilibrium concentrations of two competing ligands bound to the nanoparticles were measured using nuclear magnetic resonance spectroscopy (NMR). For each ligand type, equilibrium constants and relative binding energies were then calculated and compared. Methane sulfonic acid was found to have the strongest binding energy, 2.0 Kcal/mol stronger than acetic acid. A group of three sulfonate ligands capable of freeradical crosslinking were made, along with three sulfonate ligands capable of creating aqueous developable nanoparticles. One of these ligands resulted in insoluble nanoparticles, however, the other two ligands resulted in nanoparticles that coated well on a silicon substrate and had dissolution rates greater than 100 nm per second.
Evaluation of resist performance with EUV interference lithography for sub-22-nm patterning
Yasin Ekinci, Michaela Vockenhuber, Bernd Terhalle, et al.
The performance of EUV resists is a key factor for the cost-effective introduction of EUV lithography. Although most of the global effort concentrates on resist performance at 22 nm half-pitch, it is crucial for the future of EUVL to show its extendibility towards further technology nodes. In the last years, the EUV interference lithography tool at Paul Scherrer Institute, with its high-resolution and well-defined areal image, has been successfully employed for resist performance testing. In this paper, we present performance (dose, CD, LER) of a chemically-amplified resist for a range of 16 nm to 30 nm HP. Cross-sectional SEM images of the patterns are presented providing valuable insight into the resist's performance and failure mode. The reproducibility of our experiments are presented by repeating the same exposures with constant process conditions over the course of several months, demonstrating the excellent stability of the tool as well as the long shelf-life of our baseline resist. In addition, a comparative study of performance (dose, CD, LER) of different inorganic resists is provided. Patterns of 16 nm and 10 nm HPs are demonstrated with an EUV CAR and inorganic resists, respectively. Moreover, initial results of patterning with 6.5 nm wavelength are presented.
Effects of out-of-band radiation on EUV resist performance
Koji Inukai, Shalini Sharma, Hiroki Nakagawa, et al.
Extreme ultraviolet (EUV) lithography high volume manufacturing tools are expected to use laser produced plasma sources to generate EUV radiation necessary for resist exposure. EUV light from laser sources emit light over a wide spectral range or popularly known as out-of-band (OOB) radiation along with the desired wavelength. EUV resists are sensitive to both EUV and OOB radiation because a fair amount of the EUV photoresists are based on materials designed for 193 nm and 248 nm. Some of the detrimental effects of OOB radiation within the lithography process can be seen in the form of photoresist film thickness loss, which in turn results in profile degradation. Therefore development of EUV resists which are insensitive to OOB radiation is very important. We investigated EUV resist patterning performance and the effect of OOB radiation specifically in the DUV (193 nm and 248 nm) wavelength range. Resist materials with various DUV absorbance were prepared, and less OOB sensitive materials were found. Moreover, in this study effective top-coat type material for OOB reduction was developed and its effectiveness was confirmed by EUV exposure results.
Out-of-band insensitive polymer-bound PAG for EUV resist
Jun Iwashita, Taku Hirayama, Kensuke Matsuzawa, et al.
Out of band (OoB) radiation has been regarded as one of the key issues on Extreme Ultra Violet Lithography (EUVL). OoB light especially in the deep ultraviolet (DUV) region have a negative impact on image contrast and resist profile, since general photo acid generator (PAG) used in chemically amplified EUV resist are also sensitive for DUV. It is reported that a Spectral Purify Filter (SPF) would eliminate OoB radiation. However it expense a large reduction in EUV power and hence throughput, so it is reported that HVM EUV exposure tool would not employ SPF. Therefore, both EUV sensitive and DUV insensitive are required property to overcome OoB radiation issue by resist material itself. Consideration of PAG cation structure was proceeded to control absorption for DUV. Based on the concept, OoB insensitivity was investigated both on blend resist platform and Polymer Bound PAG (PBP) platform. OoB insensitive concept was confirmed with UV spectrum and sensitivity for KrF and ArF. The OoB insensitive PAG cation worked well on PBP, while dark loss are seen on blend resist platform due to lack of inhibition effect. Lithographic performance would be exhibited using Alpha Demo Tool (ADT) and NXE3100. Outgassing property on witness sample (WS) and Residual Gas Analysis (RGA ) will be also discussed.
Mask/Extendability
icon_mobile_dropdown
EUVL multilayer mask blank defect mitigation for defect-free EUVL mask fabrication
Pei-Yang Yan, Yan Liu, Marilyn Kamna, et al.
For Extreme Ultra-violet Lithography (EUVL) targeting at 11nm and beyond design rules, the minimum printable EUVL multilayer (ML) mask defect size can be as small as 20-25nm. As a result, the defect-free EUVL ML mask blank fabrication remains the top challenge for EUVL mask. Aspects of this challenge include high quality blank substrate material (low thermal expansion material) fabrication, substrate polishing, substrate cleaning, blank handling, ML deposition, and high sensitivity substrate and blank defect inspection. High investment cost and potential low blank yield due to stringent defect-free requirement can quickly drive up EUVL cost of ownership. It is anticipated, however, the EUVL ML blank yield can be drastically improved if we can allow a few defects on a ML blank. Utilizing such a "defective" grade mask blank to fabricate a defect-free EUVL mask requires several defect mitigation schemes during mask patterning processes. These schemes include modifying mask absorber pattern via repair tool to compensate the effect of an adjacent ML defect and using absorber pattern to cover the ML defects. In this paper, we focused on the study and demonstration of using device pattern to cover limited number of blank defects. The steps of this defect mitigation process include blank fiducial mark patterning, defect location relative to fiducial mark precision measurement, automated pattern shift solution simulation for a given ML defect map, and precision alignment of the device pattern to the blank defects during e-beam write. With these steps, we have successfully demonstrated the coverage of several targeted ML blank defects simultaneously via global device pattern shift.
Analytical treatment of the deformation behavior of EUVL masks during electrostatic chucking
Gerd Brandstetter, Sanjay Govindjee
A new analytical approach is presented to predict mask deformation during electro-static chucking in next generation extreme-ultraviolet-lithography (EUVL). Given an arbitrary profile measurement of the mask and chuck non-flatness, this method has been developed as an alternative to time-consuming finite element simulations for overlay error correction algorithms. We consider the feature transfer of each harmonic component in the profile shapes via linear elasticity theory and demonstrate analytically how high spatial frequencies are filtered. The method is compared to presumably more accurate finite element simulations and has been tested successfully in an overlay error compensation experiment, where the residual error y-component could be reduced by a factor 2. As a side outcome, the formulation provides a tool to estimate the critical pin-size and -pitch such that the distortion on the mask front-side remains within given tolerances. We find for a numerical example that pin-pitches of less than 5 mm will result in a mask pattern-distortion of less than 1 nm if the chucking pressure is below 30 kPa.
Effect of radiation on the defectivity and stability of Ru-capped MoSi multilayer blanks
During their usage and fabrication, EUV masks are exposed to light radiation from λ=13.5 nm up to infrared wavelengths. During EUV exposure, masks are not only exposed to 13.5 nm radiation but also to out-of-band radiation which expands from λ=140 to 600 nm for a long period of time. The mask surface is also exposed to different chemicals during cleaning processes, depending on the usage of the mask. During its effective life, an EUV mask should undergo many cycles of cleaning and radiation. Consequently, the Ru surface is modified by photon energy (wavelength) as well as number of photons (intensity and energy). This modified Ru surface will react with chemicals in different ways. Exposure to 172 nm light followed by Ammonium Hydroxide/ Hydrogen peroxide/ water mixture (APM) will result in 0.5% loss of EUV light while 172nm light exposure followed by Sulfuric acid /Hydrogen peroxide mixture (SPM) will reduce EUV reflectivity by 3%. Higher radiation energy on the order of 200 Joules will damage the Ru surface and cause increased defectivity at the mask surface. In addition, higher radiation energies will result in thermal effects such as formation of Ru silicide and Mo silicide. Ru oxidation valence also depends on the radiation power and radiation wavelength. In the absence of radiation or low energy radiation, RuO3 is preferred oxidation state but RuO is preferred in the higher radiation energies. Comparison between 532 nm and 1064 nm radiation showed that RuO2 is the preferred oxidation state at a wavelength of 532 nm, despite much lower radiation power.
The SEMATECH Berkeley MET: demonstration of 15-nm half-pitch in chemically amplified EUV resist and sensitivity of EUV resists at 6.x-nm
Chris Anderson, Dominic Ashworth, Lorie Mae Baclea-An, et al.
EUV exposures at the SEMATECH Berkeley Microfield Exposure Tool have demonstrated patterning down to 15 nm half pitch in a chemically amplified resist at a dose of 30 mJ/cm2. In addition, the sensitivity of two organic chemically amplified EUV resists has been measured at 6.7 nm and 13.5 nm and the sensitivity at 6.7 nm is shown to be a factor of 6 lower than the sensitivity at 13.5 nm. The reduction of the sensitivity of each resist at 6.7 nm relative to the sensitivity at 13.5 is shown to be correlated to a reduction of the mass attenuation coefficients of the elements involved with photoabsorption.
Wavelength selection for multilayer coatings for the lithography generation beyond EUVL
Igor A. Makhotkin, Erwin Zoethout, Eric Louis, et al.
The spectral properties of LaN/B and LaN/B4C multilayer mirrors have been investigated in the 6.5-6.9 nm wavelength range, based on measured B and B4C optical constants. We show that the wavelength of optimal single mirror reflectance for boron based optics is between 6.63 and 6.65 nm, depending on the boron chemical state. The wavelength of the maximum reflectance of the LaN/B4C multilayer system is confirmed experimentally. Calculations of the wavelengthintegrated reflectance for ideal 10-multilayer-mirror stacks show that a B-based optical column can be optimized for a wavelength larger than 6.65 nm.
A 6.7-nm beyond EUV source as a future lithography source
Takamitsu Otsuka, Bowen Li, Colm O'Gorman, et al.
We demonstrate an efficient extreme ultraviolet (EUV) source for operation at λ = 6.7 nm by optimizing the optical thickness of gadolinium (Gd) plasmas. Using low initial density Gd targets and dual laser pulse irradiation, we observed a maximum EUV conversion efficiency (CE) of 0.54% for 0.6% bandwidth (BW) (1.8% for 2%BW), which is 1.6 times larger than the 0.33% (0.6%BW) CE produced from a solid density target. Enhancement of the EUV CE by use of a low-density plasma is attributed to the reduction of self-absorption effects.
Optics and Metrology
icon_mobile_dropdown
Development of EUV lithography tool technologies at Nikon
Katsuhiko Murakami, Tetsuya Oshino, Hiroyuki Kondo, et al.
6-mirror-system EUV projection optics design with NA of 0.4 plus was improved and the residual wavefront error was much reduced. Apodization is an issue for such high-NA EUV projection optics. Broad-band multilayer mirror can solve the problem. Broad-band multilayer mirrors were developed. Measured reflectivity performance of these multilayers was in good agreement with the designed performance. We have decided the measures to control contaminations of optics in HVM EUV exposure tools.
Low CoO grazing incidence collectors for EUVL HVM
G. Bianucci, G. L. Cassol, N. M. Ceglio, et al.
Media Lario Technologies (MLT) uses its proprietary replication by electroforming technology to manufacture grazing incidence collectors in support of the EUVL technology roadmap. With the experience of more than 20 alpha and preproduction collectors installed to date, and with the development results of the Advanced Cooling Architecture (ACA) for High Volume Manufacturing (HVM) collector generation, we present optical, lifetime, and thermo-optical performance of the grazing incidence collectors, meeting the requirements of HVM scanners for a throughput target of more than 100 wafers per hour. The ruthenium reflective layer of the grazing incidence collector is very forgiving to the hostile environment of the plasma sources, as proven by the installed base with 1-year lifetime expectancy. On the contrary, the multilayer-based collector is vulnerable to Sn deposition and ion bombardment, and the need to mitigate this issue has led to a steady increase of the complexity of the LPP source architecture. With the awareness that the source and collector module is the major risk against the timely adoption of EUVL in HVM, we propose a new paradigm that, by using the field-proven design simplicity and robustness of the grazing incidence collector in both LDP and LPP sources, effectively reduces the risk of both source architectures and improves their reliability.
Optical performance of LPP multilayer collector mirrors
Torsten Feigl, Marco Perske, Hagen Pauer, et al.
The usable power and the collector optics lifetime of high-power extreme ultraviolet light sources at 13.5 nm are considered as the major challenges in the transitioning of EUV lithography from the current pre-production phase to high volume manufacturing. We give a detailed performance summary of the large ellipsoidal multilayer collector mirrors used in Cymer's laser-produced plasma extreme ultraviolet light sources. In this paper we present the optical performance - reflectance and wavelength - of the multilayer-coated ellipsoidal collectors as well as a novel approach for the roughness characterization of large EUV mirror optics based on light scattering measurements at 442 nm. We also describe the optical performance and characteristics during operation of the light source and the substantial increase of collector lifetime by the implementation of new coating designs.
Aerial image monitor for wavefront metrology of high-resolution EUV lithography tools
Ryan Miyakawa, Patrick Naulleau
In this paper, we present an aerial image monitor suitable for use in high-NA EUV lithography tools, and discuss an application in an in-situ image-based reconstruction of the optical system aberrations. The working principle of the aerial image monitor relies on a scanning aperture that employs a binary, 2-dimensional uniformly redundant array (URA), which simultaneously provides high flux throughput and high spatial frequency bandwidth. Aerial images are captured through focus, and are fed into a computer algorithm that matches the measured images to a computer model with a trial set of pupil aberrations. The aberrations are then modified until the modeled images match the ones from the experiment. The Reduced Optical Coherent Sum (ROCS) decomposition for partially coherent aerial image calculation greatly reduces the computation time of each iteration which makes this method more computationally tractable.
Subaperture phase reconstruction from a Hartmann wavefront sensor by phase retrieval method for application in EUV adaptive optics
A. Polo, N. van Marrewijk, S. F. Pereira, et al.
A Hartmann Wavefront Sensor (HWS) is used as a tool to measure phase aberration at the EUV wavelength. Nevertheless, a conventional HWS measures only the wavefront slope in each sub-aperture and is not able to measure the phase structure inside it. This leads to an accuracy loss in the aberration reconstruction. In this work a phase retrieval algorithm is applied to the intensity pattern data in order to reconstruct the phase feature inside the sub-aperture and hence improve the accuracy. Experimental data confirms our simulations making this technique feasible regarding both the achieved accuracy and computational time. The phase information can be used to develop an adaptive optics system dedicated to a EUV stepper.
EUV Resist II
icon_mobile_dropdown
Comparison study for 3x-nm contact hole CD uniformity between EUV lithography and ArF immersion double patterning
Keundo Ban, Junggun Heo, Hyunkyung Shim, et al.
In order to continue scaling down the feature sizes of the devices, EUV lithography is regarded as the most powerful candidate for patterning. So It has being studied to overcome the several issues such as source power for high throughput to apply volume production, mask defectivity from mask blank, RLS (Resolution, LWR & Sensitivity) trade off, which is the intrinsic property of EUV resist, and so on. For 2x nm node DRAM, dense contact hole, which has 3x nm half pitch (hp), has been issued to be made so far. There are two well-known methods for pattering; hole double patterning with ArF immersion lithography and single patterning with EUV lithography. EUV is more simple solution than hole double patterning for 3xnm hp dense contact hole, if it has large process window and comparable CD uniformity. Fortunately, EUV process already has larger process window than that of ArF immersion because its k1 value is a little bit high. But CD (critical dimension) uniformity and pattern profile were very poor in our initial result. Therefore it needs a lot of efforts to improve and compete against double patterning. The double patterning performance for 3xnm hp contact hole has been shown last year. In this paper, we will investigate on improving CD uniformity and pattern profile for 3x nm hp contact hole with several methods. Finally, the performance of EUV, which is achieved by our experiments, is being compared with that of double patterning in terms of CD uniformity and pattern profile.
Key parameters of EUV resists for contact hole applications
Kyoungyong Cho, Hiroki Nakagawa, Ken Maruyama, et al.
Patterning contact hole (CH) features with good critical dimension uniformity (CDU) is one of the most critical challenges for 10nm node lithography and beyond. Extreme ultraviolet lithography (EUVL) is considered a potential candidate because of its better aerial imaging and larger k1 factor than ArF immersion. To apply EUV lithography to high volume manufacturing, EUV resists must overcome both the trade-off among resolution (R), local CD uniformity (LCDU), and sensitivity (S) at CH features and the RLS trade-off at line/space (LS) features. We evaluated various resist materials for CH patterning applications using the microexposure tools (METs) at SEMATECH in Albany, NY, and at Lawrence Berkeley National Laboratory. In this study, we report the correlation between the lithographic performance of EUV resist at CH features and physical properties of chemically amplified resists (CARs) such as their dissolution behavior, the activation energy level of the protective group, and the acidity/acid diffusion length of the photoacid generator (PAG).
Modeling the effects of acid amplifiers on photoresist stochastics
Gregg M. Gallatin, Patrick P. Naulleau, Robert L. Brainard
The tradeo between Resolution, Line Edge Roughness (LER) and Sensitivity, the so called RLS tradeo, continues to be a dicult challenge, especially for EUV lithography. Acid ampliers have recently been proposed as a method to improve upon the overall RLS performance of EUV resists. Here we discuss a simulation approach to study the issue. The model extends the standard reaction diusion equation to explicitly capture the stochastic behavior of exposure, photo-acid generation and acid amplication. Using this model the impact acid ampliers have on the RLS tradeo is studied under a variety of resist conditions.
Calibration and verification of a stochastic model for EUV resist
Line width roughness remains a critical issue when moving towards smaller feature sizes in EUV lithography. We present a stochastic resist modeling approach to accurately predict LWR and CD simultaneously. The stochastic model simulates the roughness effects due to the shot noise and secondary electron effects during exposure, and the interaction amongst the finite number of chemical molecules (inhibitor, PAG, quencher) during PEB. The model calibration used the imec baseline EUV resist (Shinetsu SEVR140) with over 250 measured CDs and corresponding line width roughness data. The validation was performed with 1D and 2D patterns. Especially for contact holes the predictability regarding local CD uniformity is discussed. The good match between the simulations and wafer results for SRAM patterns further exhibits the predictive power of the model. The model has been applied to simulate the new ASML NXE: 3100 EUV conditions for both thin and thick absorber EUV masks. The comparison between the simulation results and wafer data are reported.
Resist outgassing characterization for qualification in high power EUV lithography
Toshiya Takahashi, Norihiko Sugie, Kazuhiro Katayama, et al.
For high volume manufacturing (HVM) utilizing extreme ultraviolet (EUV) lithography, practical resist outgassing qualification system is required. Witness sample (WS) testing systems using electron beam (EB) or low power EUV light have been proposed as candidates, however some issues remain on how these alternative light sources, in comparison to high power EUV, will affect resist chemical reactions and ultimately resist outgassing. In this paper, we have investigated resist induced optics contamination by utilizing two types of WS test systems of high power EUV light and EB sources. A correlation between these light sources is discussed, especially focusing on the resulting chemical phenomena depending on resist material properties.
Invited Session II
icon_mobile_dropdown
From performance validation to volume introduction of ASML's NXE platform
ASML's NXE platform is a multi-generation TWINSCAN™ platform using an exposure wavelength of 13.5nm, featuring a plasma source, all-reflective optics, and dual stages operating in vacuum. The NXE:3100 is the first product of this NXE platform. With a 0.25 NA projection optics, a planned throughput of 60 wafers/hr and dedicated chuck overlay of 4 nm, the NXE:3100 is targeted for extreme ultraviolet lithography (EUVL) implementation at 27nm halfpitch (hp) and below. The next generation NXE tools utilize a 0.33NA lens and include off-axis illumination for high volume manufacturing at a resolution down to 16nm hp and a targeted throughput of >100 wafers/hr. We share details of the performance of the 0.25NA lithography products in terms of imaging, overlay, throughput, and defectivity. We will show that we have met the required imaging performance associated with the 27nm hp node. We will also include a summary of the EUV source development, which is a key enabler for cost-effective introduction of EUVL into highvolume manufacturing. Finally, we will highlight some of the technical changes we introduced to enable the transition from 27 to 22nm lithographic performance while introducing our 0.33NA Step & Scan system, the NXE:3300B.
Laser produced plasma EUV sources for device development and HVM
Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100's of hours.
EUV resist performance: current assessment for sub-22-nm half-pitch patterning on NXE:3300
T. Wallow, D. Civay, S. Wang, et al.
The major challenge for EUV resists at 22 nm half-pitch and below continues to be simultaneously achieving resolution, sensitivity, and line-width roughness (LWR) targets. An ongoing micro-exposure tool (MET) based evaluation of leading resists throughout 2011 shows that incremental progress toward meeting requirements continues apace, with best-of-breed candidates now capable of limiting 19 nm half-pitch resolution at sensitivities near or below 20 mJ/cm2 and LWR below 4 nm 3σ through process window. Evaluation of a selection of leading resists using an ASML NXE:3100 2nd generation full-field exposure tool demonstrates key performance improvements vs. the previous process-of- record (POR) setup resist including enhanced process window at 22 nm half-pitch and better contact hole uniformity. Champion limiting resolution performance for chemically amplified resists at a relaxed sensitivity specification has advanced to 16 nm half-pitch for both MET and full-field exposures.
EUV Integration
icon_mobile_dropdown
Model calibration and slit position effect on full-mask process and proximity correction for extreme ultraviolet lithography
Hsu-Ting Huang, Huixiong Dai, Ali Mokhberi, et al.
Extreme ultra-violet (EUV) lithography is a promising solution for semiconductor manufacturing for the 1Xnm node and beyond. Due to the mask shadowing effect and strong flare, process and proximity correction (PPC) is required for EUV lithography even though the k1 factor is much larger than that in current 193nm immersion lithography. In this paper, we will report a procedure of model calibration and full-mask PPC flow for EUV lithography. To calibrate the EUV model, identical test structures are placed at various locations on the mask across the slit direction. Slit position effect, including mask shadowing effect, will be investigated at different locations. The wafer is patterned with a 0.25 NA EUV scanner and measured with CD-SEM for process evaluation and PPC model calibration. The EUV model is verified by wafer measurements. A PPC flow with mask shadowing effect compensation and model-based flare compensation is introduced to perform full-mask correction for the BEOL flow at 30nm HP L/S for the 16nm technology node. The slit position effect on PPC is investigated through post-PPC verification.
Model calibration and validation for pre-production EUVL
Gian F. Lorusso, Jeroen Van de Kerkhove, Peter De Bisschop, et al.
As Extreme Ultraviolet Lithography (EUVL) enters the pre-production phase, the need to qualify the Electronic Design Automation (EDA) infrastructure is pressing. In fact, it is clear that EUV will require optical proximity correction (OPC), having its introduction shifted to more advanced technology nodes. The introduction of off-axis illumination will enlarge the optical proximity effects, and EUV-specific effects such as flare and shadowing have to be fully integrated in the correction flow and tested. We have performed a model calibration exercise on the ASML NXE:3100 pre-production EUVL scanner using Brion's Tachyon NXE EUV system. A model calibration mask has been designed, manufactured and characterized. The mask has different flare levels, as well as model calibration structures through CDs and pitch. The flare modulation through the mask is obtained by varying tiling densities. The generation of full-chip flare maps has been qualified against experimental results. The model was set up and calibrated on an intermediate flare level, and validated in the full flare range. Wafer data have been collected and were used as input for model calibration and validation. Two-dimensional structures through CD and pitch were used for model calibration and verification. We discuss in detail the EUV model, and analyze its various components, with particular emphasis to EUV-specific phenomena such as flare and shadowing.
EUV OPC for the 20-nm node and beyond
Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV) lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.
Development of practical flare correction tool for full chip in EUV lithography
Taiga Uno, Hiromitsu Mashita, Masahiro Miyairi, et al.
A practical flare-aware optical proximity correction (OPC) tool for full-chip level has been developed for upcoming extreme ultraviolet lithography (EUVL). The conventional flare-aware OPC method for EUVL is unsuitable for practical use because it requires enormous time for lithography simulation to compensate for the long-range flare effect. By separating the lumped flare-aware OPC step into (1) the OPC step and (2) the flare correction step, the runtime required for lithography simulation is reduced to 1% by applying the same OPC for the identical pattern at different positions in step 1. And we found that there is a linear relation between amount of flare and correction bias for each pattern variation. Using this relation, a fast rule-based correction method can be adopted in step 2 without deterioration of correction accuracy for any pattern variation. Our new correction tool reduces the run-time to 1/70, which means it is the same as in the case of optical lithography for full-chip level, and also satisfies the target OPC residual of ±1nm. Consequently, it has been demonstrated that our new correction is practical and promising for the full-chip in EUVL in terms of run-time and correction accuracy.
Study on CD variation in the vicinity of the exposure field edge in EUV lithography
Intra-field CD uniformity control is one of hurdles in EUV lithography. Reflection imaging system intrinsic to EUV causes CD non-uniformity especially in exposure field edge. To analyze dominant contributors to make this intra-field CD non-uniformity in EUV lithography, influence of flare from adjacent fields and in-band and out of band refection from reticle masking blind(REMA) and mask black border were investigated through intensive sampling of CD measurement. Also mask border condition and REMA open settings are split into various settings to find out the impacts from each contributor. Two ASML EUV scanners, alpha demo tool(ADT) and pre-production tool(PPT) are used for the experiment. Fortunately, DUV out of band(OoB), reflection of REMA and the flare from adjacent fields are found to be not significant in NXE3100. The results presented here lead us to the conclusion that the EUV refection from mask black border is the main contributor and CD non-uniformity of the field edge can be overcome through optimized REMA setting.
Poster Session: Mask
icon_mobile_dropdown
EUV actinic imaging tool aerial image evaluation of EUVL embedded phase shift mask performance
Extreme Ultraviolet Lithography (EUVL) embedded phase shift mask (EPSM) can further extend lithography resolution limit and provide better pattern fidelity as compared to that of EUVL binary mask for 16nm node technology and beyond generations. In our previous study, we have demonstrated in wafer printing that EUVL EPSM can provide improved process window for both the dense lines and contacts and the low shadowing effect when compared to that of the EUVL binary mask. Due to limitation of current EUVL resist performances, certain advantages of EUVL EPSM, such as line width roughness (LWR) improvement, cannot be readily seen at wafer resist level. This is because that the aerial image quality improvement in LWR is over shadowed by the current large resist intrinsic and process induced LER. We believe that when EUV resist and wafer process improves in future, mask induced pattern fidelity difference will start to play an observable role in wafer printing. In this study, we focused on comparing EUV actinic aerial image performance of a EUVL EPSM and a binary mask for both lines and contacts. Without convoluting with resist effect, the mask aerial image performance comparison of two different masks can better reflect all the effects that are due to mask differences. Our analysis of the EUV actinic aerial images of a EUVL EPSM and a binary mask showed not only the process window advantages of the EPSM as demonstrated previously, but also the improved LWR performance of EUVL EPSM when compared to that of the EUVL binary mask. The matrix used to analyze the aerial images includes aerial image contrast, LWR, process windows (focus-exposure plot), etc. Our detailed analysis is performed for various line and contact features.
Phase defect mitigation strategy: fiducial mark requirements on extreme ultraviolet lithography mask
For Extreme Ultra-Violet Lithography (EUVL), fabrication of defect free multi-layered (ML) mask blanks is one of the difficult challenges. ML defects come from substrate defects and adders during ML coating, cannot be removed, and are called as phase defect. If we can accept ML blanks with certain number of phase defects, the blank yield will be drastically up. In order to use such blanks, the phase defects need to be identified and located during ML blank defect inspection before absorber patterning. To locate phase defects on the blanks accurately and precisely, Fiducial Marks (FM) on ML blanks are needed for mask alignment and defect location information. The proposed requirement of defect location accuracy is ≤20 nm [1]. In this paper, we will present the result of feasibility study on the requirements of FM on EUVL mask by simulations & experiments to establish the phase defect mitigation method with EUV Actinic Blank Inspection (ABI) tool. And the optimum ranges of FM line width, depth, and fabrication method on EUVL mask based on above results are ≥ 5 um line width, ≥ 100 nm depth FM etched into ML respectively, and additional finer FMs for magnified optics.
Phase defect printability analyses: dependence of defect type and EUV exposure condition
Phase defect printability and imaging characteristics were investigated by using aerial image simulation to clarify the phase defect impact on patterns depending on defect types, and on exposure conditions. In particular, the difference between the impacts caused by the same size bump phase defect and pit phase defect on 28 nm ~ 16 nm L&S projected patterns were investigated by calculating line width variations. Aerial images of phase defects in an absence of any absorber pattern were also calculated, and the image intensity losses of the two types of defects were compared. For a dipole illumination with 0.25 NA (numerical aperture) the pit phase defect impact was found to be stronger than the bump phase defect impact, when the two defect widths were less than 70% of the half-pitch of L&S patterns on the mask. This occurrence was not foreseen by the defect image calculation. On the other hand, for circular illumination with 0.33 NA, the bump defect impact was found to be stronger than the pit phase defect impact, which was consistent with the defect image calculation results. The contribution of dipole illumination in lowering the phase defect impact was confirmed for both bump and pit phase defects.
Smoothing of substrate pits using ion beam deposition for EUV lithography
Jenah Harris-Jones, Vibhu Jindal, Patrick Kearney, et al.
Mitigation of pit-type defects proves to be a major hurdle facing the production of a defect-free mask blank for EUV lithography. Recent efforts have been directed toward substrate smoothing methods during deposition. The angle of incidence of the substrate is known to have a significant effect on the growth of defects during deposition. It has been shown that shadowing effects for bump-type defects are reduced when depositing Mo/Si films at near-normal incidence, resulting in a Gaussian growth profile in which the height and volume of the defect are minimized. Conversely, operating at off-normal incidence reduces shadowing of pit-type defects. When altering the angle of incidence of the substrate, the target angle must be changed to maintain uniformity. The resulting mask blank must also meet surface roughness specifications post-deposition while maintaining a low defect density. In this study, various substrate angle and target angle combinations were investigated within the Veeco Nexus Low Defect Density tool at SEMATECH to find optimum in situ pit smoothing conditions using ion beam deposition on both quartz and low thermal expansion material (LTEM) substrates. The possible substrate-target angle combinations are limited by the design of the current deposition tool; therefore, a phase space has been mapped out to determine uniform and non-uniform regions. Other deposition parameters including operating pressure and working gas composition were also explored. After deposition, EUV reflectrometry measurements were taken to evaluate uniformity in the wavelength; surface roughness, change in pit depth, change in full width at half maximum, and pit smoothing power were determined using atomic force microscopy (AFM); transmission electron microscopy (TEM) was used to study the effect of film disruption through the multilayer; and the printability of smoothed pits will be measure actinically using SEMATECH's AIT tool. Preliminary results show that positive values for substrate angles in the uniform region tend to give a high surface roughness after multilayer deposition; however, the combinations with negative substrate angles show promising results. Substrate angles with lower values resulted in better smoothing than the higher substrate angles. AFM results confirmed that pit smoothing power at lower substrate angles is greater than under the standard deposition conditions employed by the tool. Lower chamber pressure was proven to increase the smoothing power of pit-type defects during deposition. Preliminary TEM cross-section data confirmed the smoothing results obtained by AFM analysis. The use of Ne and Xe as working gases is also under review. Extensive AFM analysis, TEM cross-sections, and printability data will be presented.
Origin of EUV mask blank defects from ion beam deposition
H. Yu, D. Andruczyk, D. N. Ruzic, et al.
In EUV lithography mask production, one source of contaminants originates from the targets used to sputter material onto the substrates. In particular, silicon appears to produce more contamination on rough regions of the silicon target. The features were found to be triangular hillocks pointing in the direction of the incident beam. The aim of this research is to prevent this particle formation on the target and thus eventually on the substrate. Both Si and Ru targets were sputtered using different ion beam conditions to understand particle formation mechanisms on the target and explore the ion beam conditions that can mitigate particles. Additionally, SRIM was used to calculate sputtering yields to better understand the mechanisms behind particle formation.
Understanding the ion beam in EUV mask blank production
Patrick Kearney, Vibhu Jindal, Alfred Weaver, et al.
One of the major technical hurdles to be overcome before EUV lithography can enter high volume manufacturing is the amount of defects in EUV mask blanks, many of which occur during the EUV reflector deposition process. The technology currently used to deposit this reflector is ion beam sputter deposition. Understanding the properties of the ion beam and the nature of the plasma in the deposition chamber is therefore critical to understanding defect production mechanisms and subsequently eliminating them. In this work, we have studied how the source parameters influence ion beam divergence, its footprint on the target, and the amount of beam that misses the target and hits the shielding. By optimizing the source parameters, we can modulate certain target- and shield-specific defect types. We have compared our data with models of source performance and found general agreement, enabling the theory to be fine-tuned based on the results of the measurements. Models are being developed to better describe actual source performance. We have also investigated the plasma conditions the ion beam creates in the tool, which is crucial to understanding the transport of defects from their source to the mask. A well characterized ion beam and plasma will lead to process and tool changes that will ultimately reduce defect levels in EUV mask blanks.
Particle contamination effects in EUVL: enhanced theory for the analytical determination of critical particle sizes
Gerd Brandstetter, Sanjay Govindjee
Existing analytical and numerical methodologies are discussed and then extended in order to calculate critical contamination-particle sizes, which will result in deleterious effects during EUVL E-chucking in the face of an error budget on the image-placement-error (IPE). The enhanced analytical models include a gap dependant clamping pressure formulation, the consideration of a general material law for realistic particle crushing and the influence of frictional contact. We present a discussion of the defects of the classical de-coupled modeling approach where particle crushing and mask/chuck indentation are separated from the global computation of mask bending. To repair this defect we present a new analytic approach based on an exact Hankel transform method which allows a fully coupled solution. This will capture the contribution of the mask indentation to the image-placement-error (estimated IPE increase of 20%). A fully coupled finite element model is used to validate the analytical models and to further investigate the impact of a mask back-side CrN-layer. The models are applied to existing experimental data with good agreement. For a standard material combination, a given IPE tolerance of 1 nm and a 15 kPa closing pressure, we derive bounds for single particles of cylindrical shape (radius × height < 44 μm) and spherical shape (diameter < 12 μm).
Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks
Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.
Liftoff lithography of metals for extreme ultraviolet lithography mask absorber layer patterning
Adam Lyons, Ranganath Teki, John Hartley
The authors present a process for patterning Extreme Ultraviolet Lithography (EUVL) mask absorber metal using electron beam evaporation and bi-layer liftoff lithography. The Line Edge Roughness (LER) and Critical Dimension Uniformity (CDU) of patterned chrome absorber are determined for various chrome thicknesses on silicon substrates, and the viability of the method for use with nickel absorber and on EUVL masks is demonstrated. Scanning Electron Microscope (SEM) data is used with SuMMIT software to determine the absorber LER and CDU. The Lawrence Berkeley National Labs Actinic Inspection Tool (AIT) is used to verify the printability of the pattern down to 24nm half pitch. The effect of processing on the integrity of the mask multilayer is measured using an actinic reflectometer at the College of Nanoscale Science and Engineering.
Optimizing EUV mask blank cleaning processes using the Lasertec M7360
Takeya Shimomura, Arun John Kadaksham, Matt House, et al.
EUV lithography is considered the most promising lithography solution for the 16 nm node and beyond. As EUV light is strongly absorbed by all known materials, reflective optics are used instead of conventional transmittance optics applied to ArF and KrF lithography. The EUV mask must also need be reflective. It typically consists of a Ta-based absorber layer, Ru capping layer, Si/Mo multilayer on a low thermal expansion material (LTEM) substrate with a backside Cr-based metal coating. Because of the strong absorbance of the EUV light, a pellicle is not practical. Therefore, EUV masks must be cleaned more frequently to maintain the necessary cleanliness. This poses numerous unique challenges in cleaning processes. For example, the EUV mask integrity, including critical dimension (CD), EUV reflectivity, and absorber thickness must be kept intact during multiple cleanings throughout the mask's lifetime. Requirements of defect size for the cleaning, furthermore, are becoming tighter as semiconductor circuit design rules get smaller. According to the International Technology Roadmap For Semiconductors (ITRS), the smallest defect size that must be removed is 23 nm for the 18 nm NAND Flash node in 2013. In addition to defects on the frontside, defects on a backside also need to be minimized since they might lead overlay error due to local distortions of EUV masks on an electrostatic chuck. This paper focuses on evaluations of cleaning performances using the Lasertec M1350 and M7360 blank inspection system, which has a 71 nm and 43 nm sensitivity. The 43nm is the current best sensitivity while keeping a >90% defect capture rate. First, the cleaning performance using the standard process has been investigated. We found a mitigation of adders was a key challenge for the EUV mask cleaning. The primary source of the adders was also identified as pits. Secondly, the megasonic cleaning process has been optimized to mitigate the adders. We could successfully reduce the adders by 30%. Thirdly, to confirm the entire cleaning process, a backside cleaning process combined with frontside cleaning was investigated, demonstrating that the backsides of the EUV mask blanks could be cleaned without additional impact on frontside defectivity.
The validity of a simplified model for mask roughness induced LER under off-axis illumination with dense and isolated lines
Brittany M. McClinton, Patrick P. Naulleau
As the total line-edge roughness (LER) budget nears the single nanometer regime for future nodes, the employment of extreme ultraviolet lithography (EUVL) must take into account every LER contribution, including that due to the resist, the mask, and mask surface roughness. While much effort has gone into predicting resist LER and mask LER allowances, contributions due to mask roughness induced LER have just recently garnered attention. As the LER contribution due to mask surface roughness can very easily be on the order of several nanometers for out of focus conditions, it is important to have a means to predict it with ease as a function of NA, illumination type, defocus, feature size, and mask roughness properties. Recently, a new simplified model has been proposed for faster modeling and prediction of mask roughness induced LER based on those parameters. We extend that work and verify the validity of this simplified model across the gamut: from conventional disk-type illumination to off-axis illumination configurations, from dense lines and spaces to isolated ones, down to the 16-nm half pitch, and all through focus.
Tradeoffs in mask architecture: dealing with future illumination angular diversity
Brittany M. McClinton, Patrick P. Naulleau
Extending EUV lithography to future nodes will lead to significant mask challenges. Higher-NA systems will come with larger angles of incidence on the mask, which further complicate the well-known shadowing problem. Addressing them may require the use of thinner absorbers. At the same time, however, the larger mean angle along with a larger diversity of illumination and diffraction angles can also lead to image and reflectivity degradation. Here we perform a modeling based study to explore the relative importance of these two effects for the 12- and 11-nm half pitch nodes. Specifically, we sacrifice reflectivity for increased angular bandwidth by reducing the number of layers in the multilayer stack to find solutions. We further explore thinner absorber and chirped multilayer designs in an attempt to mitigate these problems. As of the conclusion of this study, we have not been able to achieve any combination of parameters to meet the lithographic requirement of NILS 2.5 over 50nm defocus. We can also expect that any condition that meets this requirement will lead to throughput loss: in the most optimistic case we found reflectivity at 77º and 85º was reduced by 4%, though the lithographic requirement was not yet satisfied.
Mask shadowing and the line-edge transfer function
Brittany M. McClinton, Thomas Wallow, Patrick P. Naulleau
Owing to the mask-side non-telecentricity resulting from the reflective nature of extreme ultraviolet lithography (EUVL), mask shadowing is well-known to be an issue for EUVL. The shadowing problem is also expected to become more severe as numerical apertures are increased in the future and even larger mask illumination angles are needed. Although the shadowing problem in general has been well studied, the impact this effect might have on the transfer of line-edge roughness (LER) from the mask to the wafer has not been studied. Here we extend previous efforts in the analysis of the LER transfer function (LTF) to explicitly include 3D mask effects. We show that the LTF differs for the shadowed and non-shadowed directions: moreover, the LTF of the left-side edge differs from the right-side edge in the shadowed direction. Finally, we also observe a breakdown of the linearity of the LTF for shadowed features.
A simulation study of cleaning induced EUV reflectivity loss mechanisms on mask blanks
It is widely recognized in the semiconductor industry that getting to defect-free extreme ultraviolet (EUV) mask blanks is critical in achieving high volume chip manufacturing yield beyond the 22 nm half-pitch node. Total defectivity of an EUV mask blank depends on the defectivity of substrate and finished mask blank. Finished mask blanks are normally subjected to a cleaning process to get rid of the loosely adhered particles on the top. This is normally done in a spin-spray mask cleaning tool using traditional mask cleaning processes. It is important that this cleaning process does not degrade the properties of the multilayer blank or introduce additional particles or pits during the process. However, standard cleaning processes used to clean multilayer blanks result in EUV reflectivity loss, loss of uniformity in reflectivity, increased roughness and adds pits and particles on mask blanks. The standard cleaning process used consists of multiple steps, each of which may cause the oxidation of Ru capping layer as well as other underlying bilayers, etching of the multilayer stack and increased roughness of the bilayers thus leading to a loss in EUV reflectivity. It is a challenging task to experimentally correlate the processing steps to the resulting damage and to quantify the reflectivity loss. Furthermore, due to the high cost of materials we have not been able to do extensive experiments to determine the root cause of problems. In this work, we have combined mask blank cleaning using standard processes, TEM cross section studies and simulations to quantify the impact of the multilayer oxidation, etching and roughness on the EUV reflectivity loss and mask blank degradation.
3D mask modeling for EUV lithography
In this work, 3D mask modeling capabilities of Calibre will be used to assess mask topography impact on EUV imaging. The EUV mask absorber height and the non-telecentric illumination at mask level, modulate the captured intensity from the shadowed mask area through the reflective optics on to the wafer, named as the mask shadowing effect. On the other hand, thinning the mask absorber height results in unwanted background intensity, or called flare. A true compromise has to be taken into account for the height parameter of a EUV mask absorber. We will discuss the state-of-the-art 3D mask modeling capabilities, and will present methodologies to tackle the described EUV mask shadowing effect in Calibre software. The findings will be validated against experiments on ASML's NXE:3100 EUV scanner at imec. Masks with two different absorber heights will be evaluated on various combinations of features containing line/space and contact-hole.
Developing particle detection test bench for vacuum components
Yashdeep Khopkar, Henry Herbol, Mihir Upadhyaya, et al.
Extreme ultraviolet (EUV) lithography is the leading contender for adoption as the next generation lithography technique. One of the critical challenges in this technology is producing defect-free masks. Particles generated in the fabrication process often deposit on the mask blank and result in phase and amplitude defects. Hence, it is important to study the transport, behavior and generation of particles in the ion deposition tool used for mask blank deposition. We show results on detecting particles from ultrahigh vacuum (UHV) valves by using optical counters and condensation particle counters. The particles were also trapped using impactor plates and analyzed with Energy-dispersive x-ray spectroscopy (EDX) for elemental composition.
Development of fiducial marks on EUV blanks for defect mitigation process
Takahiro Onoue, Kazuhiro Hamamoto, Toshihiko Orihara, et al.
We have developed two types of fiducial marks (FMs) which are going to be used for defect mitigation process of EUV masks. Those FMs were prepared either on substrate by conventional lithography process or on multilayer (ML) by focused ion beam (FIB). The position accuracy of those FMs was evaluated in advanced electron beam writer, and the FMs prepared on ML showed excellent position repeatability of less than 2nm in 3sigma. Teron 610 blank inspection showed good position repeatability of defects lower than 100nm in maximum. We have developed FIB process for preparing FMs, which gives no defect adder being larger than 70nm. Thus, the fiducial mark process on ML by FIB would be preferable, and will be developed further.
Applicability of e-beam mask inspection to EUV mask production
Lior Shoval, Shmoolik Mangan, Ishai Schwarzband, et al.
Ever since the 180nm technology node the semiconductor industry has been battling the sub-wavelength regime in optical lithography. During the same time development for a 13.5nm Extreme Ultraviolet [EUV] solution has been in development, which would take us back from a λ/10 to a >λ regime again - at least for one node. Add to this the potential to increase the wafer size as well, and we are at a major crossroads. The introduction of EUV has been marred by many delays, but we are finally seeing the hardware development efforts converge and multiple customers around the world embarking on this adventure. As it becomes clear that this preproduction phase will occur at or below 20nmHP, it also becomes clear that this will happen at the limiting edge of existing 19x-based patterned mask inspection technology, reaching the practical resolution limits at around 20nm HP mask densities. Resolution is coupled with sensitivity and throughput such that the extended sensitivity may come at an unreasonable throughput. Loss of resolution also badly impacts defect dispositioning, or classification, which becomes impractical. As resolution is especially critical for die to database inspection, single die masks and masks with high flare bias are at risk of not being inspectable with 19xnm based inspectors. E-Beam based mask inspection has been proposed and demonstrated as a viable technology for patterned EUV mask inspection. In this paper, we study the key questions of sensitivity and throughput, in both die-to-die and die-to-database applications. We present new results, based on a new generation of E-Beam inspection technology, which has a higher data rate at smaller spot sizes. We will demonstrate the feasibility of acceptable inspection time with EBMI. We also will discuss die-to-data-base inspection and the advantage of using E-Beam imaging for meeting future requirements of single- die EUV masks.
Poster Session: Resist
icon_mobile_dropdown
Computational study of line tip printability of sub-20-nm technology
Lei Yuan, Thomas Wallow, Deniz Civay, et al.
This paper illustrates the increasing importance of line tip printing as measured by the size of the weak line tip zone for sub-20nm technology. This paper suggests adding line tip printability into sub-20nm lithography performance metric in addition to the conventional tip-to-tip resolution. This study shows that these two metrics sometimes respond to lithography conditions inversely. The importance of including line tip printability into technology evaluation is demonstrated by comparing LELE optical lithography and EUV lithography. Also, line tip printing with EUV lithography is explored with various illumination conditions and resist developer tones.
The role resist plays in EUVL extensibility
In this paper, the impact of resist on the lithographic process window is investigated. To estimate the resolution limit of EUVL due to the limitation from resist performance, a simplified resist model, called diffused aerial image model (DAIM), is employed. In the DAIM, the resist is characterized by the acid diffusion length, or more generally, resist blur. Lithographic process windows with resists of various blurs are then calculated for different technology nodes. It is concluded that the resist blur needs to be smaller than 8 nm to achieve a reasonable window for the technology node with the minimum pitch of 32 nm. The performance of current resists can barely fulfill this requirement. Investigation of a more refined resist model is also initiated.
The factor affecting LWR and sensitivity in EUV resist material
Joonhee Han, Jin Bong Shin, Yong Hwa Hong, et al.
It is well known EUV lithography is the most promising technology for next generation lithography. ITRS roadmap predicts that EUV lithography will realize 22nm half pitch node and beyond. However, there are a lot of problems such as light source power, exposure tools, mask blank defect and resist material for realizing of EUV lithography. Among these problems, we try to develop the high performance resist material for EUV lithography in this paper. EUV resist material are to achieve the Resoultion ≤ 22nm half pitch L/S, Line Width roughness ≤ 1.2nm, Sensitivity ≤ 10mJ. The major development issue of EUV resist is how to simultaneously achieve high sensitivity, high resolution, low LWR. Especially, LWR is the main issue among above RLS performances. So, we decided to choose the polymer bound PAG as resin platform for EUV resist material. Thus, we have studied in various ways to know the relation between LWR reduction and resin physical properties which represents substituted ratio of acid-cleavable unit, Mw, Pd. Additionally, we studied the effects of steric hinderance of pending group with diversifying the size of pending group to make polymer of high performance. We also attempt to know how is LWR affected by hydroxy group species. In this paper, we will show and discuss the results of these studies obtained by tools of e-beam and EUV.
Coat-develop track process for inorganic EUV resist
A baseline coat-develop track process has been established for inorganic EUV resists. Inorganic EUV resists have already been highlighted for their higher resolution and lower Line-Width-Roughness (LWR) for lithography features as well as strong etch resistance [1], [2], [3], [4]. This inorganic resist system is not only interesting due to lithography process capability but also do to its influences on coat-develop track processing. It is understood that this inorganic resist system is dissolved in an aqueous solution and therefore has the different characteristics compared to typical polymer photoresist in organic solvent. Spin coating this aqueous resist solution leads to several challenges beyond the traditional aqueous Top Anti-Reflective Coat (TARC) materials used decades ago. Resist spin coating systems have continuously improved over the years based on polymer photoresists, therefore it becomes necessary to confirm if the latest coat module design and processes are equally applicable to aqueous resists targeted for EUV lithography. Another characteristic of this inorganic system it is not a chemical amplified resist. Post-Applied Bake (PAB), Post-Exposure Bake (PEB) and develop processes are compared with current polymer photoresist process. In this study, a coat-develop track process baseline is established for metrics such as film thickness uniformity, critical dimension (CD) uniformity and process defectivity. Based on this baseline data areas for improvement in coat-develop track process are identified to enable inorganic resist transition to volume production with EUV or E-Beam lithography.
The novel top-coat material for RLS trade-off reduction in EUVL
Ryuji Onishi, Rikimaru Sakamoto, Noriaki Fujitani, et al.
For the next generation lithography (NGL), several technologies have been proposed to achieve the 22nm-node devices and beyond. Extreme ultraviolet (EUV) lithography is one of the candidates for the next generation lithography. In EUV light source development, low power is one of the critical issue because of the low throughput, and another issue is Out of Band (OoB) light existing in EUV light. OoB is concerned to be the cause of deterioration for the lithography performance. In order to avoid this critical issue, we focused on development of the resist top coat material with OoB absorption property as Out of Band Protection Layer (OBPL). We designed this material having high absorbance around 240nm wavelength and high transmittance for EUV light. And this material aimed to improve sensitivity, resolution and LWR performance.
EUV assist layers for use in multilayer processes
Tantiboro Ouattara, Carlton Washburn, Aline Collin, et al.
Extreme ultraviolet (EUV) exposure is among the front-runners for single-exposure lithography for the 16-nm node and below. Previous work has shown that assist layers are critical for performing EUV lithography. Assist layers enhance the adhesion of EUV photoresists, block substrate contamination, and improve the resolution, line width roughness, and sensitivity (RLS) trade-off. As we progress from development to manufacturing, materials must mature to align with industry needs. To bring devices produced using EUV lithography to reality, a trilayer process is the best and most flexible option. The requirements for a trilayer process include utilizing assist layers with a good etch selectivity to the carbon-rich etch transfer layer (ETL) and to the photoresist coupled with good RLS performance. In this paper, we report the study of new assist layers made with novel resins that have shown superior lithography performance, as well as high etch selectivity to both the ETL and the photoresist. We have demonstrated how to significantly improve the lithography with the benefits of the pattern transfer requirements for trilayer processing.
Comparison of EUV and e-beam lithographic technologies for sub-22-nm node patterning
James Cameron, Jim Thackeray, Jin Wuk Sung, et al.
Prompted by the fact that the International Technology Roadmap for Semiconductors (ITRS) has declared no proven optical solutions are available for sub 22nm hp patterning, we have investigated e-Beam and Extreme Ultraviolet (EUV) resist performance with a view to High Volume Manufacturing (HVM) at these design rules. Since these patterning technologies are considered the leading candidates to replace Immersion ArF (ArFi) multilevel patterning schemes, it was deemed prudent to assess the readiness of these imaging options. We review the advantages and disadvantages of each patterning method and highlight general technology challenges as well as resist specific challenges. In terms of resist specific challenges, we primarily focus on Resolution, Linewidth roughness and Sensitivity (RLS) tradeoffs for both e-Beam and EUV patterning. These metrics are of particular relevance as the industry continues to contend with the well known tradeoffs between these performance criteria. The RLS relationship is probed for both line space and contact hole patterns with each exposure wavelength. In terms of resist selection, we focus on our advanced Polymer Bound PAG (PBP) resist platform as it has been designed for high resolution applications. We also assess resist outgassing during EUV exposure as it is a potential barrier to adoption of EUV for HVM.
The study of synthesis and photocuring behaviors of organic silicon modified methylacrylate and acrylate
Si-yuan Wang, Ying-quan Zou
Ten different silicon-containing methyl acrylate and acrylate monomers were synthesized by the substitution reaction of chlorosilanes or chlorosiloxanes with 2-Hydroxyethyl methacrylate or 2-Hydroxyethyl acrylate. Using triethylamine as the catalytic agent, tetrahydrofuran as the solvent, pure products can be obtained with one-step reaction after reduced pressure distillation or column chromatography via controlling raw ratio and reaction time. In this study, one to four silicon contained methyl acrylate and acrylate monomers were synthesized with simple methd and high yield. Monomers' properties were characterized through IR, 1H-NMR, 13C-NMR and their viscosity and thermostability were also characterized. The polymers' have good performance on UV-curing and low surface energy.
Line width roughness control for EUV patterning
Karen Petrillo, George Huang, Dominic Ashworth, et al.
Controlling line width roughness (LWR) is a critical issue in extreme ultraviolet lithography (EUVL). High sensitivity, high resolution, and low LWR are required for EUV lithography resist. However, simultaneously achieving optimal properties through chemical tuning alone is difficult. The track process is one of the factors that impacts LWR. Enhancing track processes in EUV lithography is thus critical to controlling LWR. This paper describes an approach to mitigating LWR based on optimizing track-based and etch-based processes. It also presents the results of our newly developed track-based smoothing process as well as the results of combining several track-based techniques. The latest LWR performance from using track-based techniques, optimized track processes, and etch-based techniques will be highlighted.
Poster Session: Source
icon_mobile_dropdown
Laser-produced plasma UTA emission in 3-7nm spectral region
We demonstrate a table-top strong band emission water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays in the 2 to 4 nm region, extending below the carbon K edge (4.37 nm). Arrays resulting from n = 4-n = 4 transitions are overlaid with n = 4-n = 5 emission and shift to shorter wavelength with increasing atomic number. Under spectral analysis a guideline for microscope construction design for single-shot live cell imaging is proposed based on the use of a bismuth plasma source, coupled with multilayer mirror optics.
Modeling and optimization of mass-limited targets for EUV lithography
T. Sizyuk, A. Hassanein
Current challenges in the development of efficient laser produced plasma (LPP) sources for EUV lithography are increasing EUV power at IF and maximizing lifetime and therefore, reducing cost of devices. Mass-limited targets such as small tin droplets are considered among the best choices for cleaner operation of the optical system because of lower mass of atomic debris produced by the laser beam. The small diameter of droplets, however, decreases the conversion efficiency (CE) of EUV photons emission, especially in the case of CO2 laser, where laser wavelength has high reflectivity from the tin surface. We investigated ways of improving CE in mass-limited targets. We considered in our modeling various possible target phases and lasers configurations: from solid/liquid droplets subjected to laser beam energy with different intensities and laser wavelength to dual-beam lasers, i.e., a pre-pulse followed by a main pulse with adjusted delay time in between. We studied the dependence of vapor expansion rate, which can be produced as a result of droplet heating by pre-pulse laser energy, on target configuration, size, and laser beam parameters. As consequence, we studied the influence of these conditions and parameters on the CE and debris mass accumulation. For better understanding and more accurate modeling of all physical processes occurred during various phases of laser beam/target interactions, plasma plume formation and evolution, EUV photons emission and collection, we have implemented in our HEIGHTS package state-of-the art models and methods, verified, and benchmarked against laboratory experiments in our CMUXE center as well as various worldwide experimental results.
In-situ Sn contamination removal by hydrogen plasma
J. Sporre, D. Elg, D. Andruczyk, et al.
One of the main challenges in extreme ultraviolet lithography (EUVL) is the development of a method for cleaning collector optics without inhibiting cost-effectiveness. Cost-effectiveness of EUV methods can be increased by in-situ processes for removing debris placed on the collector optic. This paper focuses on the use of a hydrogen plasma to remove Sn, a common EUV fuel, from Si surfaces. Sn was deposited on both large and small Si samples via magnetron sputtering, and optimized hydrogen plasma selectively etched the Sn. Deposition uniformity and thickness are measured, as are Sn etch rates and cleaning uniformity. Positive results indicate the potential of this method for use in cleaning EUV mirrors.
High brightness electrodeless Z-Pinch EUV source for mask inspection tools
Stephen F. Horne, Matthew J. Partlow, Deborah S. Gustafson, et al.
Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 1995. The source is currently being used for metrology, mask inspection, and resist development. Energetiq's higher brightness source has been selected as the source for pre-production actinic mask inspection tools. This improved source enables the mask inspection tool suppliers to build prototype tools with capabilities of defect detection and review down to 16nm design rules. In this presentation we will present new source technology being developed at Energetiq to address the critical source brightness issue. The new technology will be shown to be capable of delivering brightness levels sufficient to meet the HVM requirements of AIMS and ABI and potentially API tools. The basis of the source technology is to use the stable pinch of the electrodeless light source and have a brightness of up to 100W/mm(carat)2-sr. We will explain the source design concepts, discuss the expected performance and present the modeling results for the new design.
Light sources for EUV lithography at the 22-nm node and beyond
Through a number of experimental studies carried out on various experimental test stands we are characterizing the scaling of EUV power and collector lifetime. The current performance of the first generation of EUV sources to support EUV lithography scanners is at 20 W power and 70% availability. CO2 drive laser power of up to 17 kW has been reached, while average EUV power of nearly 50 W was demonstrated on an HVM I source with a laser pre-pulse at our facilities. The burst EUV power on this source was in excess of 90 W at 10% to 20% duty cycle and closer to 60 W at 80% duty cycle since the full set of automated controls has not yet been implemented on this source. Once the automation of the laser-droplet position controls is implemented on our pre-pulse system, the average source power is expected to reach power levels on the order of 100 W. Further scaling of source power through operation at repetition rates higher than 50 kHz was also shown to be possible. Through improved gas management, better coatings and parallel testing of collector samples, we have significantly extended the useful life of the source collector mirrors.
Development of the reliable high power pulsed carbon dioxide laser system for LPP EUV light source
Laser Produced Plasma (LPP) Extreme Ultra Violet (EUV) light source is expected to be used for next generation lithography. To realize such performance for industrial use, the main driver laser is one of the key components. Our source uses a high power pulsed carbon dioxide (CO2) laser as a plasma driver. A master oscillator and a power amplifier (MOPA) system based on a new configuration of an RF-excited CO2 laser is the key to high efficiency. And multiline amplification of CO2 laser is efficient to increase the extraction efficiency in the case of short pulse amplification like this amplification. Numerical result shows the amplification enhancement as 1.3 times higher than the single line amplification. This report shows its initial performance. Multiline configuration is applied to the master oscillator and the efficiency of multiline amplification is verified in our experimental amplifier system. We have achieved 10% energy extraction improvement using 2 lines (P20+P22) as compared to single line (P20).
Tin droplets for LPP EUV sources
Bob Rollinger, Luna Bozinova, Nadia Gambino, et al.
The tin droplet generator is a key component of EUV LPP sources. Small tin droplets, when combined with a high power laser, form a regenerative target with high CE. A major challenge associated with today's EUV sources is energy stability, which directly correlates with the stability of the fuel delivery system. The LEC droplet dispenser is now in its 5th generation design, with several years of development, including studies of different nozzle types, excitation mechanisms, thermal management approaches and contamination control systems. The dispenser produces droplets in the frequency range required for both metrology and HVM EUV sources. The two relevant instability modes are drop-to-drop jitter and lateral instabilities. The low frequency content of the lateral droplet displacement is compensated by a newly implemented dispenser positioning system. The drop-to-drop jitter, which is studied over 2000 s, equals 11.2% (3σ) of the mean droplet spacing, which makes individual droplet laser triggering necessary. The lateral instabilities, which are mainly relevant in the plane perpendicular to the laser axis, are determined to be in the range of 7.1% (3σ;) of the droplet diameter. The lateral displacements are recorded over 2.2 hrs. The related EUV temporal energy stability (open-loop) is estimated to be 0.35% (3σ) for the worst case scenario, a laser spot size which matches the droplet diameter.
Modeling the ion beam target interaction to reduce defects generated by ion beam deposition
Thomas Cardinal, Daniel Andruczyk, He Yu, et al.
The defectivity of extreme ultraviolet (EUV) mask blanks is a critical issue in EUV lithography. It has been observed that target surfaces can develop many formations that generate defects during ion beam sputtering. Two simulation models were developed to study the surface morphology and evolution of a target surface under different ion beam conditions. Extensive simulations were performed to understand the interaction of the ion beam with the target surface. The modeling was able to mimic the growth and the elimination of these formations through normal incidence bombardment as verified by ion beam sputtering experiments.
Poster Session: System
icon_mobile_dropdown
Sub-atmospheric gas purification for EUVL vacuum environment control
High purity gas supply for optics purging and cleaning under vacuum is required to be maintained at the output of the mini-environment gas distribution box in EUV scanners. Typically H2 gas is used for cleaning and purging while N2 gas is used for purging H2 lines post exposure. An investigation of gas purifier performance for moisture removal is made under sub-atmospheric pressure conditions. An evaluation of moisture levels as a function of switching between H2 and N2 gas supply states is also conducted. A superior performance (below instrument LDL) is observed for HX (Entegris, Inc.) gas purifier under various test conditions in the 10-100 kPa pressure range. Our preliminary studies provide a better understanding of gas purifier related moisture outgassing under vacuum and should facilitate better control and standardization of tool set-up parameters for environment in EUV lithography.
Analysis and control of thermal and structural deformation of projection optics for 22-nm EUV lithography
Thirty-five to forty percent incident power will be absorbed by the multilayers of EUV optics which causes thermal deformation of mirrors, consequently affecting the optical performance of projection optics (PO). On the other hand the gravity and mounting of mirrors introduce the structural deformation of mirrors. So the thermal and structural deformations of mirrors are critical issues for extreme ultraviolet lithography (EUVL) at the technology node of 22 nm and below. In this paper, we employ several software packages to study and control the deformation of the PO which is employed in EUVL production tool with wafer throughput of one hundred 300 mm wafers per hour for the 22 nm technology. The results show that the deformation of PO is reduced effectively and the imaging performance of the PO is improved.
Is extreme ultraviolet pellicle possible? - in terms of heat absorption
In-Seon Kim, Hyung-Cheol Lee, Eun-Jin Kim, et al.
In EUVL, a pellicle is required for protecting the mask from contamination. However, the use of the pellicle at the real applications is very challenging since temperature increase due to EUV light absorption can reduce the transmission of EUV beams and distort a transmitted EUV beam profile. In the previous study, we consider the thermal behaviors of the pellicle considering only the film during EUV lithography based on a simple thermal modeling using the heat transfer equations. However the mesh is also required for supporting the thin film. Here we report the study of thermal behaviors of the pellicle including both the film and the mesh. In the previous studies done by others, there are 3 cases depending on linewidth and pitch and we review all the cases. And we take silicon as the material of thin film and wire-mesh. We will show that the temperature increase of the mesh and its dependence on the structure are much smaller than those of the film. Furthermore the conditions of a mesh structure and a material to reduce adverse thermal effects will be discussed.
Latest cluster performance for EUV lithography
H. Shite, K. Matsunaga, K. Nafus, et al.
Previously, fundamental evaluations of the Extreme Ultra Violet (EUV) lithography process have been conducted using the CLEAN TRACK ACT™ 12 coater/developer with the ASML EUV Alpha Demo Tool (ADT) at imec. In that work, we confirmed the basic process sensitivities for the critical dimension (CD) and defectivity with EUV resists. Ultimate resolution improvements were examined with TBAH and FIRM™ Extreme. Moving forward with this work, the latest inline cluster is evaluated using the ASML NXE:3100 pre-production EUV scanner and the CLEAN TRACK™ LITHIUS Pro™ -EUV coater/developer. The imec standard EUV baseline process has been evaluated for manufacturability of CD uniformity control based on half pitch (HP) 27nm and ultimate resolution studies focusing on HP 22nm. With regards to the progress of the improvement for EUV processing, we confirmed the effectiveness of several novel concepts: FIRM™ Extreme10 showed increase in ultimate resolution and improvement in line width roughness (LWR) and process window; Tokyo Electron LTD. (TEL) smoothing process for roughness reduction showed 17% improvement for line and space (L/S) patterns; and finally the new dispense method reduced patterned wafer defectivity by over 50%.
Longer wavelength EUV lithography (LW-EUVL)
Extreme UV Lithography (EUVL) is generally accepted as the leading candidate for next generation lithography. Several challenges remain for EUVL, especially as its insertion point is pushed to finer resolution. Although diffractive scaling may suggest a transition to shorter EUVL wavelengths, several issues arise that would make that difficult. Challenges involve issues such as flare, multilayer (ML) bandwidth, and reflector throughput which tend to worsen with decreasing wavelength. In this study, we have evaluated the tradeoff between flare scaling effects and diffractive scaling effects for EUVL, where flare induced image degradation is likely to dominate as sub-13.5 nm wavelengths are considered. With surface scatter effects scaling as 1/λ2, the idea of longer wavelength (LW-EUVL) becomes interesting. Since a working wavelength is driven by the selection of ML materials (which are molybdenum and silicon for 13.5 nm), the identification of suitable alternatives is an initial challenge. We have optimized aluminum and various refractory metals at 17.2 nm and present results. The optimized combination of aluminum with yttrium, zirconium, and other metals result in theoretical reflectivity values above 75%. We also describe possibilities for alternative LW-EUVL sources for 17.2 nm operation as well as the impact on resist absorption, especially through halogens of higher molar absorption (such as fluorine). The impact on mask absorber materials is also presented, which may also exhibit increased absorbance, leading to a lowering of film thickness requirements.
Effect of extreme-ultraviolet pellicle support to patterned mask
Extreme ultraviolet lithography is about to be realized in mass production even though there are many obstacles to be overcome. Several years ago, the EUV pellicle was suggested by some people, but the idea of using the EUV pellicle was abandoned by most people because there were big problems that were believed to be almost impossible to overcome. The EUV pellicle should be made of an inorganic material instead of a common organic pellicle and should be very thin due to EUV transmission. In addition to that the support of the very thin pellicle film should be used. The structure of the support of the pellicle thin film should not make any noticeable intensity difference on the top of the patterned mask side. However, the experimental result of the Intel showed the interference images with their suggested support structure. In the Intel's report, the structure of the support was honeycomb or regular mesh type with a ~ 10 μm line width and a ~100 μm pitch size. We study the intensity distributions on the top of mask for various combinations around the above the mentioned scales and the support structures. The usable structure of the support will be reported based on our simulation results, which would open the possibility of the EUV pellicle in mass production.
Efficient multi-die placement for blank defect mitigation in EUV lithography
Yuelin Du, Hongbo Zhang, Martin D. F. Wong, et al.
Due to the absence of defect-free blanks in extreme ultraviolet (EUV) lithography, defect mitigation is necessary before mass production. One effective way to mitigate the defect impact is to increase the distance between the defects and feature boundaries such that the defects will not affect the printing of the features. Some algorithms have been developed to move the whole layout within the exposure field in order to avoid all defect impact. However, in reality the die size is usually much smaller than the exposure field, such that one blank is packed with multiple copies of the die, and each die can be placed independently within the exposure field. In this paper, we develop an EUV reticle placement algorithm to maximize the number of valid dies that are immune to defects. Given the layout of a die and a defective blank, we first apply a layout relocation algorithm to find all feasible regions for the die on the blank. Then we develop an efficient placement algorithm to place the dies within the feasible regions one at a time until all feasible regions are fully occupied. The simulation results show that our algorithm is able to find a solution efficiently and the number of valid dies placed by our algorithm is very close to the optimal solution.
Poster Session: Optics
icon_mobile_dropdown
Low energy electron bombardment induced surface contamination of Ru mirrors
A. Al-Ajlony, A. Kanjilal, M. Catalfano, et al.
The impact of secondary electrons induced contamination of the Ru surface was investigated. Mirror-like Ru sample was bombarded with low energy (100 eV) electrons and the change in surface chemistry was investigated using X-ray photoelectron spectroscopy (XPS).Along with XPS studies the corresponding effect on in-situ EUV reflectivity was examined by exposing the Ru surface to photons at a wavelength of 13.5 nm in an ultrahigh vacuum chamber. Detailed XPS analyses showed a sudden increase in carbon concentrations on the Ru surface in the first 60 min, followed by a slow but linear growth in carbon concentration. In parallel, a noticeable decrease in water content was observed during the time of electrons irradiation along with slight oxidation of pure Ru surface. All chemical changes were discussed in terms of the electrons bombardment mediated dissociation of water and hydrocarbon molecules. A time dependent EUV reflectivity measurements show insignificant change in reflectivity up to 510 min of electrons bombardment. The impact of water molecules on the Ru surface and the accumulation of carbon through dissociation of residual hydrocarbons is discussed in details.
Mirror contamination and secondary electron effects during EUV reflectivity analysis
M. Catalfano, A. Kanjilal, A. Al-Ajlony, et al.
We investigated Ru mirror contamination and subsequent EUV reflectivity loss using the IMPACT facility at Purdue University. Because Ru can either be used as a grazing mirror or as a capping layer for multilayer normal mirror, we examined the angular dependency of XPS peak area intensity at the O 1s and Ru 3d regions as well as the effects of sputtering. Although no change in intensity has been observed at lower take-off angles from the target surface, the peak area intensity starts changing with increasing θ (i.e., emission observation angle, representing the angle between the target surface plane and detector entrance). Among different components, the effect of water and oxidized carbon are found to be most notable when viewed at lower θ, and primarily responsible for degrading the reflectivity of the Ru layer. On the other hand, the effect of OH becomes dominant with increasing observation angle θ, and thus plays a key role to suppress optical transmission. Moreover, atomic carbon effect is found to peak when observed at 30°, and most likely plays an important role in degrading both reflectivity and transmission. This is also because of the total photon path length in the Ru film at different angles. During the contamination process, the EUV reflectivity of the Ru film is found to significantly degrade in the presence of additional secondary electrons from the focusing Ru mirror of the EUV setup. This effect could be explained in the light of a competition between oxidation and carbonization processes on Ru surface.
Poster Session: Metrology
icon_mobile_dropdown
Impact of the phase defect structure on an actinic dark-field blank inspection signal and wafer printability
A variety of phase defects (PDs) such as programmed bump and pit PDs, and native bump and pit PDs were detected by a dark-field ABI (Actinic Blank Inspection) tool. Among the PDs, some of them seemed to grow and propagate in an angular direction, away from substrate surface as was found by a TEM analysis. This presentation reports on the influence of 3-D phase defect on wafer printability, and on defect detection signals of an ABI tool. The result shows that the impact of the inclination angle on printing performance was quite significant when the PDs were not covered with the absorber pattern. On the other hand, the defect detection signal intensity was negligibly small in the case where the inclination angle was less than 9 degrees. However, ABI with its high magnification optics can pinpoint the PD's actual location as defined by the EUV light, rather than the ones that are not so clearly define by the surface topography.
Study of actinic dark-field inspection with programmed amplitude defects
Noriaki Takagi, Takeshi Yamane, Yukiyasu Arisawa, et al.
In this work, a simulation for actinic dark-field inspection with amplitude defects was carried out. The simulation was then followed by experiments on actinic dark-field inspection with programmed amplitude defects. For this experiment, the programmed amplitude defects were fabricated using EB exposure. The simulated result showed that the intensity signal was influenced by the thickness and width of the amplitude defect. The simulated results were then confirmed by the experiments. The tendency of the result was approximately similar to the simulated results. However, the dependency on the two factors of defect thickness and defect width is not similar to the simulated results. As the factors of difference, difference of defect edge angle and element of defect model expected.
Extension of PTB's EUV metrology facilities
Christian Laubis, Andreas Fischer, Frank Scholze
After developing metrology with synchrotron radiation in its laboratories at the electron storage rings BESSY I and BESSY II for almost 30 years, PTB is extending its capabilities for EUV metrology with the EUV beamline at the Metrology Light Source. With the new instrumentation, PTB is prepared for the metrological challenges when EUV lithography changes over from R&D to pilot production. PTB's EUV reflectometer for large optical components, e.g. collector mirrors for LPP sources, will be transferred to this new dedicated EUV beamline. This allows us to offer services to customers independent of the operating schedule of BESSY - a basic research facility with regular shut-down times. The new beamline also provides much higher radiant power in the EUV spectral range up to 50 nm wavelength. This will particularly benefit the characterization of sensors regarding responsivity and stability, and the characterization of EUV components in the out-of-band spectral range. Reliable detector characterization is the basis for source power meters or tool-internal sensors. We present an updated overview of our new metrological capabilities with recent measurement examples.
Energetic ion and neutral energy analyzer for extreme-ultraviolet light sources
Daniel Andruczyk, John Sporre, Dan Elg, et al.
The Center for Plasma-Material Interactions has developed a detector capable of diagnosing the energetic ion and neutral spectrums emanating from extreme ultraviolet light sources. This tool has been used in the past for high-power output sources, but it is readily evident that actinic inspections tools require the use of debris mitigation analyzers. Using this tool, manufacturers can optimize the use of debris mitigation techniques, as well as analyze the effects brightness increases have on tool lifetime.
50X, 75X mask cleaning effects on EUV lithography process and lifetime: lines and spaces, contacts, and LER
Brittany M. McClinton, Robert J. Chen, Simi A. George, et al.
In this study we examine the effects on lithographic performance due to repetitive cleans on a mask patterned for use in extreme ultraviolet lithography (EUVL). Exposures were carried out at the SEMATECH Berkeley micro-exposure tool (MET) on both a cleaned mask and a reference (uncleaned) mask with the same mask architectures. The performance is measured against the process window for lines and spaces, line edge roughness (LER), and contact size variation measured using scanning electron microscopy (SEM). Mask properties such as surface roughness were used as metrics of the cleaning process effects. We also introduce a new method involving the correlation in LER of a single line from exposure to exposure at the same dose and focus. If mask cleaning were to introduce significant damage to either the capping layer or the absorber, we might expect an increase in LER correlation from exposure to exposure of the same feature, as uncorrelated effects due to the resist cause a second order change depending on aerial image contrast loss. We look at these metrics on the same mask used in previous cleans studies, now for a 50X and 75X cleans.