Share Email Print
cover

PROCEEDINGS VOLUME 8322

Extreme Ultraviolet (EUV) Lithography III
Editor(s): Patrick P. Naulleau; Obert R. Wood II
For the purchase of this volume in printed format, please visit Proceedings.com

Volume Details

Volume Number: 8322
Date Published: 26 April 2012

Table of Contents
show all abstracts | hide all abstracts
Front Matter: Volume 8322
Author(s): Proceedings of SPIE
Progress in EUV lithography towards manufacturing from an exposure tool perspective
Author(s): Jan V. Hermans; David Laidler; Philippe Foubert; Koen D'havé; Shaunee Cheng; Mircea Dusa; Eric Hendrickx
Show Abstract
Insertion strategy for EUV lithography
Author(s): Obert Wood; John Arnold; Timothy Brunner; Martin Burkhardt; James H.-C. Chen; Deniz Civay; Susan S.-C. Fan; Emily Gallagher; Scott Halle; Ming He; Craig Higgins; Hirokazu Kato; Jongwook Kye; Chiew-Seng Koay; Guillaume Landie; Pak Leung; Gregory McIntyre; Satoshi Nagai; Karen Petrillo; Sudhar Raghunathan; Ralph Schlief; Lei Sun; Alfred Wagner; Tom Wallow; Yunpeng Yin; Xuelian Zhu; Matthew Colburn; Daniel Corliss; Cecilia Smolinski
Show Abstract
Pattern collapse mitigation strategies for EUV lithography
Author(s): Dario L. Goldfarb; Robert L. Bruce; James J. Bucchignano; David P. Klaus; Michael A. Guillorn; Chunghsi J. Wu
Show Abstract
Modeling and simulation of acid diffusion in chemically amplified resists with polymer-bound acid generator
Author(s): Takahiro Kozawa; Julius Joseph Santillan; Toshiro Itani
Show Abstract
Contact edge roughness and CD uniformity in EUV: effect of photo acid generator and sensitizer
Author(s): Vijaya-Kumar Murugesan Kuppuswamy; Vassilios Constantoudis; Evangelos Gogolides; Alessandro Vaglio Pret; Roel Gronheid
Show Abstract
EUV mask multilayer defects and their printability under different multilayer deposition conditions
Author(s): Hyuk Joo Kwon; Jenah Harris-Jones; Aaron Cordes; Masaki Satake; Ying Li; Iacopo Mochi; Kenneth A. Goldberg
Show Abstract
Printability study of pattern defects in the EUV mask as a function of hp nodes
Author(s): Tae-Geun Kim; Hwan-Seok Seo; In-Yong Kang; Chang Young Jeong; Sungmin Huh; Jihoon Na; Seong-Sue Kim; Chan-Uk Jeon; Iacopo Mochi; Kenneth A. Goldberg
Show Abstract
Alternative smoothing techniques to mitigate EUV substrate defectivity
Author(s): R. Teki; A. John Kadaksham; M. House; J. Harris-Jones; A. Ma; S. V. Babu; A. Hariprasad; P. Dumas; R. Jenkins; J. Provine; A. Richmann; J. Stowers; S. Meyers; U. Dietze; T. Kusumoto; T. Yatsui; M. Ohtsu; F. Goodwin
Show Abstract
Closing the gap for EUV mask repair
Author(s): T. Bret; R. Jonckheere; D. Van den Heuvel; C. Baur; M. Waiblinger; G. Baralia
Show Abstract
A next-generation EMF simulator for EUV lithography based on the pseudo-spectral time-domain method
Author(s): Michael S. Yeung
Show Abstract
Analysis of EUV mask multilayer defect printing characteristics
Author(s): Andreas Erdmann; Peter Evanschitzky; Tristan Bret; Rik Jonckheere
Show Abstract
Development of laser-produced plasma-based EUV light source technology for HVM EUV lithography
Author(s): Junichi Fujimoto; Tsukasa Hori; Tatsuya Yanagida; Takeshi Ohta; Yasufumi Kawasuji; Yutaka Shiraishi; Tamotsu Abe; Takeshi Kodama; Hiroaki Nakarai; Taku Yamazaki; Hakaru Mizoguchi
Show Abstract
Wavelength dependence of prepulse laser beams on EUV emission from CO2 reheated Sn plasma
Author(s): J. R. Freeman; S. S. Harilal; T. Sizyuk; A. Hassanein; B. Rice
Show Abstract
Extreme ultraviolet and out-of-band radiation emission from a tin-droplet-based LPP source
Author(s): Oran Morris; Andrea Z. Giovannini; Nadia Gambino; Ian Henderson; Reza S. Abhari
Show Abstract
Printability and inspectability of defects on EUV blank for 2xnm hp HVM application
Author(s): Sungmin Huh; In-Yong Kang; Chang Young Jeong; Jihoon Na; Dong Ryul Lee; Hwan-seok Seo; Seong-Sue Kim; Chan-Uk Jeon; Jonggul Doh; Gregg Inderhees; Jinho Ahn
Show Abstract
Closing the infrastructure gap: status of the AIMS EUV project
Author(s): Dirk Hellweg; Markus Weiss; Sascha Perlitz; Jan Hendrik Peters; Wolfgang Harnisch; Michael Goldstein
Show Abstract
Quantification of shot noise contributions to contact hole local CD nonuniformity
Author(s): Roel Gronheid; Gustaf Winroth; Alessandro Vaglio Pret; Todd R. Younkin
Show Abstract
Impact of EUV mask surface roughness on LER
Author(s): Alessandro Vaglio Pret; Roel Gronheid; Todd R. Younkin; Michael J. Leeson; Pei-Yang Yan
Show Abstract
EUV mask line edge roughness
Author(s): Amy E. Zweber; Emily Gallagher; Martha Sanchez; Tasuku Senna; Yoshiyuki Negishi; Toshio Konishi; Anne McGuire; Luisa Bozano; Phil Brock; Hoa Truong
Show Abstract
Using the transport of intensity equation to predict mask-induced speckle through focus
Author(s): Brittany M. McClinton; Patrick P. Naulleau
Show Abstract
A multistep approach for reticle cleaning
Author(s): N. B. Koster; J. C. J. van der Donck; J. K. Stortelder; A. J. de Jong; F. T. Molkenboer
Show Abstract
Investigation of EUV haze defect: molecular behaviors of mask cleaning chemicals on EUV mask surfaces
Author(s): Jaehyuck Choi; Steve Novak; Yudhishthir Kandel; Greg Denbeaux; Han-shin Lee; Andy Ma; Frank Goodwin
Show Abstract
High sensitivity chemically amplified EUV resists through enhanced EUV absorption
Author(s): Owendi Ongayi; Matthew Christianson; Matthew Meyer; Suzanne Coley; David Valeri; Amy Kwok; Mike Wagner; Jim Cameron; Jim Thackeray
Show Abstract
A new inorganic EUV resist with high-etch resistance
Author(s): Markos Trikeriotis; Marie Krysak; Yeon Sook Chung; Christine Ouyang; Brian Cardineau; Robert Brainard; Christopher K. Ober; Emmanuel P. Giannelis; Kyoungyong Cho
Show Abstract
Tightly bound ligands for hafnium nanoparticle EUV resists
Author(s): Brian Cardineau; Marie Krysak; Markos Trikeriotis; Emmanuel Giannelis; Christopher K. Ober; Kyoungyong Cho; Robert Brainard
Show Abstract
Evaluation of resist performance with EUV interference lithography for sub-22-nm patterning
Author(s): Yasin Ekinci; Michaela Vockenhuber; Bernd Terhalle; Mohamad Hojeij; Li Wang; Todd R. Younkin
Show Abstract
Effects of out-of-band radiation on EUV resist performance
Author(s): Koji Inukai; Shalini Sharma; Hiroki Nakagawa; Makoto Shimizu; Tooru Kimura
Show Abstract
Out-of-band insensitive polymer-bound PAG for EUV resist
Author(s): Jun Iwashita; Taku Hirayama; Kensuke Matsuzawa; Yoshiyuki Utsumi; Katsumi Ohmori
Show Abstract
EUVL multilayer mask blank defect mitigation for defect-free EUVL mask fabrication
Author(s): Pei-Yang Yan; Yan Liu; Marilyn Kamna; Guojing Zhang; Robert Chen; Fabian Martinez
Show Abstract
Analytical treatment of the deformation behavior of EUVL masks during electrostatic chucking
Author(s): Gerd Brandstetter; Sanjay Govindjee
Show Abstract
Effect of radiation on the defectivity and stability of Ru-capped MoSi multilayer blanks
Author(s): Abbas Rastegar; Göksel Durkaya; Aron Cepler; Matt House; Steve Novak
Show Abstract
The SEMATECH Berkeley MET: demonstration of 15-nm half-pitch in chemically amplified EUV resist and sensitivity of EUV resists at 6.x-nm
Author(s): Chris Anderson; Dominic Ashworth; Lorie Mae Baclea-An; Suchit Bhattari; Rikos Chao; Rene Claus; Paul Denham; Ken Goldberg; Andrew Grenville; Gideon Jones; Ryan Miyakawa; Ken Murayama; Hiroki Nakagawa; Senajith Rekawa; Jason Stowers; Patrick Naulleau
Show Abstract
Wavelength selection for multilayer coatings for the lithography generation beyond EUVL
Author(s): Igor A. Makhotkin; Erwin Zoethout; Eric Louis; Andrei M. Yakunin; Stephan Müllender; Fred Bijkerk
Show Abstract
A 6.7-nm beyond EUV source as a future lithography source
Author(s): Takamitsu Otsuka; Bowen Li; Colm O'Gorman; Thomas Cummins; Deirdre Kilbane; Takeshi Higashiguchi; Noboru Yugami; Weihua Jiang; Akira Endo; Padraig Dunne; Gerard O'Sullivan
Show Abstract
Development of EUV lithography tool technologies at Nikon
Author(s): Katsuhiko Murakami; Tetsuya Oshino; Hiroyuki Kondo; Hiroshi Chiba; Yoshio Kawabe; Takuro Ono; Noriaki Kandaka; Atsushi Yamazaki; Takashi Yamaguchi; Ryo Shibata; Masayuki Shiraishi
Show Abstract
Low CoO grazing incidence collectors for EUVL HVM
Author(s): G. Bianucci; G. L. Cassol; N. M. Ceglio; G. Valsecchi; F. Zocchi
Show Abstract
Optical performance of LPP multilayer collector mirrors
Author(s): Torsten Feigl; Marco Perske; Hagen Pauer; Tobias Fiedler; Sergiy Yulin; Marcus Trost; Sven Schröder; Angela Duparré; Norbert Kaiser; Andreas Tünnermann; Norbert R. Böwering; Alex I. Ershov; Kay Hoffmann; Bruno La Fontaine; Kevin D. Cummings
Show Abstract
Aerial image monitor for wavefront metrology of high-resolution EUV lithography tools
Author(s): Ryan Miyakawa; Patrick Naulleau
Show Abstract
Subaperture phase reconstruction from a Hartmann wavefront sensor by phase retrieval method for application in EUV adaptive optics
Author(s): A. Polo; N. van Marrewijk; S. F. Pereira; H. P. Urbach
Show Abstract
Comparison study for 3x-nm contact hole CD uniformity between EUV lithography and ArF immersion double patterning
Author(s): Keundo Ban; Junggun Heo; Hyunkyung Shim; Minkyung Park; Kilyoung Lee; Sunyoung Koo; Jaeheon Kim; Cheolkyu Bok; Myoungsoo Kim; Hyosang Kang
Show Abstract
Key parameters of EUV resists for contact hole applications
Author(s): Kyoungyong Cho; Hiroki Nakagawa; Ken Maruyama; Makoto Shimizu; Tooru Kimura; Yoshi Hishiro
Show Abstract
Modeling the effects of acid amplifiers on photoresist stochastics
Author(s): Gregg M. Gallatin; Patrick P. Naulleau; Robert L. Brainard
Show Abstract
Calibration and verification of a stochastic model for EUV resist
Author(s): Weimin Gao; Alexander Philippou; Ulrich Klostermann; Joachim Siebert; Vicky Philipsen; Eric Hendrickx; Tom Vandeweyer; Gian Lorusso
Show Abstract
Resist outgassing characterization for qualification in high power EUV lithography
Author(s): Toshiya Takahashi; Norihiko Sugie; Kazuhiro Katayama; Isamu Takagi; Yukiko Kikuchi; Eishi Shiobara; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita
Show Abstract
From performance validation to volume introduction of ASML's NXE platform
Author(s): Hans Meiling; Wim de Boeij; Frank Bornebroek; Noreen Harned; Ivo de Jong; Peter Kűrz; Martin Lowisch; Henk Meijer; David Ockwell; Rudy Peeters; Eelco van Setten; Judon Stoeldraijer; Christian Wagner; Stuart Young; Ron Kool
Show Abstract
Laser produced plasma EUV sources for device development and HVM
Author(s): David C. Brandt; Igor V. Fomenkov; Michael J. Lercel; Bruno M. La Fontaine; David W. Myers; Daniel J. Brown; Alex I. Ershov; Richard L. Sandstrom; Alexander N. Bykanov; Georgiy O. Vaschenko; Norbert R. Böwering; Palash Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christian J. Wittak; Michael R. Woolston; Robert J. Rafac; Jonathan Grava; Alexander A. Schafgans; Yezheng Tao
Show Abstract
EUV resist performance: current assessment for sub-22-nm half-pitch patterning on NXE:3300
Author(s): T. Wallow; D. Civay; S. Wang; H. F. Hoefnagels; C. Verspaget; G. Tanriseven; A. Fumar-Pici; S. Hansen; J. Schefske; M. Singh; R. Maas; Y. van Dommelen; J. Mallman
Show Abstract
Model calibration and slit position effect on full-mask process and proximity correction for extreme ultraviolet lithography
Author(s): Hsu-Ting Huang; Huixiong Dai; Ali Mokhberi; Xumou Xu; Anwei Liu; Chris Ngai
Show Abstract
Model calibration and validation for pre-production EUVL
Author(s): Gian F. Lorusso; Jeroen Van de Kerkhove; Peter De Bisschop; Eric Hendrickx; J. Jiang; D. Rio; W. Liu; H. Liu
Show Abstract
EUV OPC for the 20-nm node and beyond
Author(s): Chris H. Clifford; Yi Zou; Azat Latypov; Oleg Kritsun; Thomas Wallow; Harry J. Levinson; Fan Jiang; Deniz Civay; Keith Standiford; Ralph Schlief; Lei Sun; Obert R. Wood; Sudhar Raghunathan; Pawitter Mangat; Hui Peng Koh; Craig Higgins; Jeffrey Schefske; Mandeep Singh
Show Abstract
Development of practical flare correction tool for full chip in EUV lithography
Author(s): Taiga Uno; Hiromitsu Mashita; Masahiro Miyairi; Toshiya Kotani
Show Abstract
Study on CD variation in the vicinity of the exposure field edge in EUV lithography
Author(s): Chang-Moon Lim; Seokkyun Kim; Jun-Taek Park; Yoonsuk Hyun; Jong-Su Lee; Sunyoung Koo; Myoungsoo Kim; Hyosang Kang
Show Abstract
EUV actinic imaging tool aerial image evaluation of EUVL embedded phase shift mask performance
Author(s): Pei-Yang Yan; Iacopo Mochi; Ken Goldberg
Show Abstract
Phase defect mitigation strategy: fiducial mark requirements on extreme ultraviolet lithography mask
Author(s): Tetsunori Murachi; Tsuyoshi Amano; Sung Hyun Oh
Show Abstract
Phase defect printability analyses: dependence of defect type and EUV exposure condition
Author(s): Tsuneo Terasawa; Takeshi Yamane; Yukiyasu Arisawa; Hidehiro Watanabe
Show Abstract
Smoothing of substrate pits using ion beam deposition for EUV lithography
Author(s): Jenah Harris-Jones; Vibhu Jindal; Patrick Kearney; Ranganath Teki; Arun John; Hyuk Joo Kwon
Show Abstract
Origin of EUV mask blank defects from ion beam deposition
Author(s): H. Yu; D. Andruczyk; D. N. Ruzic; V. Jindal; P. Kearney; Y. Jiang
Show Abstract
Understanding the ion beam in EUV mask blank production
Author(s): Patrick Kearney; Vibhu Jindal; Alfred Weaver; Pat Teora; John Sporre; David Ruzic; Frank Goodwin
Show Abstract
Particle contamination effects in EUVL: enhanced theory for the analytical determination of critical particle sizes
Author(s): Gerd Brandstetter; Sanjay Govindjee
Show Abstract
Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks
Author(s): V. Jindal; P. Kearney; J. Sohn; J. Harris-Jones; A. John; M. Godwin; A. Antohe; R. Teki; A. Ma; F. Goodwin; A. Weaver; P. Teora
Show Abstract
Liftoff lithography of metals for extreme ultraviolet lithography mask absorber layer patterning
Author(s): Adam Lyons; Ranganath Teki; John Hartley
Show Abstract
Optimizing EUV mask blank cleaning processes using the Lasertec M7360
Author(s): Takeya Shimomura; Arun John Kadaksham; Matt House; Andy Ma; Frank Goodwin
Show Abstract
The validity of a simplified model for mask roughness induced LER under off-axis illumination with dense and isolated lines
Author(s): Brittany M. McClinton; Patrick P. Naulleau
Show Abstract
Tradeoffs in mask architecture: dealing with future illumination angular diversity
Author(s): Brittany M. McClinton; Patrick P. Naulleau
Show Abstract
Mask shadowing and the line-edge transfer function
Author(s): Brittany M. McClinton; Thomas Wallow; Patrick P. Naulleau
Show Abstract
A simulation study of cleaning induced EUV reflectivity loss mechanisms on mask blanks
Author(s): Mihir Upadhyaya; Gregory Denbeaux; Arun John Kadaksham; Vibhu Jindal; Jenah Harris-Jones; Byunghoon Lee; Frank Goodwin
Show Abstract
3D mask modeling for EUV lithography
Author(s): Julien Mailfert; Christian Zuniga; Vicky Philipsen; Konstantinos Adam; Michael Lam; James Word; Eric Hendrickx; Geert Vandenberghe; Bruce Smith
Show Abstract
Developing particle detection test bench for vacuum components
Author(s): Yashdeep Khopkar; Henry Herbol; Mihir Upadhyaya; Gregory Denbeaux; Vibhu Jindal; Patrick Kearney
Show Abstract
Development of fiducial marks on EUV blanks for defect mitigation process
Author(s): Takahiro Onoue; Kazuhiro Hamamoto; Toshihiko Orihara; Osamu Maruyama; Tsutomu Shoki; Junichi Horikawa
Show Abstract
Applicability of e-beam mask inspection to EUV mask production
Author(s): Lior Shoval; Shmoolik Mangan; Ishai Schwarzband; Sergey Khristo; Vivek Balasubramanian; Shay Goldstein; Ran Brikman; Nir Shoshani
Show Abstract
Computational study of line tip printability of sub-20-nm technology
Author(s): Lei Yuan; Thomas Wallow; Deniz Civay; Linus Jang; Jongwook Kye; Harry Levinson; Sohan Singh; Mark Kelling
Show Abstract
The role resist plays in EUVL extensibility
Author(s): Shinn-Sheng Yu; Anthony Yen; Chih-T'sung Shih; Yen-Cheng Lu; Shu-Hao Chang; Jui-Ching Wu; Jimmy Hu; Timothy Wu
Show Abstract
The factor affecting LWR and sensitivity in EUV resist material
Author(s): Joonhee Han; Jin Bong Shin; Yong Hwa Hong; So Jung Park; Hyun Soon Lim; Hyun Sang Joo; Sam Min Kim; Dong Chul Seo
Show Abstract
Coat-develop track process for inorganic EUV resist
Author(s): Masahiko Harumoto; Tadashi Miyagi; Koji Kaneyama; Akihiko Morita; Charles Pieczulewski; Masaya Asai; Benjamin Clark
Show Abstract
The novel top-coat material for RLS trade-off reduction in EUVL
Author(s): Ryuji Onishi; Rikimaru Sakamoto; Noriaki Fujitani; Takafumi Endo; Bang-ching Ho
Show Abstract
EUV assist layers for use in multilayer processes
Author(s): Tantiboro Ouattara; Carlton Washburn; Aline Collin; Vandana Krishnamurthy; Douglas Guerrero; Michael Weigand
Show Abstract
Comparison of EUV and e-beam lithographic technologies for sub-22-nm node patterning
Author(s): James Cameron; Jim Thackeray; Jin Wuk Sung; Suzanne M. Coley; Vipul Jain; Owendi Ongayi; Mike D. Wagner; Paul LaBeaume; Amy Kwok; David Valeri; Marie Hellion; Béatrice Icard; Bernard Dal'zotto; Claire Sourd; Laurent Pain
Show Abstract
The study of synthesis and photocuring behaviors of organic silicon modified methylacrylate and acrylate
Author(s): Si-yuan Wang; Ying-quan Zou
Show Abstract
Line width roughness control for EUV patterning
Author(s): Karen Petrillo; George Huang; Dominic Ashworth; Liping Ren; K.-Y. Cho; Stefan Wurm; Shinichiro Kawakami; Lior Huli; Shannon Dunn; Akiteru Ko
Show Abstract
Laser-produced plasma UTA emission in 3-7nm spectral region
Author(s): Takeshi Higashiguchi; Takamitsu Otsuka; Noboru Yugami; Weihua Jiang; Akira Endo; Bowen Li; Colm O'Gorman; Thomas Cummins; Deirdre Kilbane; Padraig Dunne; Gerard O'Sullivan
Show Abstract
Modeling and optimization of mass-limited targets for EUV lithography
Author(s): T. Sizyuk; A. Hassanein
Show Abstract
In-situ Sn contamination removal by hydrogen plasma
Author(s): J. Sporre; D. Elg; D. Andruczyk; T. Cho; D. N. Ruzic; S. Srivastava; D. C. Brandt
Show Abstract
High brightness electrodeless Z-Pinch EUV source for mask inspection tools
Author(s): Stephen F. Horne; Matthew J. Partlow; Deborah S. Gustafson; Matthew M. Besen; Donald K. Smith; Paul A. Blackborow
Show Abstract
Light sources for EUV lithography at the 22-nm node and beyond
Author(s): Igor V. Fomenkov; Norbert R. Böwering; David C. Brandt; Daniel J. Brown; Alexander N. Bykanov; Alex I. Ershov; Bruno La Fontaine; Michael J. Lercel; David W. Myers
Show Abstract
Development of the reliable high power pulsed carbon dioxide laser system for LPP EUV light source
Author(s): Takeshi Ohta; Krzysztof M. Nowak; Takashi Suganuma; Hidenobu Kameda; Masato Moriya; Toshio Yokoduka; Yasufumi Kawasuji; Junichi Fujimoto; Hakaru Mizoguchi
Show Abstract
Tin droplets for LPP EUV sources
Author(s): Bob Rollinger; Luna Bozinova; Nadia Gambino; Reza S. Abhari
Show Abstract
Modeling the ion beam target interaction to reduce defects generated by ion beam deposition
Author(s): Thomas Cardinal; Daniel Andruczyk; He Yu; Vibhu Jindal; Patrick Kearney; David N. Ruzic
Show Abstract
Sub-atmospheric gas purification for EUVL vacuum environment control
Author(s): Abneesh Srivastava; Stenio Pereira; Thomas Gaffney
Show Abstract
Analysis and control of thermal and structural deformation of projection optics for 22-nm EUV lithography
Author(s): Guanghua Yang; Yanqiu Li
Show Abstract
Is extreme ultraviolet pellicle possible? - in terms of heat absorption
Author(s): In-Seon Kim; Hyung-Cheol Lee; Eun-Jin Kim; Ji-Won Kim; Hye-Keun Oh
Show Abstract
Latest cluster performance for EUV lithography
Author(s): H. Shite; K. Matsunaga; K. Nafus; H. Kosugi; P. Foubert; J. Hermans; E. Hendrickx; M. Goethals; D. Van Den Heuvel
Show Abstract
Longer wavelength EUV lithography (LW-EUVL)
Author(s): Christopher W. Maloney; Bruce W. Smith
Show Abstract
Effect of extreme-ultraviolet pellicle support to patterned mask
Author(s): Ki-ho Ko; Eun-Jin Kim; Ji-Won Kim; Jun-Taek Park; Chang-Moon Lim; Hye-keun Oh
Show Abstract
Efficient multi-die placement for blank defect mitigation in EUV lithography
Author(s): Yuelin Du; Hongbo Zhang; Martin D. F. Wong; Yunfei Deng; Rasit O. Topaloglu
Show Abstract
Low energy electron bombardment induced surface contamination of Ru mirrors
Author(s): A. Al-Ajlony; A. Kanjilal; M. Catalfano; S. S. Harilal; A. Hassanein; B. Rice
Show Abstract
Mirror contamination and secondary electron effects during EUV reflectivity analysis
Author(s): M. Catalfano; A. Kanjilal; A. Al-Ajlony; S. S. Harilal; A. Hassanein; B. Rice
Show Abstract
Impact of the phase defect structure on an actinic dark-field blank inspection signal and wafer printability
Author(s): Tsuyoshi Amano; Tetsunori Murachi; Takeshi Yamane; Yukiyasu Arisawa; Tsuneo Terasawa
Show Abstract
Study of actinic dark-field inspection with programmed amplitude defects
Author(s): Noriaki Takagi; Takeshi Yamane; Yukiyasu Arisawa; Tsuneo Terasawa
Show Abstract
Extension of PTB's EUV metrology facilities
Author(s): Christian Laubis; Andreas Fischer; Frank Scholze
Show Abstract
Energetic ion and neutral energy analyzer for extreme-ultraviolet light sources
Author(s): Daniel Andruczyk; John Sporre; Dan Elg; Tae Cho; David N. Ruzic
Show Abstract
50X, 75X mask cleaning effects on EUV lithography process and lifetime: lines and spaces, contacts, and LER
Author(s): Brittany M. McClinton; Robert J. Chen; Simi A. George; Yongbae Kim; Lorie-Mae Baclea-an; Patrick P. Naulleau
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray