Share Email Print
cover

PROCEEDINGS VOLUME 7271

Alternative Lithographic Technologies
For the purchase of this volume in printed format, please visit Proceedings.com

Volume Details

Volume Number: 7271
Date Published: 17 March 2009

Table of Contents
show all abstracts | hide all abstracts
Front Matter: Volume 7271
Author(s): Proceedings of SPIE
EUVL system: moving towards production
Author(s): Hans Meiling; Nico Buzing; Kevin Cummings; Noreen Harned; Bas Hultermans; Roel de Jonge; Bart Kessels; Peter Kürz; Sjoerd Lok; Martin Lowisch; Joerg Mallman; Bill Pierson; Christian Wagner; Andre van Dijk; Eelco van Setten; John Zimmerman
Show Abstract
LPP source system development for HVM
Author(s): David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; Norbert R. Böwering; Nigel R. Farrar; Georgiy O. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou
Show Abstract
Integration of EUV lithography in the fabrication of 22-nm node devices
Author(s): Obert Wood; Chiew-Seng Koay; Karen Petrillo; Hiroyuki Mizuno; Sudhar Raghunathan; John Arnold; Dave Horak; Martin Burkhardt; Gregory McIntyre; Yunfei Deng; Bruno La Fontaine; Uzodinma Okoroanyanwu; Anna Tchikoulaeva; Tom Wallow; James H.-C. Chen; Matthew Colburn; Susan S.-C. Fan; Bala S. Haran; Yunpeng Yin
Show Abstract
On the integration of memristors with CMOS using nanoimprint lithography
Author(s): Qiangfei Xia; W. M. Tong; W. Wu; J. J. Yang; X. Li; W. Robinett; T. Cardinali; M. Cumbie; J. E. Ellenson; P. Kuekes; R. S. Williams
Show Abstract
REBL nanowriter: Reflective Electron Beam Lithography
Author(s): Paul Petric; Chris Bevis; Alan Brodie; Allen Carroll; Anthony Cheung; Luca Grella; Mark McCord; Henry Percy; Keith Standiford; Marek Zywno
Show Abstract
Laser-produced plasma source development for EUV lithography
Author(s): Akira Endo; Hiroshi Komori; Yoshifumi Ueno; Krzysztof M. Nowak; Yabu Takayuki; Yanagida Tatsuya; Takashi Suganuma; Takeshi Asayama; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Masato Moriya; Toshihiro Nishisaka; Tamotsu Abe; Akira Sumitani; Hitoshi Nagano; Youichi Sasaki; Shinji Nagai; Yukio Watanabe; Georg Soumagne; Takanobu Ishihara; Osamu Wakabayashi; Kouji Kakizaki; Hakaru Mizoguchi
Show Abstract
Xenon DPP source technologies for EUVL exposure tools
Author(s): Masaki Yoshioka; Denis Bolshukhin; Marc Corthout; Günther H. Derra; Sven Götze; Jeroen Jonkers; Jürgen Kleinschmidt; Rainer Müller; Max C. Schürmann; Guido Schriever; Rob Snijkers; Peter Zink
Show Abstract
Design and fabrication considerations of EUVL collectors for HVM
Author(s): G. Bianucci; G. L. Cassol; J. Kools; M. Prea; G. Salmaso; G. Valsecchi; F. E. Zocchi; D. Bolshukhin; M. Schürmann; G. Schriever; A. Mader; P. Zink
Show Abstract
Characteristics and issues of an EUVL mask applying phase-shifting thinner absorber for device fabrication
Author(s): Hwan-Seok Seo; Dong-Gun Lee; Byung-Sup Ahn; Hakseung Han M.D.; Sungmin Huh; In-Yong Kang; Hoon Kim; Dongwan Kim; Seong-Sue Kim; Han-Ku Cho
Show Abstract
Nanopit smoothing by cleaning
Author(s): Abbas Rastegar; Sean Eichenlaub; Arun John Kadaksham; Matt House
Show Abstract
Compensation of overlay errors due to mask bending and non-flatness for EUV masks
Author(s): Manish Chandhok; Sanjay Goyal; Steven Carson; Seh-Jin Park; Guojing Zhang; Alan M. Myers; Michael L. Leeson; Marilyn Kamna; Fabian C. Martinez; Alan R. Stivers; Gian F. Lorusso; Jan Hermans; Eric Hendrickx; Sanjay Govindjee; Gerd Brandstetter; Tod Laursen
Show Abstract
Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance in the presence of particles for EUV lithography
Author(s): Michael R. Sogard; Andrew R. Mikkelson; Vasu Ramaswamy; Roxann L. Engelstad
Show Abstract
Protection efficiency of a standard compliant EUV reticle handling solution
Author(s): Long He; John Lystad; Stefan Wurm; Kevin Orvek; Jaewoong Sohn; Andy Ma; Patrick Kearney; Steve Kolbow; David Halbmaier
Show Abstract
Cell projection use in maskless lithography for 45nm and 32nm logic nodes
Author(s): S. Manakli; H. Komami; M. Takizawa; T. Mitsuhashi; L. Pain
Show Abstract
Optimal character-size exploration for increasing throughput of MCC lithographic systems
Author(s): Makoto Sugihara
Show Abstract
PML2: the maskless multibeam solution for the 22nm node and beyond
Author(s): C. Klein; E. Platzgummer; J. Klikovits; W. Piller; H. Loeschner; T. Bejdak; P. Dolezel; V. Kolarik; W. Klingler; F. Letzkus; J. Butschke; M. Irmscher; M. Witt; W. Pilz; P. Jaschinsky; F. Thrum; C. Hohle; J. Kretz; J. T. Nogatch; A. Zepka
Show Abstract
MAPPER: high-throughput maskless lithography
Author(s): M. J. Wieland; G. de Boer; G. F. ten Berge; R. Jager; T. van de Peut; J. J. M. Peijster; E. Slot; S. W. H. K. Steenbrink; T. F. Teepen; A. H. V. van Veen; B. J. Kampherbeek
Show Abstract
Coulomb blur advantage of a multi-shaped beam lithography approach
Author(s): Matthias Slodowski; Hans-Joachim Doering; Thomas Elster; Ines A. Stolberg
Show Abstract
Development of resist process for 5-KV multi-beam technology
Author(s): B. Icard; D. Rio; P. Veltman; B. Kampherbeek; C. Constancias; L. Pain
Show Abstract
Stability and imaging of the ASML EUV alpha demo tool
Author(s): Jan V. Hermans; Bart Baudemprez; Gian Lorusso; Eric Hendrickx; Andre van Dijk; Rik Jonckheere; Anne-Marie Goethals
Show Abstract
Flare evaluation of ASML alpha demo tool
Author(s): Hiroyuki Mizuno; Gregory McIntyre; Chiew-seng Koay; Martin Burkhardt; Bruno La Fontaine; Obert Wood
Show Abstract
The SEMATECH Berkeley microfield exposure tool: learning at the 22-nm node and beyond
Author(s): Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael Goldstein; Brian Hoef; Russ Hudyma; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany McClinton; Ryan H. Miyakawa; Warren Montgomery; John Roller; Thomas Wallow; Stefan Wurm
Show Abstract
Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool
Author(s): Simi A. George; Patrick P. Naulleau; Senajith Rekawa; Eric Gullikson; Charles Drew Kemp
Show Abstract
Estimation of cost comparison of lithography technologies at the 22-nm half-pitch node
Author(s): Andrea Wüest; Andrew J. Hazelton; Greg Hughes
Show Abstract
Carbon film growth on model electron-irradiated MLM cap layer: interaction of benzene and MMA vapor with TiO2 surface
Author(s): B. V. Yakshinskiy; S. Zalkind; R. A. Bartynski; R. Caudillo; T. E. Madey
Show Abstract
Tracking down sources of carbon contamination in EUVL exposure tools
Author(s): C. Tarrio; R. E. Vest; T. B. Lucatorto; R. Caudillo
Show Abstract
Measuring the EUV-induced contamination rates of TiO2-capped multilayer optics by anticipated production-environment hydrocarbons
Author(s): S. B. Hill; N. S. Faradzhev; C. S. Tarrio; T. B. Lucatorto; R. A. Bartynski; B. V. Yakshinskiy; T. E. Madey
Show Abstract
The application of EUV lithography for 40nm node DRAM device and beyond
Author(s): Joo-on Park; Chawon Koh; Doohoon Goo; InSung Kim; Changmin Park; Jeonghoon Lee; JinHong Park; JeongHo Yeo; Seong-Woon Choi; Chan-hoon Park
Show Abstract
Comparative study of DRAM cell patterning between ArF immersion and EUV lithography
Author(s): Tae-Seung Eom; Sarohan Park; Jun-Taek Park; Chang-Moon Lim; Sunyoung Koo; Yoon-Suk Hyun; HyeongSoo Kim; Byung-Ho Nam; Chang-Reol Kim; Seung-Chan Moon; Noh-Jung Kwak; Sungki Park
Show Abstract
Demonstration of full-field patterning of 32 nm test chips using EUVL
Author(s): Gilroy Vandentop; Manish Chandhok; Ernisse S. Putna; Todd R. Younkin; James S. Clarke; Steven Carson; Alan Myers; Michael Leeson; Guojing Zhang; Ted Liang; Tetsunori Murachi
Show Abstract
EUVL reticle defectivity evaluation
Author(s): A. Tchikoulaeva; U. Okoroanyanwu; O. Wood; B. La Fontaine; C. Holfeld; S. Kini; M. Peikert; C. Boye; C.-S. Koay; K. Petrillo; H. Mizuno
Show Abstract
Recent progress of EUV full-field exposure tool in Selete
Author(s): Kazuo Tawarayama; Hajime Aoyama; Shunko Magoshi; Yuusuke Tanaka; Seiichiro Shirai; Hiroyuki Tanaka
Show Abstract
Exposure tool settings and OPC strategies for EUV lithography at the 16-nm node
Author(s): Yunfei Deng; Jongwook Kye; Bruno La Fontaine; Tom Wallow; Obert Wood; Harry Levinson; Anita Fumar-Pici; Hiroyuki Mizuno; Chiew-seng Koay; Greg McIntyre
Show Abstract
Requirements and results of a full-field EUV OPC flow
Author(s): Stephen Jang; Lena Zavyalova; Brian Ward; Kevin Lucas
Show Abstract
Imaging budgets for EUV optics: ready for 22-nm node and beyond
Author(s): Marc Bienert; Aksel Göhnemeier; Oliver Natt; Martin Lowisch; Paul Gräupner; Tilmann Heil; Reiner B. Garreis; Koen van Ingen Schenau; Steve Hansen
Show Abstract
Modeling and experiments of non-telecentric thick mask effects for EUV lithography
Author(s): Gregory McIntyre; Chiew-seng Koay; Martin Burkhardt; Hiro Mizuno; Obert R. Wood II
Show Abstract
Mask diffraction analysis and optimization for EUV masks
Author(s): Andreas Erdmann; Peter Evanschitzky; Tim Fühner
Show Abstract
Comparison of fast 3D simulation and actinic inspection for EUV masks with buried defects
Author(s): Chris H. Clifford; Sandy Wiraatmadja; Tina T. Chan; Andrew R. Neureuther; Kenneth A. Goldberg; Iacopo Mochi; Ted Liang
Show Abstract
EUV-patterning characterization using a 3D mask simulation and field EUV scanner
Author(s): Jun-Taek Park; Yoon-Suk Hyun; Chang-Moon Lim; Tae-Seung Eom; Sunyoung Koo; Sarohan Park; Suk-Kyun Kim; Keun-Do Ban; Hyun-Jo Yang; Chang-Il Oh; Byung-Ho M. Nam; Chang-Reol Kim; HyeongSoo Kim; Seung-Chan Moon; Sungki Park
Show Abstract
Full-chip characterization of compression algorithms for direct-write maskless lithography systems
Author(s): Avideh Zakhor; Vito Dai; George Cramer
Show Abstract
Scalable (24-140 Gbps) optical data link well adapted for future maskless lithography applications
Author(s): A. Paraskevopoulos; S.-H. Voss; M. Talmi; G. Walf
Show Abstract
Step and flash imprint lithography for manufacturing patterned media
Author(s): Cynthia Brooks; Gerard M. Schmid; Mike Miller; Steve Johnson; Niyaz Khusnatdinov; Dwayne LaBrake; Douglas J. Resnick; S. V. Sreenivasan
Show Abstract
UV-NIL template making and imprint evaluation
Author(s): Shiho Sasaki; Takaaki Hiraka; Jun Mizuochi; Yuko Nakanishi; Satoshi Yusa; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi
Show Abstract
Soft stamp UV-nanoimprint lithography for fabrication of laser diodes
Author(s): Jukka Viheriälä; Milla-Riina Viljanen; Juha Kontio; Tomi Leinonen; Juha Tommila; Michail Dumitrescu; Tapio Niemi; Markus Pessa
Show Abstract
SEMATECH's nanoImprint program: a key enabler for nanoimprint introduction
Author(s): Lloyd C. Litt; Matt Malloy
Show Abstract
Direct laser write (DLW) as a versatile tool in manufacturing templates for imprint lithography on flexible substrates
Author(s): Marius G. Ivan; Jean-Baptiste Vaney; Dick Verhaart; Erwin R. Meinders
Show Abstract
Evaluation of the CD-SEM Vistec LWM90xx for line-width measurement of nanoimprint templates
Author(s): Marcus Pritschow; Joerg Butschke; Mathias Irmscher; Lidia Parisoli; Toshihide Oba; Toshimichi Iwai; Takayuki Nakamura
Show Abstract
Physical properties of thin nanoimprint polymer films measured by photo-acoustic metrology
Author(s): T. Kehoe; J. Bryner; V. Reboud; J. Vollmann; C. M. Sotomayor Torres
Show Abstract
High-resolution defect inspection of step-and-flash imprint lithography for 32-nm half-pitch patterning
Author(s): Kosta Selinidis; Ecron Thompson; Ian McMackin; S.V. Sreenivasan; Douglas J. Resnick
Show Abstract
Nikon EUVL development progress update
Author(s): Takaharu Miura; Katsuhiko Murakami; Hidemi Kawai; Yoshiaki Kohama; Kenji Morita; Kazunari Hada; Yukiharu Ohkubo
Show Abstract
Development status of Canon's full-field EUVL tool
Author(s): Takayuki Hasegawa; Shigeyuki Uzawa; Tokuyuki Honda; Yoshinari Higaki; Akira Miyake; Hideki Morishima
Show Abstract
Development progress of optics for EUVL at Nikon
Author(s): Katsuhiko Murakami; Tetsuya Oshino; Hiroyuki Kondo; Masayuki Shiraishi; Hiroshi Chiba; Hideki Komatsuda; Kazushi Nomura; Jin Nishikawa
Show Abstract
Process liability evaluation for EUVL
Author(s): Hajime Aoyama; Kazuo Tawarayama; Yuusuke Tanaka; Daisuke Kawamura; Yukiyasu Arisawa; Taiga Uno; Takashi Kamo; Toshihiko Tanaka; Toshiro Itani; Hiroyuki Tanaka; Yumi Nakajima; Ryoichi Inanami; Kosuke Takai; Koji Murano; Takeshi Koshiba; Kohji Hashimoto; Ichiro Mori
Show Abstract
Characterization of a 0.25NA full-field EUV exposure tool
Author(s): Oleg Kritsun; Bruno La Fontaine; Yudong Hao; Jie Li; Obert Wood; Sudharshanan Raghunathan; Tim Brunner; Chiew-Seng Koay; Hiroyuki Mizuno
Show Abstract
Development of actinic full-field EUV mask blank inspection tool at MIRAI-Selete
Author(s): Tsuneo Terasawa; Takeshi Yamane; Toshihiko Tanaka; Teruo Iwasaki; Osamu Suga; Toshihisa Tomie
Show Abstract
Improving the performance of the actinic inspection tool with an optimized alignment procedure
Author(s): Iacopo Mochi; Kenneth A. Goldberg; Patrick Naulleau; Sungmin Huh
Show Abstract
Assessment of EUV resist readiness for 32-nm hp manufacturing and extendibility study of EUV ADT using state-of-the-art resist
Author(s): Chawon Koh; Liping Ren; Jacque Georger; Frank Goodwin; Stefan Wurm; Bill Pierson; Joo-On Park; Tom Wallow; Todd R. Younkin; Patrick Naulleau
Show Abstract
EUV resist outgassing: scaling to HVM intensity
Author(s): Alin O. Antohe; Chimaobi Mbanaso; Yu-Jen Fan; Leonid Yankulin; Rashi Garg; Petros Thomas; Gregory Denbeaux; Emil C. Piscani; Andrea F. Wuest
Show Abstract
In situ measurement of annealing-induced line shape evolution in nanoimprinted polymers using scatterometry
Author(s): Heather J. Patrick; Thomas A. Germer; Yifu Ding; Hyun Wook Ro; Lee J. Richter; Christopher L. Soles
Show Abstract
Characterization of vinyl ether UV-cure nanoimprint resist
Author(s): Taiichi Furukawa; Frances A. Houle; Deborah L. Casher; Dolores C. Miller
Show Abstract
A study of filling process for UV nanoimprint lithography using a fluid simulation
Author(s): Ikuo Yoneda; Yasutada Nakagawa; Shinji Mikami; Hiroshi Tokue; Takumi Ota; Takeshi Koshiba; Masamitsu Ito; Koji Hashimoto; Tetsuro Nakasugi; Tatsuhiko Higashiki
Show Abstract
Lithographically directed materials assembly
Author(s): Richard P. Kingsborough; Russell B. Goodman; Keith Krohn; Theodore H. Fedynyshyn
Show Abstract
Automated imprint mask cleaning for step-and-flash imprint lithography
Author(s): Sherjang Singh; Ssuwei Chen; Kosta Selinidis; Brian Fletcher; Ian McMackin; Ecron Thompson; Douglas J. Resnick; Peter Dress; Uwe Dietze
Show Abstract
Optimization of droplets for UV-NIL using coarse-grain simulation of resist flow
Author(s): Vadim Sirotkin; Alexander Svintsov; Sergey Zaitsev
Show Abstract
Industrial applications demanding low and high resolution features realized by soft UV-NIL and hot embossing
Author(s): R. Miller; T. Glinsner; G. Kreindl; P. Lindner; M. Wimplinger
Show Abstract
Fabrication of metrology test structures for future technology nodes using high-resolution variable-shaped e-beam direct write
Author(s): László Szikszai; Philipp Jaschinsky; Katja Keil; Marc Hauptmann; Manfred Mört; Uwe Seifert; Christoph Hohle; Kang-Hoon Choi; Frank Thrum; Johannes Kretz; Vaeriano Ferreras Paz; Arie den Boef
Show Abstract
Sub-30-nm resolution parallel EB lithography based on a planar type Si nanowire array ballistic electron source
Author(s): A. Kojima; H. Ohyi; N. Koshida
Show Abstract
Optimization of BSE-detector for e-beam direct write lithography
Author(s): H. Alves; P. Hahmann; M. Slodowski; C. G. Frase; D. Gnieser; Klaus-Peter Johnsen; H. Bosse
Show Abstract
3D ion multibeam processing with the CHARPAN PMLP tool and with the single ion-beam FIB tool optimized with the IonRevSim software
Author(s): S. Zaitsev; A. Svintsov; C. Ebm; S. Eder-Kapl; H. Loeschner; E. Platzgummer; G. Lalev; S. Dimov; V. Velkova; B. Basnar
Show Abstract
Monitor and self-diagnostic technology for mask e-beam writing system
Author(s): Norihiko Samoto; Hironobu Manabe; Osamu Wakimoto; Satoshi Iida; Hiromichi Hoshi; Masaki Yamabe
Show Abstract
Proximity effect correction for 20nm dimension patterning
Author(s): Dai Tsunoda; Masahiro Shoji; Mitsuko Tatsugawa; Hiroyuki Tsunoe; Yusuke Iino; Piotr Jedrasik
Show Abstract
Fabrication of sub-10-nm pattern using diblock copolymer
Author(s): Naoko Kihara; Kazutaka Takizawa; Hiroyuki Hieda
Show Abstract
Interference assisted lithography for patterning of 1D gridded design
Author(s): Robert T. Greenway; Rudolf Hendel; Kwangok Jeong; Andrew B. Kahng; John S. Petersen; Zhilong Rao; Michael C. Smayling
Show Abstract
Kinetic simulation of debris from an LPP EUV source
Author(s): Bob Rollinger; Andrea Giovannini; Davide Bleiner; Ndaona Chokani; Reza S. Abhari
Show Abstract
High-performance next-generation EUV lithography light source
Author(s): Peter Choi; Sergey V. Zakharov; Raul Aliaga-Rossel; Otman Benali; Grainne Duffy; Ouassima Sarroukh; Edmund Wyndham; Vasily S. Zakharov
Show Abstract
Grid spectral purity filters for suppression of infrared radiation in laser-produced plasma EUV sources
Author(s): Wouter A. Soer; Martin J. J. Jak; Andrei M. Yakunin; Maarten M. J. W. van Herpen; Vadim Y. Banine
Show Abstract
Ablation depth in planar Sn targets during the interaction with a Nd:YAG laser for extreme ultraviolet lithography
Author(s): Russell A. Burdt; Sam Yuspeh; Kevin L. Sequoia; Mark S. Tillack; Yezheng Tao; Farrokh Najmabadi
Show Abstract
Atomic processes in the LPP and LA-DPP EUV sources
Author(s): Akira Sasaki; Katsunobu Nishihara; Atsushi Sunahara; Hiroyuki Furukawa; Takeshi Nishikawa; Fumihiro Koike
Show Abstract
Remote plasma cleaning of Sn from an EUV collector mirror
Author(s): H. Shin; R. Raju; D. N. Ruzic
Show Abstract
Debris characteristics and mitigation of a laser plasma tin-contained liquid jet/droplet targets
Author(s): Masanori Kaku; Shunsuke Touge; Masahito Katto; Shoichi Kubodera
Show Abstract
Evaluation at the intermediate focus for EUV light source
Author(s): Takashi Suganuma; Georg Soumagne; Masato Moriya; Tamotsu Abe; Akira Sumitani; Akira Endo
Show Abstract
Performance evaluation of source collector module for extreme ultraviolet small-field exposure tool
Author(s): Shunko Magoshi; Seiichiro Shirai; Hideto Mori; Kazuo Tawarayama; Yuusuke Tanaka; Hiroyuki Tanaka
Show Abstract
Effects of the ratio of sphere size to laser focal spot on the dominant in-band EUV emitting region
Author(s): Sam Yuspeh; Kevin L. Sequoia; Yezheng Tao; Mark S. Tillack; Russell A. Burdt; Farrokh Najmabadi
Show Abstract
Measurement of particle flux at the intermediate focus of a DPP source
Author(s): J. Sporre; R. Raju; D. N. Ruzic; V. Surla; F. Goodwin
Show Abstract
Laser-produced plasma light source for EUVL
Author(s): Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alex I. Ershov; William N. Partlo; Dave W. Myers; Norbert R. Böwering; Nigel R. Farrar; Georgiy O. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou
Show Abstract
Dependence of laser parameter on conversion efficiency in high-repetition-rate laser-ablation-discharge EUV source
Author(s): Yusuke Teramoto; Takuma Yokoyama; Hiroshi Mizokoshi; Hiroto Sato; Kazuaki Hotta
Show Abstract
Development of a high-pulse-rate EUV source
Author(s): Stephen F. Horne; Fred M. Niell; Matthew J. Partlow; Matthew M. Besen; Donald K. Smith; Paul A. Blackborow; Deborah Gustafson
Show Abstract
Optical element for full spectral purity from IR-generated EUV light sources
Author(s): A. J. R. van den Boogaard; E. Louis; F. A. van Goor; F. Bijkerk
Show Abstract
Removal of contaminants by plasma assisted cleaning by metastable atom neutralization (PACMAN)
Author(s): W. M. Lytle; D. S. Szybilski; C. E. Das; R. Raju; D. N. Ruzic
Show Abstract
Precise evaluation of zero-CTE temperature of EUVL-grade TiO2-SiO2 ultra-low-expansion glass using the line-focus-beam ultrasonic material characterization system
Author(s): Jun-ichi Kushibiki; Mototaka Arakawa
Show Abstract
Inspection 13.2-nm table-top full-field microscope
Author(s): F. Brizuela; Y. Wang; C. A. Brewer; F. Pedaci; W. Chao; E. H. Anderson; Y. Liu; K. A. Goldberg; P. P. Naulleau; P. W. Wachulak; M. C. Marconi; D. T. Attwood; J. J. Rocca; C. S. Menoni
Show Abstract
Experimental study of particle-free mask handling
Author(s): Mitsuaki Amemiya; Kazuya Ota; Takao Taguchi; Osamu Suga
Show Abstract
The performance of an actinic full-field EUVL mask blank inspection system
Author(s): Takeshi Yamane; Teruo Iwasaki; Toshihiko Tanaka; Tsuneo Terasawa; Osamu Suga; Toshihisa Tomie
Show Abstract
Defect printability of thin absorber mask in EUV lithography
Author(s): Takashi Kamo; Hajime Aoyama; Yukiyasu Arisawa; Toshihiko Tanaka; Osamu Suga
Show Abstract
Mask defect verification using actinic inspection and defect mitigation technology
Author(s): Sungmin Huh; Patrick Kearney; Stefan Wurm; Frank Goodwin; Kenneth Goldberg; Iacopo Mochi; Eric M. Gullikson
Show Abstract
Analysis of a relation between the spatial frequency of electrostatic chuck and induced mask inplane distortion (IPD)
Author(s): Takeshi Yamamoto; Kazuya Ota; Naosuke Nishimura; Shin'ichi Warisawa; Sunao Ishihara
Show Abstract
Characterization of electrostatic chucks for extreme ultraviolet lithography
Author(s): Tom C. Mulholland; Jacob R. Zeuske; Pradeep Vukkadala; Roxann L. Engelstad
Show Abstract
Experimental evaluation of particulate contamination on backside of EUV reticle
Author(s): Kazuya Ota; Takao Taguchi; Mitsuaki Amemiya; Naosuke Nishimura; Osamu Suga
Show Abstract
Collecting EUV mask images through focus by wavelength tuning
Author(s): Kenneth A. Goldberg; Iacopo Mochi; Sungmin Huh
Show Abstract
Extreme ultraviolet holographic lithography with a table-top laser
Author(s): A. Isoyan; F. Jiang; Y.-C. Cheng; P. Wachulak; L. Urbanski; J. Rocca; C. Menoni; M. Marconi; F. Cerrina
Show Abstract
Analysis of carbon deposition on multilayer mirrors by using two different beamlines
Author(s): Takahiro Nakayama; Akira Miyake; Hiromitsu Takase; Shigeru Terashima; Takashi Sudo; Yutaka Watanabe; Yasuaki Fukuda
Show Abstract
Assumptions and trade-offs of extreme ultraviolet optics contamination modeling
Author(s): V. Jindal; R. Garg; G. Denbeaux; A. Wüest
Show Abstract
Durability of capped multilayer mirrors for high volume manufacturing extreme ultraviolet lithography tool
Author(s): S. Matsunari; Y. Kakutani; T. Aoki; S. Kawata; K. Murakami
Show Abstract
Fabrication of half-pitch 32-45-nm SRAM patterns with EUVL
Author(s): Yuusuke Tanaka; Hajime Aoyama; Shunko Magoshi; Kazuo Tawarayama; Seiichiro Shirai; Hiroyuki Tanaka
Show Abstract
Carbon contamination of extreme ultraviolet (EUV) masks and its effect on imaging
Author(s): Yu-Jen Fan; Leonid Yankulin; Alin Antohe; Rashi Garg; Petros Thomas; Chimaobi Mbanaso; Andrea Wüest; Frank Goodwin; Sungmin Huh; Patrick Naulleau; Kenneth Goldberg; Iacopo Mochi; Gregory Denbeaux
Show Abstract
Improved contrast and reflectivity of multilayer reflective optics for wavelengths beyond the extreme UV
Author(s): Tim Tsarfati; Erwin Zoethout; Eric Louis; Robbert van de Kruijs; Andrey Yakshin; Stephan Müllender; Fred Bijkerk
Show Abstract
EUVL dosimetry at NIST
Author(s): C. Tarrio; S. Grantham; M. Cangemi; R. E. Vest; T. B. Lucatorto; Noreen Harned
Show Abstract
High-accuracy EUV reflectometry at large optical components and oblique incidence
Author(s): Christian Laubis; Frank Scholze; Christian Buchholz; Andreas Fischer; Steven Hesse; Annett Kampe; Jana Puls; Christian Stadelhoff; Gerhard Ulm
Show Abstract
Ellipsometric and surface acoustic wave sensing of carbon contamination on EUV optics
Author(s): Juequan Chen; Eric Louis; Fred Bijkerk; Chris J. Lee; Herbert Wormeester; Reinhard Kunze; Hagen Schmidt; Dieter Schneider; Roel Moors; Willem van Schaik; Monika Lubomska
Show Abstract
Analysis of EUVL mask effects under partially coherent illumination
Author(s): Vitaly Domnenko; Thomas Schmoeller; Thomas Klimpel
Show Abstract
Assessment of full-chip level EUV optical correction for sub-40nm memory device
Author(s): Jeonghoon Lee; Insung Kim; Doohoon Goo; Joo-on Park; Changmin Park; Jinhong Park; Jeongho Yeo; Seongwoon Choi; Woosung Han
Show Abstract
Incident angle change caused by different off-axis illumination in extreme ultraviolet lithography
Author(s): Eun-Jin Kim; Jee-Hye You; Seong-Sue Kim; Han-Ku Cho; Ilsin An; Hye-Keun Oh
Show Abstract
Evaluation of shadowing and flare effect for EUV tool
Author(s): James Moon; Cheol-Kyun Kim; Byoung-Sub Nam; Byoung-Ho Nam; Yoon-Suk Hyun; Suk-Kyun Kim; Chang-Moon Lim; Yong-Dae Kim; Mun-Sik Kim; Yong-Kyoo Choi; Chang-Reol Kim; Donggyu Yim
Show Abstract
Flare compensation for EUVL
Author(s): Yukiyasu Arisawa; Hajime Aoyama; Taiga Uno; Toshihiko Tanaka; Ichiro Mori
Show Abstract
Measurement and analysis of EUV photoresist related outgassing and contamination
Author(s): I. Pollentier; G. Aksenov; A.-M. Goethals; R. Gronheid; R. Jonckheere; M. Leeson
Show Abstract
RLS tradeoff vs. quantum yield of high PAG EUV resists
Author(s): Craig Higgins; Alin Antohe; Greg Denbeaux; Seth Kruger; Jacque Georger; Robert Brainard
Show Abstract
Investigation of EUV-process sensitivities for wafer-track processing
Author(s): Neil Bradon; Heiko Weichert; K. Nafus; S. Hatakeyama; J. Kitano; H. Kosugi; K. Yoshihara; M. Goethals; J. Hermans
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray