Proceedings Volume 6792

24th European Mask and Lithography Conference

cover
Proceedings Volume 6792

24th European Mask and Lithography Conference

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 22 April 2008
Contents: 14 Sessions, 43 Papers, 0 Presentations
Conference: 24th European Mask and Lithography Conference 2008
Volume Number: 6792

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 6792
  • Plenary Session I
  • Double Patterning
  • Simulation
  • Mask Business and Mask Data Prep
  • Mask Cleaning / Haze
  • Inspection and Repair
  • Resist
  • Metrology
  • RET
  • EUV I
  • NIL
  • EUL II
  • Poster Session
Front Matter: Volume 6792
icon_mobile_dropdown
Front Matter: Volume 6792
This PDF file contains the front matter associated with SPIE Proceedings Volume 6792, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.
Plenary Session I
icon_mobile_dropdown
Mask industry assessment trend analysis
Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the sixth in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the 2005 and 2006 surveys. Questions are grouped into eight categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns and Services, Operating Cost Factors, and Equipment Utilization. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry. Note: the questions covering operating cost factors and equipment utilization were added to the survey only in 2005; therefore, meaningful trend analysis is not available.
Double Patterning
icon_mobile_dropdown
Double exposure technology for KrF lithography
S. Geisler, J. Bauer, U. Haak, et al.
The application of Double Exposure Lithography (DEL) would enlarge the capability of 248 nm exposure technique to smaller pitch. We will use the DEL for the integration of critical layers for dedicated applications requiring resolution enhancement into 0.13 μm BiCMOS technology. In this paper we present the overlay precision and the focus difference of 1st and 2nd exposure as critical parameters of the DEL for k1 ≤ 0.3 lithography (100 nm half pitch) with binary masks (BIM). The realization of excellent overlay (OVL) accuracy is a main key of double exposure and double patterning techniques. We show the DEL requires primarily a good mask registration, when the wafer stays in the scanner for both exposures without alignment between 1st and 2nd exposure. The exposure tool overlay error is more a practical limit for double patterning lithography (DPL). Hence we prefer the DEL for the resolution enhancement, especially if we use the KrF high NA lithography tool for 130 nm generation. Experimental and simulated results show that the critical dimension uniformity (CDU) depends strongly on the overlay precision. The DEL results show CDU is not only affected by the OVL but also by an optical proximity effect of 1st and 2nd exposure and the mask registration. The CD uniformity of DEL demands a low focus difference between 1st and 2nd exposure and therefore requires a good focus repeatability of the exposure tool. The Depth of Focus (DOF) of 490 nm at stable CD of lines was achieved for DEL. If we change the focus of one of the exposures the CD-focus performance of spaces was reduced with simultaneous line position changing. CDU vs. focus difference between 1st and 2nd exposure demands a focus repeatability <100 nm for the exposure tool. Summary, the results show DEL has the potential to be a practical lithography enhancement method for device fabrication using high NA KrF tool generation.
Wafer based mask characterization for double patterning lithography
Robert de Kruif, Karsten Bubke, Gert-Jan Janssen, et al.
Double Patterning Technology (DPT) is considered the most acceptable solution for 32nm node lithography. Apart from the obvious drawbacks of additional exposure and processing steps and therefore reduced throughput, DPT possesses a number of additional technical challenges. This relates to exposure tool capability, the actual applied process in the wafer fab but also to mask performance. This paper will focus on the latter. We will report on the performance of a two-reticle set based on a design developed to study the impact of mask global and local placement errors on a DPT dual line process. For 32 nm node lithography using DPT a reticle to reticle overlay contribution target of ≤ 1.5nm has been proposed. Reticle based measurements have shown that this proposed target can be met for standard overlay features and dedicated DPT features. In this paper we will present experimental intra field overlay wafer data resulting from the earlier mentioned reticle set. The reticles contain a 13x19 array of modules comprising various standard overlay features such as ASML overlay gratings and bar-in-bar overlay targets. Furthermore the modules contain split 40nm half pitch DPT features. The reticles have been exposed on an ASML XT:1700i on several wafers in multiple fields. Reticle to reticle overlay contribution has been studied in resist (double exposure) and using the IMEC dual line process (DPT). We will show that the reticle to reticle overlay contribution on the wafer is smaller than 1.5nm (1x). We will compare the wafer data with the reticle data, study the correlation and show that reticle to reticle overlay contribution based single mask registration measurements can be used to qualify the reticle to reticle overlay contribution on wafer.
Topological and model based approach to pitch decomposition for double patterning
Double Patterning (DP) is one of the main enabling technologies for expanding Photolithography beyond 40nm technology node. Geometrical pitch split is the core of DP. It is known and reviewed in this paper that not all sub-resolution layouts can be successfully split to two DP masks, so a method for early Design for Manufacturability (DfM) check is strongly required. New accurate, efficient and Litho-aware methods for DP also minimize number of split errors and "false alarms" typical for Rule-Based Mask Data Preparation for Double Patterning. In this paper we proposed the topological approach (Model assisted Topological Rules Check, MTRC) to the pitch decomposition for Double Patterning based on Litho process modeling and real Litho resolution of a process. This method allows to find features below a resolution limit of a process and automatically sort them between DP-friendly and DP-unfriendly (DfM check for requiring redesign, "native conflicts", NC) cases. MTRC helps to improve DP-unfriendly designs in optimal way at early stage and avoid costly feedback loops. The second part of the paper explains the Model based DP pitch decomposition algorithm based on layout printability. It performs accurate and efficient split of various patterns with k1<0.25 to two masks with k1>0.25 as an integrated part of the Mask Data Preparation flow. The developed algorithm of Model based DP pitch decomposition allows error-free split of patterns below the resolution limit of the Litho system to two DP masks with sufficient printability confirmed by simulations and MTRC. The analysis of performance of the complex MB DP split approach mentioned above was performed on a selection of clips representing generic designs and typical Flash, DRAM, SRAM and Logic polygon shapes.
Simulation
icon_mobile_dropdown
Fast rigorous simulation of mask diffraction using the waveguide method with parallelized decomposition technique
Feng Shao, Peter Evanschitzky, David Reibold, et al.
A new and optimized electromagnetic field (EMF) solver based on the waveguide method with a decomposition technique for rigorous optical and extreme ultraviolet (EUV) mask near field simulations is presented. The implemented software algorithm enables full three dimensional (full 3D) mask simulations as well as three dimensional mask simulations based on a parallelized decomposition technique (Q3D, "Q" stands for "quasi"). After a short introduction to the waveguide method and to an optimized mask description, the basis of the decomposition technique and its parallelization are presented. Subsequently the capabilities of the new electromagnetic field solver are demonstrated by simulations of advanced optical and EUV imaging problems. Simulations of larger sized mask areas and of standard sized defective EUV mask areas using the decomposition technique are shown. Finally, a further reduction of computation time using parallelization is demonstrated.
Three dimensional mask effects in OPC process model development from first principles simulation
32 nm half-pitch node processes are rapidly approaching production development, but most tools for this process are currently in early development. This development state means that significant data sets are not yet readily available for OPC development. However, several printing effects are thought to become more prominent at the 32 nm half-pitch node. One of the most significant effects is the three dimensional (3D) mask effect where the mask transmittance and phase are impacted by the mask topography. For the 32nm node it is essential that this effect is correctly captured by the OPC model. As wafer data for the 32nm half-pitch is difficult to obtain, the use of rigorous lithography process simulation has proven to be invaluable in studying this effect. Using rigorous simulation, data for OPC model development has been generated that allows the specific study of 3D mask effect calibration. This study began with Kirchhoff based simulations of 32 nm node features which were calibrated into Hopkin's based OPC process models. Once the standard Kirchhoff effects were working in the OPC model, 3D mask effects were included for the same data by performing fully rigorous electromagnetic field (EMF) simulations on the mask. New EMF compensation methodologies were developed to approximate 3D mask effects in a fast OPC process simulation. These methodologies modify the phase and transmission of features to compensate for 3D mask effects in a fast OPC model. The OPC model was then refit including the 3D mask effect and found to generate as much as 5 nm differences between the fit Kirchhoff data and the fit 3D mask data. In addition, the Hopkin's based OPC model with new EMF compensation methodologies has been able to fit the 3D mask data with an RMSE value of 0.52 nm and a range of 2.76 nm. These data were compared to 32 nm half pitch node data from IMEC. In addition the data process models were used for OPC correction with first principles validation to understand the impact of the 3D mask effect on OPC.
Mask Business and Mask Data Prep
icon_mobile_dropdown
Key improvement schemes of accuracies in EB mask writing for double patterning lithography
Hitoshi Sunaoshi, Takashi Kamikubo, Rieko Nishimura, et al.
Double pattering or exposure methodologies are being adopted to extend 193nm optical lithography. These methodologies require much tighter image-placement accuracy and Critical Dimension (CD) controls on mask than the conventional single exposure technique. Our experiments indicate that the global image placement drift induced by the time elapsed in mask writing is the dominant factor that degrades image-placement accuracy. In-situ grid measurement method is being proposed to suppress this time dependent drift. Resist charging effect is also an important error factor. While it can be reduced by charge dissipation layer (CDL), further feasibility study is required for using CDL to overcome certain side-effects pertaining to CDL. High dose resist improves local CD uniformity and pattern fidelity. However, mask writing time becomes longer with lower sensitivity. To satisfy conflicting issues, throughput and CD uniformity, high sensitivity CAR which has short acid diffusion length is desirable. Shortening acid diffusion length is essential for achieving good pattern resolution as well as good CD uniformity. This paper will address the results of error source analyses and key schemes of accuracy improvements in photo-mask manufacturing using NuFlare Technology's EB mask writers.
Mask data rank (MDR) and its application
Kokoro Kato, Masakazu Endo, Tadao Inoue, et al.
One of the ASET/MaskD2I target is the mask data prioritization and it effective uses for mask manufacturing issues. The MaskD2I and STARC have been working together to build efficient data flow based on the information transition from the design to the manufacturing level. By converting design level information called as "Design Intent" to the priority information of mask manufacturing data called as "Mask Data Rank (MDR)", MDP or manufacturing process based on the importance of reticle patterns is possible. Our main purpose is to build a novel data flow with the priority information of mask patterns extracted from the design intent. In this paper, we introduce the basic activities of the MaskD2I, and address the effectiveness of MDR information. Then we explain how to apply it to mask writing, inspection, MDP and MRC. We will show the new experimental results by extracted MDR from actual mask data provided by STARC.
MEDEA+ project 2T302 MUSCLE: masks through user's supply chain: leadership by excellence
The rapid evolution of our information society depends on the continuous developments and innovations of semiconductor products. The cost per chip functionality keeps reducing by a factor of 2 every 18 month. However, this performance and success of the semiconductor industry critically depends on the quality of the lithographic photomasks. The need for the high quality of photomask drives lithography costs sensitively, which is a key factor in the manufacture of microelectronics devices. Therefore, the aim is to reduce production costs while overcoming challenges in terms of feature sizes, complexity and cycle times. Consequently, lithography processes must provide highest possible quality at reasonable prices. This way, the leadership in the lithographic area can be maintained and European chipmakers can stay competitive with manufacturers in the Far East and the USA. Under the umbrella of MEDEA+, a project called MUSCLE (<< Masks through User's Supply Chain: Leadership by Excellence >>) has been started among leading semiconductor companies in Europe: ALTIS Semiconductor (Project Leader), ALCATEL Vacuum, ATMEL, CEA/LETI, Entegris, NXP Semiconductors, TOPPAN Photomasks, AMTC, Carl ZEISS SMS, DMS, Infineon Technologies, VISTEC Semiconductor, NIKON Precision, SCHOTT Lithotec, ASML, PHOTRONICS, IMEC, DCE, DNP Photomask, STMicroelectronics, XYALIS and iCADA. MUSCLE focuses particularly on mask data flow, photomask carrier, photomask defect characterization and photomask data handling. In this paper, we will discuss potential solutions like standardization and automation of the photomask data flow based on SEMI P10, the performance and the impact of the supply chain parameter within the photomask process, the standardization of photomask defect characterization and a discussion of the impact of new Reticle Enhancement Technologies (RET) such as mask process correction and finally a generic model to describe the photomasks key performance indicators for prototype photomasks.
Printing of sub-resolution shots in electron beam direct write with variable shaped beam machines
Frank Thrum, Johannes Kretz, Christoph Hohle, et al.
The resolution of a variable shaped beam writer is typically given for the standard geometries like isolated line, isolated space, and dense (1:1) line/space pattern. It is related to the imaging power of both the tool itself as well as the resist process. In this paper we concentrate on small shots with dimensions smaller than the resolution limit, butting to a larger shot. We show experimentally that for a line resolution of 40 nm the resolution for butting sub resolution shots can be as small as 20 nm.
Mask Cleaning / Haze
icon_mobile_dropdown
Assessment of molecular contamination in mask pod
Jean Marie Foray, Patrice Dejaune, Pierre Sergent, et al.
Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic contaminants: by inline techniques based on Adixen humidity, also VOC and organic sensors, together by off-line techniques already used in the extensive previous mask pods benchmark (TD-GCMS & Ionic Chromatography). Humidity and VOC levels from mask carriers had shown significant reduction after Adixen pod conditioning process. Focus had been made on optimized vacuum step (for AMC) after particles carrier cleaning cycle. Based upon these key results new procedures, as well as guidelines for mask carrier cleaning optimization are proposed to improve pod contamination control. Summary results/next steps: This paper reports molecular contamination measurement campaigns performed by a pool of European partners along the mask supply chain. It allows us to investigate, identify and quantify critical molecular contamination in mask pod, as well as VOC and humidity, issues depending on locations, uses, and carrier's type. Preliminary studies highlight initial process solutions for pods conditioning that are being used for short term industrialization and further industrialized.
Photomask cleaning process improvement to minimize ArF haze
Michael Graham, Andrew McDonald
Growth of "haze" defects on photomasks exposed in ArF lithography is recognized as a serious problem. Haze defects that have grown to detectable sizes can be analysed in situ by techniques such as EDX or Raman, but to analyze at the photomask manufacturing stage requires extraction of residues by solution in DI water. The effect of extraction conditions, including surface area and material, water volume, time, and temperature, has been studied. A standard method to compare residual ion levels is proposed. Various methods for reducing residual ion levels from the photomask cleaning process have been published. These include SPM reduction, oxygen plasma, SC1 dilution, Megasonic agitation, hot rinse, UV exposure, thermal bake, ozone water, ozone gas, and hydrogenated water. Critical parameters for the cleaning process, besides residual ion levels and contamination removal efficiency, include CD shift, AR/chrome damage, scatter bar damage, and on phase shift masks, the change in phase and transmission. An optimized process combining conventional and novel techniques is described. Data is presented to show the importance of controlling all resist strip and clean processes, not just the final clean. It has achieved sulphate levels of 0.2ng/cm2 (well below the critical level for haze growth), as well as improved results for the other critical parameters. This process has been demonstrated to allow ArF exposure of large numbers of wafers without the appearance of haze defects.
Theoretical study of mask haze formation
Banqiu Wu, Ajay Kumar
Studies on the haze formation mechanism in deep ultraviolet (UV) lithography were carried out. A nucleation and growth model on haze formation was proposed based on Gibbs energy change analysis. Haze formation is a nucleation and growth process. For a spontaneous process, Gibbs energy change in nucleation results from surface energy increase and volume energy decrease; the former tends to prevent nucleation and the latter to enhance it. Then, a critical dimension of nuclei exists, i.e. when a nucleus is larger than critical dimension, it can grow with a system Gibbs energy decrease. The study shows that it is thermodynamically spontaneous for small haze to merge with large haze. A critical distance exists, beyond which nuclei grow independently. The haze formation region on a plot of composition versus temperature was proposed based on the equilibrium constant calculation and a haze growth mechanism postulated. Photochemical dissociation of molecular oxygen to atomic oxygen by excimer laser less than 246 nm wavelength plays an important role in the oxidation of sulfur dioxide. The proposed mechanism agreed well with previously published experimental results.
Inspection and Repair
icon_mobile_dropdown
EUV blank inspection
J. H. Peters, C. Tonk, D. Spriegel, et al.
One of the major topics for the introduction of extreme ultraviolet (EUV) technology is the availability of defect-free masks. From the mask makers' perspective, the quality of the incoming blank material is one of the key ingredients impacting the yield of structured masks. Besides flatness and layer thickness uniformity, the number of defects determines the final quality of the mask delivered to the customer for printing. Defects within the layers of optical blanks have shown that they have a growing impact on the mask structuring process, well below the usual defect specifications of the blanks. It is expected that this finding will also hold true for material layers above the multi-layer. In addition to printing performance, EUV masks need to have a defect-free multi-layer. While this layer is easily accessible during blank production, mask makers usually acquire the complete blank stacks with or without the coated resist. Incoming blank inspection, therefore, must check the quality of the complete stack without destroying any of the functionality of these layers. Siemens and AMTC have jointly developed a blank inspection tool that has been installed in AMTC's Dresden facility and that allows the screening of an EUV blank under these boundary conditions. Previously, SEMATECH successfully installed an M7360 from Lasertec in its Mask Blank Development Center (MBDC) in Albany, NY, that shows much better sensitivity data than SEMATECH's earlier tool, the Lasertec M1350. This paper describes the early performance of the Siemens DF-40XP on programmed multi-layer defect blanks and regular blanks and compares it to that of the existing tools at the SEMATECH MBDC.
Introduction of new database reflected tritone algorithm for application in mask production
Thomas Schulmeyer, Heiko Schmalfuss, Jan Heumann, et al.
At Photomask Japan 2007 the new algorithm of Fast Integrated die-to-die T+R (DDTR) for the views of P90 and P72 for the KLA Tencor TeraScanHR mask inspection system was presented. At the same time a new algorithm for P72 in database tritone mode for reflected light (DBRt) was introduced. Both modes can be used together as one combined inspection to detect pattern and contamination defects on production masks. It was shown that these new algorithms allow for creation of a new inspection strategy with improved throughput and a reduced amount of inspections. Currently an inspection strategy has to cover at first a pattern inspection (normally a combination of die-to-die and additional database inspections) for finding hard defects on a mask and then as second step a contamination inspection (STARlight2TM). The hard defects have to be repaired and the contaminations can be cleaned. The new inspection strategy allows for detection of all critical hard and contamination defects on a mask with one single combined inspection, enhancing productivity. At BACUS 2007 the first evaluation of this new kind of inspection strategy for manufacturing of masks was described for two production plates of different design. At that time only the database reflected tritone algorithm for the view of P72 was available. The changes in inspection strategy could only go together with a change of view from P90 to P72. With view P72 higher overall sensitivity could be reached and smaller secondary features could be inspected. However, these improvements may not be necessary for all plates and may need more time than a comparable P90 inspection. Today the standard contamination inspection for critical masks is the P90 STARlight2TM (SL2). To do a time effective parallel combo inspection with DDTR and DBRt the same view has to be used. An extension of the database reflected tritone algorithm to the P90 view is now available. This gives the mask manufacturer the flexibility to change the inspection strategy for P90 and P72 dependent only on feature size on mask or minimal allowed defect size. The results of the evaluation and the comparison of this P90 database reflected tritone algorithm with the P90 STARlight2TM and P90 Fast Integrated die-to-die T+R will be presented in this paper. It will be shown that comparable results can be expected for P90 DBRt and P90 DDTR versus P90 STARlight2TM without missing any critical defects.
Phase-shifting photomask repair and repair validation procedure for transparent and opaque defects relevant for the 45nm node and beyond
Christian Ehrlich, Ute Buttgereit, Klaus Boehm, et al.
With the continuing decrease of feature sizes on photomasks and the related rising costs for current and future masks the importance of a reliable repair and repair assessment process has often been highlighted. The assessment, repair and repair validation of these expensive masks has become a very substantial factor of the total mask production cost The introduction of immersion lithography and the proposed introduction of double exposure strategies will further amplify this trend. In this paper we have concentrated on masks with feature sizes relevant for the 45nm node and defects with typical size and shape as they appear in production. Phase shifting masks with synthetic defects have been manufactured and the printability of the defects is analyzed with an AIMSTM45-193i. For representative defects the outline and three-dimensional shape as well as further characteristics have been visualized with the inherent electron microscope capability of the electron beam based repair tool, prior to repairing them with the repair system. In addition we will show the behaviour of the phase of the mask in a region of interest, that is in this case the repair area and its immediate vicinity. This will be done by a special new tool, named Phame(R), developed for measuring the actual phase of smallest mask features with a high spatial resolution.
Inspection results of advanced (sub-50nm design rule) reticles using the TeraScanHR
Results from the recently available TeraScanHR reticle inspection system were published in early 2007. These results showed excellent inspection capability for 45nm logic and 5xnm half-pitch memory advanced production reticles, thus meeting the industry need for the mid-2007 start of production. The system has been in production use since that time. In early 2007, some evidence was shown of capability to inspect reticles for 32nm logic and sub-50nm half-pitch memory, but the results were incomplete due to the limited availability of such reticles. However, more of these advanced reticles have become available since that time. In this paper, inspection results of these advanced reticles from various leading-edge reticle manufacturers using the TeraScanHR are shown. These results indicate that the system has the capability to provide the needed inspection sensitivity for continued development work to support the industry roadmap.
Resist
icon_mobile_dropdown
Alternative approach to transparent stamps for UV-based nanoimprint lithography: techniques and materials
Anna Klukowska, Marko Vogler, Anett Kolander, et al.
The motivation for the presented research was the known issue of very expansive UV transparent stamps and moulds, which are necessary tools for UV-based patterning methods such as UV-based nanoimprint lithography, which has been developing as an attractive alternative lithography approach in recent 10 years. Low priced polymer working stamps could be an alternative to quartz as stamp material. UV transparent nanoimprint stamps were fabricated from sol-gel process-derived hybrid polymer, which has the benefit of high thermal, chemical and UV radiation stability. Tailored surface treatment and release agents were applied to ease the de-moulding process and secure the accuracy and fidelity of the transferred patterns. To increase the life time of the hybrid polymer nanoimprint stamps some adhesives between stamp substrate and the stamp material were used. The hybrid polymer stamps are compatible with NIL polymers and long-term stable even at elevated temperatures of thermal imprint processes.
Metrology
icon_mobile_dropdown
Characterizing the imaging performance of flash memory masks using AIMS
Eelco van Setten, Onno Wismans, Kees Grim, et al.
Flash memory has become one of the most important segments of the semiconductor industry in recent years. Flash memory is also an important driver of the lithography roadmap, with its dramatic acceleration in dimensional shrink, pushing for ever smaller feature sizes. The introduction of the XT:1700Fi and XT:1900Gi have brought the 45nm node and below within reach for memory makers. At these feature sizes mask topology and the material properties of the film stack on the mask play an important role on imaging performance. Furthermore, the break up of the array pitch regularity in the NAND-type flash memory cell by two thick wordlines and a central space, leads to feature-center placement (overlay) errors, that are inherent to the design. An integral optimization approach is needed to mitigate these effects and to control both the CD and placement errors tightly. In this paper we will present the results of aerial image measurements on mask level of a NAND-Flash Memory Gate layer using AIMSTM 45-193i. Various imaging relevant parameters, such as MEEF, EL, DoF and placement errors are measured for different mask absorber materials for features sizes ranging from 39nm half pitch to 41nm half pitch design rule on wafer level. The AIMSTM measurements are compared to experimental results obtained with a XT:1900Gi hyper-NA immersion system. Mask optimization strategies are sought to increase Depth of Focus and minimize feature-center placement errors.
CDO budgeting
Pavel Nesladek, Andreas Wiswesser, Björn Sass, et al.
The Critical dimension off-target (CDO) is a key parameter for mask house customer, affecting directly the performance of the mask. The CDO is the difference between the feature size target and the measured feature size. The change of CD during the process is either compensated within the process or by data correction. These compensation methods are commonly called process bias and data bias, respectively. The difference between data bias and process bias in manufacturing results in systematic CDO error, however, this systematic error does not take into account the instability of the process bias. This instability is a result of minor variations - instabilities of manufacturing processes and changes in materials and/or logistics. Using several masks the CDO of the manufacturing line can be estimated. For systematic investigation of the unit process contribution to CDO and analysis of the factors influencing the CDO contributors, a solid understanding of each unit process and huge number of masks is necessary. Rough identification of contributing processes and splitting of the final CDO variation between processes can be done with approx. 50 masks with identical design, material and process. Such amount of data allows us to identify the main contributors and estimate the effect of them by means of Analysis of variance (ANOVA) combined with multivariate analysis. The analysis does not provide information about the root cause of the variation within the particular unit process, however, it provides a good estimate of the impact of the process on the stability of the manufacturing line. Additionally this analysis can be used to identify possible interaction between processes, which cannot be investigated if only single processes are considered. Goal of this work is to evaluate limits for CDO budgeting models given by the precision and the number of measurements as well as partitioning the variation within the manufacturing process. The CDO variation splits according to the suggested model into contributions from particular processes or process groups. Last but not least the power of this method to determine the absolute strength of each parameter will be demonstrated. Identification of the root cause of this variation within the unit process itself is not scope of this work.
High-resolution and high-precision pattern placement metrology for the 45 nm node and beyond
G. Klose, U. Buttgereit, M. Arnz, et al.
In order for the lithography world to continue on its path to ever smaller features, process solutions such as OPC assist features and double patterning / exposure strategies put more and more focus on the quality of photomasks. The community roadmap requires for the 45nm/32nm node nominal mask features of 120 nm and 85 nm, respectively. Small feature sizes in combination with tight overlay budgets of only 4.8 nm or even 3.4 nm for the 32 nm node illustrate the need for a registration metrology tool with high resolving power and yet unprecedented specifications on reproducibility and accuracy. Carl Zeiss reports on the concept and the project timeline of its new registration tool currently under development. Novel concepts such as the high resolution at-wavelength imaging optics, an integrated full-field autofocus system, and a correlation algorithm for image analysis are presented as examples for the innovative approach to achieve the very demanding goal.
Mask CD measurement approach by diffraction intensity for lithography equivalent
Takaharu Nagai, Kei Mesuda, Takanori Sutou, et al.
In 45nm node and beyond with hyper NA lithography, mask topography effect is not ignorable and mask CD bias impacts printing performance such as MEEF or exposure latitude. In that situation, 3D simulation is required for precise evaluation of printing performance and the accuracy of 3D mask model on simulation is a key issue. Verification of 3D mask model by diffraction intensity measurement with AIMSTM45-193i was discussed in our previous works. Through the verification, though real mask successfully creates effective or simulated diffractions, CD on 3D mask model on simulation was different to that on AIMSTM result which was measured by CD-SEM. Therefore, purpose of this work is to analyze the cause of CD differences through AIMSTM diffraction intensity evaluation in various conditions (mask material, pattern pitch, mask CD bias and mask CD-SEM system). Furthermore, lithography equivalent CD is proposed as width of "ideal" mask shape. As a result achieved from the experiments, constant CD shift was successfully observed at hp40-70nm L/S pattern with varied bias for both 6% EAPSM and Binary masks. It can be said that mask topography difference related to mask material and pattern dimensions has not been observed. On the other hand, the value of CD shift was smaller on the condition of newer generation CD-SEM measurement. Other result achieved from further discussion and analysis, cause of the CD difference was explained using simple SEM image simulation. The CD difference was mainly changed by electron beam size factor, and it was stable with side wall angle in the range of 80 to 90 degree if the middle CD, which is the width of 3D model defined at the half height of the mask film's thickness, is constant. Since side wall angles on actual masks are nearly 90 degree, lithography equivalent CD could be measured by CD-SEM with constant offset.
Influences on accuracy of SEM based CD mask metrology with a view to the 32 nm node
W. Häßler-Grohne, C. G. Frase, D. Gnieser, et al.
Scanning electron microscopy (SEM) is used today and will be used also in the near future as a fast and high resolution measurement method capable to perform characterizations of the smallest isolated and dense features which are to be specified and produced on photomasks down to the 32 nm node and perhaps below. It has been demonstrated however, that SEM based CD metrology results on different mask absorber stacks may show systematic dependencies on the absorber materials and other stack parameters between different CD-SEM equipment. These mask dependent effects have to be taken into account for proper cross-correlation of different CD metrology tools, which is required in mask manufacturing environment. In this contribution we will report on systematic investigations of simulated and measured SEM profiles of line and space features present on current and future mask generations as well as on suitable test structures. The investigations comprise variations of SEM primary energy settings and the response of different edge operators on these variations as well as variations of edge angle of the mask features. The simulations are based on Monte Carlo methods which are supplemented by additional phenomenological approaches where appropriate. Simulations of SEM edge contrasts and the response of different CD-SEM edge algorithms will be described for feature sizes down to 32 nm, because the smallest assist-features on the mask which have to be controlled with respect to their dimensions are about the same size as the smallest feature sizes on the wafer.
Comparative scatterometric CD and edge profile measurements on a MoSi mask using different scatterometers
M. Wurm, A. Diener, B. Bodermann
At PTB a new type of DUV scatterometer has been developed. The concept of the system is very variable, so that many different types of measurements like e. g. goniometric scatterometry, ellipsometric scatterometry, polarisation dependent reflectometry and ellipsometry can be performed. The main applications are CD, pitch and edge profile characterisation of nano-structured surfaces mainly, but not only, on photomasks. Different operation wavelength down to 193nm can be used. The system is not only a versatile tool for a variety of different at-wavelength metrology connected with state-of-the-art photolithography. For the evaluation of the measurements the inverse diffraction problem has to be solved. For this purpose a special FEM-based software has been developed, which is capable to solve both the direct diffraction problem and the inverse diffraction problem. The latter can be accomplished using different optimisation schemes. To test both our new scatterometer and the newly developed evaluation software we started systematic comparative CD and edge profile measurements on a state of the art MoSi phase shift mask. In this paper first results are presented and compared with results of a commercial scatterometer.
RET
icon_mobile_dropdown
Assessment and application of focus drilling for DRAM contact hole fabrication
Christoph Noelscher, Franck Jauzion-Graverolle, Thomas Henkel
By assessment of options for the fabrication of small contact holes in DRAM devices the method of focus drilling was identified and investigated to overcome the depth of focus limitations. By use of ArF-dry lithography a practical shrink of the target CD by 15nm can be achieved both with a focus offset double exposure (FODEX) and with a tilted stage approach. This was optimized in simulation and demonstrated by CD measurement on wafer, as well as by electrical measurement on integrated lots. Application of dual lambda focus drilling is limited by the chromatic magnification error of the lens. The increase of hole-to-hole CD variations due to a lower dose latitude and to increased MEEF was characterized. As improvement option the use of a high transmission attPSM was identified.
Design of pattern-specific mask grating for giving the effect of an off-axis illumination
In order to realize the effect of pattern-specific off-axis illumination under the conventional circular illumination, the illumination method using a mask grating formed on the top side of a photo mask was evaluated and improved. Contrary to an off-axis illumination, it could provide the locally different off-axis illumination depending on the pattern shape defined on the bottom side of a mask. The structure of the mask grating was determined from the feature characteristics of the mask pattern and its performance was evaluated with the simulated Bossung curves.
Overcoming mask etch challenges for 45 nm and beyond
M. Chandrachood, T. Y. B. Leung, K. Yu, et al.
Increasingly complex RET techniques need to be used in the sub wavelength regime which will drive up the mask costs, as well as the design costs. Some of the RET techniques used involves the use of OPC, PSM and hard mask. In order to reduce the costs it is desirable to have uniform performance on shuttle masks, which can help to reduce manufacturing costs. The micro loading and macro loading are of concern to mask makers because of the varying loads being etched within the mask. It is critical to have a mask etcher that provides excellent CD uniformity, CD bias, CD linearity and etch profile in order to have image fidelity of the OPC structures as well as sustainable yields. This paper discusses micro and macro loading challenges on BIM and APSM masks and the advantages of using the Applied Materials' next generation mask etcher.
EUV I
icon_mobile_dropdown
Desired IP control methodology for EUV mask in current mask process
Extreme ultra-violet (EUV) lithography is one of the leading potential solutions for next generation lithography. Image placement (IP) errors specific to EUV mask induced by non-telecentricity have to be minimized to satisfy the strict IP requirement. IP accuracy of EUV mask is considerably influenced by electro-static chuck (ESC) characteristics and backside non-flatness of each blank when it is held by ESC in EB mask writer, IP metrology tool, and exposure tool as suggested in SEMI standard. We propose to apply the correction technique to each EUV mask in EB mask writing with flatness data of blank and ESC to minimize IP errors caused by mask non-flatness and ESC characteristics. In addition, IP control methodology for EUV mask with conventional IP metrology tool is proposed for pattern writing by EB mask writer with this correction technique. Early development of EUV mask patterning is enabled by this IP control methodology without substantial changes to the current mask process.
Use of EUV scatterometry for the characterization of line profiles and line roughness on photomasks
Scatterometry is a versatile metrology for characterizing periodic structures, regarding critical dimension (CD) and other profile properties. With respect to small feature sizes on future lithography photomasks, the short wavelength of extreme ultraviolet (EUV) radiation is advantageous since it minimizes diffraction phenomena and increases the sensitivity to roughness. The advantage of the short wavelength can also be used for investigations of DUV photomasks. For EUV masks, only EUV radiation provides direct information on at-wavelength mask performance. The intensity of the measured diffraction orders carries information about the absorber line profile like top CD, sidewall angle, height, and top corner radius. It is shown that it is feasible to derive information on the absorber line profile in periodic areas of lines and spaces by means of rigorous numerical modeling with the finite element method (FEM). We demonstrate the determination of line profile parameters for chrome on glass (CoG) and EUV masks. EUV Reflectometry on CoG masks is successfully used to determine absorber line heights. A good correlation (0.2 nm rms) between CD values determined using EUV scatterometry and CD-SEM is demonstrated for an EUV mask. A clear correlation between diffuse scatter intensity and CD uniformity, respectively line roughness, is also obtained.
NIL
icon_mobile_dropdown
Strategies for hybrid techniques of UV lithography and thermal nanoimprint
M. Wissen, N. Bogdanski, S. Moellenbeck, et al.
This paper discusses a hybrid process of thermal nanoimprint and UV lithography, carried out within UV curable SU-8 and mr-L 6000.5, respectively, on the basis of experiments and calculations of the light intensity distribution within the photoresist. For the non-transparent Si stamps with mixed pattern sizes, ranging from approx. 100nm to 150μm, the experiments revealed an optimum imprint temperature of 80°C for SU-8 and 70°C to 100°C for mr-L 6000.5. UV stabilization of the imprinted patterns after stamp removal is possible with negligible loss of the patterns when a broadband UV exposure is applied and the post exposure bake is performed as a temperature ramp, starting in the range of the glass transition temperature of the photoresists. UV stabilized patterns of mr-L 6000.5 can be replicated themselves (working-stamp), after applying a conventional anti-adhesive coating. A successful hybrid lithography of thermal nanoimprint lithography and UV lithography depends on the exposure conditions as well as on the layout of the stamp patterns with respect to the photomask pattern. Superimposing the patterns of a photomask is only uncritical for imprinted patterns in the range of the exposure wavelength and larger, where a trench may develop underneath the edges of imprinted patterns of ≥1μm width. This is due to a shadowing effect caused by exposure over topography. A sufficient stabilization of smaller imprinted patterns in the range of 100nm within a hybrid lithography approach is only feasible when the photomask patterns are not in direct vicinity of the pre-patterned photoresist.
Controlling linewidth roughness in step and flash imprint lithography
Gerard M. Schmid, Niyaz Khusnatdinov, Cynthia B. Brooks, et al.
Despite the remarkable progress made in extending optical lithography to deep sub-wavelength imaging, the limit for the technology seems imminent. At 22nm half pitch design rules, neither very high NA tools (NA 1.6), nor techniques such as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and timing in CMOS circuits. Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. This technology has been shown to be an effective method for replication of nanometer-scale structures from a template (imprint mask). As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master template having the required dimensions. Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of minimizing LWR falls to the template fabrication process. Non chemically amplified resists, such as ZEP520A, are not nearly as sensitive but have excellent resolution and can produce features with very low LWR. The purpose of this paper is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned substrate. Three experiments were performed documenting LWR in the template, imprint, and after pattern transfer. On average, LWR was extremely low (less than 3nm, 3σ), and independent of the processing step and feature size.
EUL II
icon_mobile_dropdown
Status of EUVL reticle chucking
Extreme Ultraviolet Lithography (EUVL) is one of the leading candidates for Next-Generation Lithography in the sub-45-nm regime. Successful implementation of this technology will depend upon advancements in many areas, including the quality of the mask system to control image placement errors. For EUVL, the nonflatness of both the mask and chuck is critical, due to the nontelecentric illumination during exposure. The industry is proposing to use an electrostatic chuck to support and flatten the mask in the exposure tool. The focus of this research is to investigate the clamping ability of a pin-type chuck, both experimentally and with the use of numerical simulation tools, i.e., finite element modeling. A status report on electrostatic chucking is presented, including the results obtained during repeatability studies and long-term chucking experiments.
45nm node registration metrology on LTEM EUV reticles
Frank Laske, Hiroshi Kinoshita, Naoki Nishida, et al.
Reticles for the 65nm technology node are already in production and leading edge mask shops will complete R&D for 45nm technology node reticles very soon. The specifications for registration for this new node are expected to be much tighter than for previous generation reticles. New generation lithography (e-beam) tools will become available soon to support the writing accuracy as required for 45nm node reticles. Tighter registration tolerances require a next generation registration metrology tool, providing measurement performance to fulfill the specification of 45nm node and beyond. EUV lithography might become the backup solution for next generations 32nm and 22nm nodes in order to overcome the resolution limits of optical lithography. Considering the extremely tight mask to mask overlay tolerances of EUV reticles, we will demonstrate the performance of the LMS IPRO4 for registration metrology on EUV masks. We will present the actual system performance of the LMS IPRO4 on high-end Hoya EUV masks with various substrate materials. A detailed analysis of measurement precision and accuracy performance of the new LMS IPRO4 will be provided. Additionally, we will present a comparison of measurement performance of EUV masks from quartz and EUV masks from new LTEM material used as substrate. LTEM substrate enables to analyze soaking effects related to temperature differences between stage and the mask itself. Results of an experiment will be shown, where we compare the soaking time needed before starting the measurement process using quartz material and LTEM.
Poster Session
icon_mobile_dropdown
Top surface imaging study by selective chemisorptions of poly(dimethyl siloxane) on diazoketo-functionalized polymeric surface
Ramakrishnan Ganesan, Seul Ki Youn, Jei-Moon Yun, et al.
Top surface imaging (TSI) techniques using vapor or liquid phase silylation have been investigated extensively as alternatives to conventional resist processing. However, earlier imaging schemes such as diffusion enhanced silylated resist (DESIRE) and digital top surface imaging showed several difficulties limiting the successful application of such TSI approaches. In the case of DESIRE, additional CF4 plasma descum process was required to remove the thin layer of Si incorporated into the cross-linked regions, as some of the Si remained even in the unexposed regions. Also, difference in the cross-linking density and subsequent amount of silicon incorporation across the width of an optically projection printed feature led to non-uniform silylation profiles resulting the difficulty with critical dimension (CD) control of the feature and increased the LER of the overall process. In the case of digital TSI, even though it was developed to overcome these problems with the cross-linking-based silylation process, the concentration of active sites in the exposed polymer varies across the feature width due to the non-uniform energy deposition profile across a feature which results from the non-ideal aerial image produced using optical projection tools. In this study, we have used a diazoketo-functionalized polymer as the platform for the immobilization of amine-functionalized poly(dimethyl siloxane) (amine-PDMS). The diazoketo functional groups undergo Wolff rearrangement to generate carboxylic acid groups upon UV light exposure. This chemistry is exploited to create alternate hydrophilic/hydrophobic patterned regions by selective UV light exposure. The hydrophilic regions that contain carboxylic acid groups predominantly are further used to immobilize amine-PDMS by amide bond formation using carbodiimide coupling chemistry. Due to the high silicon content, the immobilized PDMS acts as the etch barrier for the subsequent oxygen plasma reactive ion etching (O2-RIE) process. Thus, a negative-tone pattern has been successfully generated using O2-RIE process. An amine-PDMS with a molecular weight of 900 was used in this study. Auger electron spectroscopy was employed to characterize the immobilization of amine-PDMS onto UV light exposed regions of diazoketo-functionalized polymer surface. Atomic force microscopy was used to study the surface smoothness after O2-RIE process. Scanning electron microscopy was used to image the pattern profiles formed after O2-RIE process. High resolution pattern profiles are obtained using the TSI process reported in this study.
Optical proximity correction for 0.13 um SiGe:C BiCMOS
S. Geisler, J. Bauer, U. Haak, et al.
We present results for a rule based optical proximity (RB-OPC) and a model based optical proximity correction (MB-OPC) for 0.13 μm SiGe:C BiCMOS technology. The technology provides integrated high performance heterojunction bipolar transistors (HBTs) with cut-off frequencies up to 300 GHz. This requires an optical proximity correction of critical layers with an excellent mask quality. This paper provides results of the MB-OPC and RB-OPC using the Mentor Calibre software in comparison to uncorrected structures (NO-OPC). We show RB- and MB-OPC methods for the shallow trench and gate layer, and the RB-OPC for the emitter window-, contact- and metal layers. We will discuss the impact of the RB- and MB-OPC rules on the process margin and yield in the 0.13 μm SiGe:C BiCMOS technology, based on CD-SEM data obtained from the evaluation of the RB- and MB-OPC corrected SRAM cells.
New alignment marks for improved measurement maturity
U. Weidenmueller, H. Alves, B. Schnabel, et al.
With shrinking dimensions in the semiconductor industry the lithographic demands are exceeding the parameters of the standard optical lithography. Electron beam direct write (EBDW) presents a good solution to overcome these limits and to successfully use this technology in R&D as well as in prototyping and some niche applications. For the industrial application of EBDW an alignment strategy adapted to the industrial standards is required to be compatible with optical lithography. In this context the crucial factor is the overlay performance, i.e. the maturity of the alignment strategy under different process conditions. New alignment marks improve the alignment repeatability and increase the window of the signal-to-noise ratio towards smaller or noisier signals. Particularly the latter has proved to be a major contribution to a higher maturity of the alignment. A comparison between the double cross and the new Barker mark type is presented in this paper. Furthermore, the mark reading repeatability and the final overlay results achieved are discussed.
Measuring contact hole corner rounding uniformity using optical scatterometry
John C. Lam, Alexander Gray, Stanley Chen, et al.
Uniformity distribution of the corner rounding radius of curvature is investigated using reflectance- and transmittance-based optical scatterometry. Arrays of square contact holes are measured at multiple locations on an ACI photomask using a broadband spectrophotometer capable of collecting polarized reflectance (Rs and Rp) and polarized transmittance (Ts and Tp) spectra in 190 - 1000 nm wavelength range in one-nanometer intervals. The measured spectra are analyzed using two-dimensional Rigorous Coupled-Wave Analysis algorithm (2D RCWA) in conjunction with the Forouhi-Bloomer dispersion relations for n and k. As a result of the analysis, the values of contact hole width and the radius of curvature associated with the corner rounding are determined at every measurement location. The measurements are presented as uniformity distribution maps and correlation plots, comparing the results with the values obtained using a conventional CD-SEM.
Very high sensitivity mask DUV transmittance mapping and measurements based on non-imaging optics
A key feature of a photomask is the transmission (Tr) property of its many surfaces. Typical advanced 6" masks have 4 surfaces: back side Quartz (Qz), front side pattern, inside pellicle and outside pellicle. In addition to the surfaces themselves, the bulk of the transparent materials- fused silica, fluoropolymers, and MoSi shifter stacks, have specific optical Tr properties which contribute to the total Tr properties of the mask. Surface coating materials such as Cr of varying thicknesses and Anti Reflective (AR) coatings also contribute to the total Tr of the photomask. Overall the wafer printed pattern fidelity to the design depends both on the physical size of the etched lines and spaces and on the Tr properties of the spaces and of the coating material in the lines. The high MEEF values reported in advanced litho processes are most probably affected among other factors by mask Tr properties which may significantly deviate from their ideal Tr values. Factors which may contribute to transmission deviations include contamination on any of the surfaces due to haze growth, contamination by metal and oxide ions absorbed in the Qz and adsorbed on the Qz surface during mask manufacturing, photochemical degradation of the pellicle and fused silica substrates, degradation of absorber thickness (particularly MoSi) due to clean processes, and more. Accumulated contributions of all those factors can give rise to transmission variations of up to several percent. It is well known that every percent of exposure dose change may result in 1-2 nm CD change on wafer depending on exposure and process conditions. All of the above factors raise the need for an advanced transmission measurement system that will be able to measure transmission at the exposure wavelength with sensitivities better than 0.1%, preferably better than 0.01% (100 ppm). Such systems are currently not available. In this paper we describe a DUV Tr measurement system which provides the ability to measure Tr profiles of blanks and patterned masks. The system has a very fast MAM time of less than 1 sec per point and can measure the Tr Uniformity (TRU) profile of a full size mask with 100% coverage in less than 4 hours. The system is very flexible and allows the user to define the density and sensitivity of the measurements in order to suit a particular task. The system measures through pellicle under better than class 1 clean air conditions. The system is distinguishable from existing Tr measurement systems by the fact that it is non imaging, uses an incoherent wide band light source with very high SNR, high sensitivity, and very high stability.
Advances in fabrication of x-ray masks based on vitreous carbon using a new UV sensitive positive resist
Anja Voigt, Josef Kouba, Marina Heinrich, et al.
LIGA is a well-established process to fabricate metallic micro parts with high resolution, high precision and very low sidewall roughness by means of X-ray lithography and electroplating. Typical mask substrate materials, e.g. beryllium, carbon based foils, Si3N4 or SiC show different disadvantages such as low X-ray transparency or high toxicity or high prices or low conductivity or high thermal expansion or surface porosity causing X-ray scattering. Due to the amorphous structure of vitreous carbon this mask material proved to significantly reduce the amount of side wall striations, leading to extremely smooth pattern sidewalls. For the fabrication of X-ray masks, PMMA with its unique features such as high aspect ratio patterns with high precision, exhibits low sensitivity and the layers preparation is not easy. SU-8, an epoxy-based UV and X-ray sensitive, chemically amplified negative tone photoresist exhibits high aspect ratio patterns with vertical sidewalls. The difficult remove of the resist after the electroplating process significantly hinders the inspection of the fabricated X-ray mask. We present the suitability of an UV sensitive, chemically amplified, aqueous-alkaline developable, and easy removable positive tone photoresist, XP mr-P 15 AV for the fabrication of X-ray masks by means of UV lithography on vitreous carbon substrates.
New results from DUV water immersion microscopy using the CD metrology system LWM500 WI with a high NA condenser
Frank Hillmann, Gerd Scheuring, Hans-Jürgen Brück
New results using the world's first optical DUV mask CD (critical dimension) metrology system based on water immersion (WI) technology (Vistec LWM500 WI) are presented. In order to improve repeatability and linearity, especially for feature sizes smaller than 300 nm, a new condenser with an increased numerical aperture (NA) was integrated and qualified. Comparative investigations between the previously used 0.55 NA condenser and the new 0.8 NA condenser are shown and the resulting improvements by the high NA condenser are discussed. This report focuses on results obtained on ArF half tone phase shift masks which are more critical than KrF half tone or binary masks due to the mismatch between measurement and exposure wavelengths.
High resolution patterning and simulation on Mo/Si multilayer for EUV masks
N. Tsikrikas, G. P. Patsis, I. Raptis, et al.
Electron Beam writing process is essential for EUV mask manufacturing and direct writing. Electron beam lithography simulation tools can provide critical information in the way of obtaining high accuracy results. In the present work a software tool which performs e-beam writing simulation, resist development simulation and automated metrology has been developed and applied in the case of Mo/Si multilayer substrates. Simulation results are compared with experimental ones in order to evaluate the simulation's accuracy.
Phame: high resolution off-axis phase shift measurements on 45nm node features
Ute Buttgereit, Sascha Perlitz, Dirk Seidel
The extension of optical lithography to 45nm and beyond goes along with an increased mask complexity and tighter specifications. Both attenuated and alternating phase shift masks (PSMs) require precise control of the phase shift as a function of both pitch and target sizes. Simulations show that the phase shift in the image plane of a microlithography scanner is strongly impacted by numerical aperture (NA), mask pitch, 3D mask effects, and polarization, especially if the feature sizes come close to the imaging wavelength. Carl Zeiss SMS has developed a new phase metrology system that overcomes the limitations of currently existing tools. The new optical metrology tool - Phame(R) - enables the industry to perform in-die phase measurements on alternating PSM (altPSM), attenuated PSM (attPSM), and CPL (chromeless phase lithography) masks down to 120nm half pitch at the mask. The optical beam path of the new metrology system allows actinic phase measurements of 193nm photomasks with a mask side NA up to 0.4, which is 1.6NA scanner equivalent at the wafer. This enables full compatibility to future 193nm immersion scanners down to the 32nm node. Off-axis phase measurement is realized by applying consecutive measurements of single source points according to the scanner relevant illumination settings. Phame(R) measures the scanner equivalent phase and amplitude in the image plane for each coherent source point. For off-axis phase shift extraction Zeiss has developed a new concept called high resolution phase. This high resolution phase is sensitive to the diffraction spectrum and to mask phase errors. In this paper we will explain the off-axis high resolution concept in detail. First measurements have been performed on attPSM with 45nm node test features. The results show strong deviations of the high resolution phase shift depending on the pitch. Isolated features combined with dense features have been investigated. The measurement results will be presented in the paper.