Share Email Print
cover

PROCEEDINGS VOLUME 6518

Metrology, Inspection, and Process Control for Microlithography XXI
Editor(s): Chas N. Archie
For the purchase of this volume in printed format, please visit Proceedings.com

Volume Details

Volume Number: 6518
Date Published: 15 March 2007

Table of Contents
show all abstracts | hide all abstracts
Front Matter: Volume 6518
Author(s): Proceedings of SPIE
Metrology challenges of double exposure and double patterning
Author(s): William H. Arnold; Mircea Dusa; Jo Flinders
Show Abstract
Process monitor gratings
Author(s): T. A. Brunner; C. P. Ausschnitt
Show Abstract
Lithography process control using scatterometry metrology and semi-physical modeling
Author(s): Kevin Lensing; Jason Cain; Amogh Prabhu; Alok Vaid; Robert Chong; Richard Good; Bruno LaFontaine; Oleg Kritsun
Show Abstract
Comparison of back side chrome focus monitor to focus self-metrology of an immersion scanner
Author(s): Koen D'havé; Takahiro Machida; David Laidler; Shaunee Cheng
Show Abstract
Evaluating a scatterometry-based focus monitor technique for hyper-NA lithography
Author(s): Chandra Saru Saravanan; Srinivasan Nirmalgandhi; Oleg Kritsun; Alden Acheta; Richard Sandberg; Bruno La Fontaine; Harry J. Levinson; Kevin Lensing; Mircea Dusa; Jan Hauschild; Anita Pici
Show Abstract
Focus and dose controls, and their application in lithography
Author(s): Hideki Ina; Koichi Sentoku; Satoru Oishi; Tomoyuki Miyashita; Takahiro Matsumoto
Show Abstract
Statistical optimization of sampling plan and its relation to OPC model accuracy
Author(s): Geng Han; Andrew Brendler; Scott Mansfield; Jason Meiring
Show Abstract
Automatic setup of in-line critical dimension (CD) recipes during OPC qualification in a foundry environment
Author(s): Yasri Yudhistira; Quek Shyue Fong; Chan Sun Sun; Koh Hui Peng; Rachel Ren; Sern Loong Ng; Amit Siany; Shimon Levi
Show Abstract
Quantification of two-dimensional structures generalized for OPC model verification
Author(s): Xuelong Shi; J. Fung Chen; Doug Van Den Broeke; Stephen Hsu; Michael Hsu
Show Abstract
Setting MRC rules: balancing inspection capabilities, defect sensitivity, and OPC
Author(s): Ian Stobert; James Bruce; Mohamed Gheith; Ahmed Seoud
Show Abstract
Methodology to set up accurate OPC model using optical CD metrology and atomic force microscopy
Author(s): Yeon-Ah Shim; Jaehyun Kang; Sang-Uk Lee; Jeahee Kim; Keeho Kim
Show Abstract
SEM-contour-based OPC model calibration through the process window
Author(s): Jim Vasek; Ovadya Menedeva; Dan Levitzky; Ofer Lindman; Youval Nemadi; George E. Bailey; John L. Sturtevant
Show Abstract
Meeting overlay requirements for future technology nodes with in-die overlay metrology
Author(s): Bernd Schulz; Rolf Seltmann; Jens Busch; Fritjof Hempel; Eric Cotte; Benjamin Alles
Show Abstract
Zero-order imaging of device-sized overlay targets using scatterfield microscopy
Author(s): Bryan M. Barnes; Lowell P. Howard; Jay Jun; Pete Lipscomb; Richard M. Silver
Show Abstract
Blossom overlay metrology implementation
Author(s): C. P. Ausschnitt; W. Chu; D. Kolor; J. Morillo; J. L. Morningstar; W. Muth; C. Thomison; R. J. Yerdon; L. A. Binns; P. Dasari; H. Fink; N. P. Smith; G. Ananew
Show Abstract
The application of SMASH alignment system for 65-55-nm logic devices
Author(s): M. Miyasaka; H. Saito; T. Tamura; T. Uchiyama; Paul Hinnen; Hyun-Woo Lee; Marc van Kemenade; Mir Shahrjerdy; Robert van Leeuwen
Show Abstract
Overlay metrology tool calibration
Author(s): L. A. Binns; P. Dasari; N. P. Smith; G. Ananew; H. Fink; C. P. Ausschnitt; J. Morningstar; C. Thomison; R. J. Yerdon
Show Abstract
Improved overlay control through automated high order compensation
Author(s): S. Wakamoto; Y. Ishii; K. Yasukawa; A. Sukegawa; S. Maejima; A. Kato; J. C. Robinson; B. J. Eichelberger; P. Izikson; M. Adel
Show Abstract
Monte Carlo modeling of secondary electron imaging in three dimensions
Author(s): John S. Villarrubia; Nicholas W. M. Ritchie; Jeremiah R. Lowney
Show Abstract
Evaluation of CD-SEM measurement uncertainty using secondary electron simulation with charging effect
Author(s): Hideaki Abe; Akira Hamaguchi; Yuichiro Yamazaki
Show Abstract
Carbon nanotube metrology in a CD SEM
Author(s): Colin Yates; Thomas Rueckes; Richard J. Carter
Show Abstract
Physical matching versus CD matching for CD SEM
Author(s): Roman Kris; Galit Zuckerman; Elad Sommer; Zion Hadad; Shalev Dror; Aviram Tam; Naftali Shcolnik
Show Abstract
Developing the new ADC algorithm that enables to identify the defect source
Author(s): Po-Yueh Tsai; Wen-Feng Chiu; To-Yu Chen; Fumiaki Endo; Yuko Kariya; Kazunori Nemoto
Show Abstract
Developing micro ADI methodology for new litho process monitoring strategies
Author(s): Iris Mäge; Uwe Seifert; Barry Saville; Martin Tuckermann
Show Abstract
Immersion lithography defectivity analysis at DUV inspection wavelength
Author(s): E. Golan; D. Meshulach; N. Raccah; J. Ho. Yeo; O. Dassa; S. Brandl; C. Schwarz; B. Pierson; W. Montgomery
Show Abstract
Innovative metrology for wafer edge defectivity in immersion lithography
Author(s): I. Pollentier; F. Iwamoto; M. Kocsis; A. Somanchi; F. Burkeen; S. Vedula
Show Abstract
Fundamental limits of optical critical dimension metrology: a simulation study
Author(s): Richard Silver; Thomas Germer; Ravikiran Attota; Bryan M. Barnes; Benjamin Bunday; John Allgair; Egon Marx; Jay Jun
Show Abstract
Detailed analysis of capability and limitations of CD scatterometry measurements for 65- and 45-nm nodes
Author(s): Irina Pundaleva; Roman Chalykh; JeungWoo Lee; SeongWoon Choi; Woosung Han
Show Abstract
Real-time profile shape reconstruction using dynamic scatterometry
Author(s): Sébastien Soulan; Maxime Besacier; Tanguy Leveder; Patrick Schiavone
Show Abstract
Mueller polarimetry in the back focal plane
Author(s): A. De Martino; S. Ben Hatit; M. Foldyna
Show Abstract
Modeling the effect of line profile variation on optical critical dimension metrology
Author(s): Thomas A. Germer
Show Abstract
TEM calibration methods for critical dimension standards
Author(s): Ndubuisi G. Orji; Ronald G. Dixson; Domingo I. Garcia-Gutierrez; Benjamin D. Bunday; Michael Bishop; Michael W. Cresswell; Richard A. Allen; John A. Allgair
Show Abstract
Image simulation and surface reconstruction of undercut features in atomic force microscopy
Author(s): Xiaoping Qian; John Villarrubia; Fenglei Tian; Ronald Dixson
Show Abstract
Statistical approach utilizing neural networks for CD error prediction
Author(s): Masafumi Asano; Masaki Satake; Satoshi Tanaka; Shoji Mimotogi
Show Abstract
Characterizing pattern structures using x-ray reflectivity
Author(s): Hae-Jeong Lee; Christopher L. Soles; Hyun Wook Ro; Shuhui Kang; Eric K. Lin; Alamgir Karim; Wen-li Wu; D. R. Hines
Show Abstract
Accuracy in optical image modeling
Author(s): James Potzick; Egon Marx; Mark Davidson
Show Abstract
Single crystal critical dimension reference materials (SCCDRM): process optimization for the next generation of standards
Author(s): Ronald G. Dixson; William F. Guthrie; Michael Cresswell; Richard A. Allen; Ndubuisi G. Orji
Show Abstract
Comparison and uncertainties of standards for critical dimension atomic force microscope tip width calibration
Author(s): Ronald Dixson; Ndubuisi G. Orji
Show Abstract
TEM validation of CD AFM image reconstruction
Author(s): Gregory A. Dahlen; Lars Mininni; Marc Osborn; Hao-Chih Liu; Jason R. Osborne; Bryan Tracy; Amalia del Rosario
Show Abstract
Application of carbon nanotube probes in a critical dimension atomic force microscope
Author(s): B. C. Park; J. Choi; S. J. Ahn; D-H Kim; J. Lyou; R. Dixson; N. G. Orji; J. Fu; T. V. Vorburger
Show Abstract
In-line AFM characterization of STI profile at the 65 nm node with advanced carbon probes
Author(s): Massimo D. Sardo; Audrey Berthoud; Jean-Claude Royer; Christian Kusch
Show Abstract
Impact of thin film metrology on the lithographic performance of 193-nm bottom antireflective coatings
Author(s): Chris A. Mack; Dale Harrison; Cristian Rivas; Phillip Walsh
Show Abstract
Dielectric-thickness dependence of damage induced by electron-beam irradiation of MNOS gate pattern
Author(s): Miyako Matsui; Toshiyuki Mine; Kazuyuki Hozawa; Kikuo Watanabe; Jiro Inoue; Hiroshi Nagaishi
Show Abstract
OPC model data collection for 45-nm technology node using automatic CD-SEM offline recipe creation
Author(s): Daniel Fischer; Mohamed Talbi; Alex Wei; Ovadya Menadeva; Roger Cornell
Show Abstract
Line width measurement below 60nm using an optical interferometer and artificial neural network
Author(s): Chung W. See; Richard J. Smith; Michael G. Somekh; Andrew Yacoot
Show Abstract
Metrology challenges for advanced lithography techniques
Author(s): Ilan Englard; Peter Vanoppen; Jo Finders; Ingrid Minnaert-Janssen; Frank Duray; Jeroen Meessen; Gert-Jan Janssen; Ofer Adan; Liraz Gershtein; Ram Peltinov; Claudio Masia; Richard Piech
Show Abstract
Transition from precise to accurate critical dimension metrology
Author(s): Vladimir A. Ukraintsev; Margaret C. Tsai; Tom Lii; Ricky A. Jackson
Show Abstract
Device metrology with high-performance scanning ion beams
Author(s): David C. Joy; Brendan J. Griffin; John Notte; Lewis Stern; Shawn McVey; Bill Ward; Clarke Fenner
Show Abstract
Beyond measurement uncertainty: improving the productivity of metrology tools through recipe error analysis
Author(s): Eric Solecky; Anas Bennasser; Erwin Weissmann
Show Abstract
Realizing "value-added" metrology
Author(s): Benjamin Bunday; Pete Lipscomb; John Allgair; Dilip Patel; Mark Caldwell; Eric Solecky; Chas Archie; Jennifer Morningstar; Bryan J. Rice; Bhanwar Singh; Jason Cain; Iraj Emami; Bill Banke Jr.; Alfredo Herrera; Vladamir Ukraintsev; Jerry Schlessinger; Jeff Ritchison
Show Abstract
Improved dimension and shape metrology with versatile atomic force microscopy
Author(s): Mark Caldwell; Tianming Bao; John Hackenberg; Brian McLain; Omar Munoz; Tab Stephens; Victor Vartanian
Show Abstract
Enabling immersion lithography and double patterning
Author(s): Kevin M. Monahan; Amir Widmann
Show Abstract
Correlation length and the problem of line width roughness
Author(s): V. Constantoudis; G. P. Patsis; E. Gogolides
Show Abstract
Line edge roughness characterization of sub-50nm structures using CD-SAXS: round-robin benchmark results
Author(s): Chengqing Wang; Ronald L. Jones; Eric K. Lin; Wen-li Wu; John S. Villarrubia; Kwang-Woo Choi; James S. Clarke; Bryan J. Rice; Michael Leeson; Jeanette Roberts; Robert Bristol; Benjamin Bunday
Show Abstract
Characterization of line-edge roughness in Cu/low-k interconnect pattern
Author(s): Atsuko Yamaguchi; Daisuke Ryuzaki; Jiro Yamamoto; Hiroki Kawada; Takashi Iizumi
Show Abstract
Impact of acid diffusion length on resist LER and LWR measured by CD-AFM and CD-SEM
Author(s): J. Foucher; A. Pikon; C. Andes; J. Thackeray
Show Abstract
Advanced edge roughness measurement application for mask metrology
Author(s): Thomas Marschner; Jan Richter; Uwe Dersch; Amit Moran; Ruthy Katz; David Chase; Reuven Falah; Thomas Coleman
Show Abstract
The coming of age of tilt CD-SEM
Author(s): B. Bunday; J. Allgair; E. Solecky; C. Archie; N. G. Orji; J. Beach; O. Adan; R. Peltinov; M. Bar-zvi; J. Swyers
Show Abstract
Scatterometry on pelliclized masks: an option for wafer fabs
Author(s): Emily Gallagher; Craig Benson; Masaru Higuchi; Yasuhiro Okumoto; Michael Kwon; Sanjay Yedur; Shifang Li; Sangbong Lee; Milad Tabet
Show Abstract
Development of advanced mask inspection optics with transmitted and reflected light image acquisition
Author(s): Ryoichi Hirano; Riki Ogawa; Hitoshi Suzuki; Kenichi Takahara; Yoshitake Tsuji; Shingo Murakami; Nobutaka Kikuiri; Kinya Usuda
Show Abstract
Real time monitoring of reticle etch process tool to investigate and predict critical dimension performance
Author(s): Rick Deming; Karmen Yung; Mark Guglielmana; Dan Bald; Kiho Baik; Frank Abboud
Show Abstract
CAD-based line/space mix-up prevention for reticle metrology
Author(s): Thomas Marschner; Maik Enger; Frank Ludewig; Reuven Falah; Sergey Latinsky; Ofer Lindman; Thomas Coleman
Show Abstract
Aspects and new developments on edge angle and edge profile metrology at PTB
Author(s): Bernd Bodermann; Egbert Buhr; Alexander Diener; Kai Dirscherl; Gerd Ehret; Carl Georg Frase; Matthias Wurm
Show Abstract
Study of rigorous effects and polarization on phase shifting masks through simulations and in-die phase measurements
Author(s): Kyung M. Lee; Malahat Tavassoli; Max Lau; Kiho Baik; Barry Lieberman; Sascha Perlitz; Ute Buttgereit; Thomas Scherübl
Show Abstract
Advances in process overlay: alignment solutions for future technology nodes
Author(s): Henry Megens; Richard van Haren; Sami Musa; Maya Doytcheva; Sanjay Lalbahadoersing; Marc van Kemenade; Hyun-Woo Lee; Paul Hinnen; Frank van Bilsen
Show Abstract
Algorithm for lithography advanced process control system for high-mix low-volume products
Author(s): Eiichi Kawamura
Show Abstract
Advanced process control with design-based metrology
Author(s): Hyunjo Yang; Jungchan Kim; Jongkyun Hong; Donggyu Yim; Jinwoong Kim; Toshiaki Hasebe; Masahiro Yamamoto
Show Abstract
Investigation of optimized wafer sampling with multiple integrated metrology modules within photolithography equipment
Author(s): Ted L. Taylor; Eri Makimura
Show Abstract
Advanced lithography parameters extraction by using scatterometry system
Author(s): Wenzhan Zhou; Minghao Tang; Huipeng Koh; Meisheng Zhou
Show Abstract
Line edge roughness impact on critical dimension variation
Author(s): Yuansheng Ma; Harry J. Levinson; Thomas Wallow
Show Abstract
Predicting electrical measurements by applying scatterometry to complex spacer structures
Author(s): Matthew Sendelbach; Javier Ayala; Pedro Herrera
Show Abstract
Characterization of bending CD errors induced by resist trimming in 65 nm node and beyond
Author(s): Yiming Gu; James B. Friedmann; Vladimir Ukraintsev; Gary Zhang; Thomas Wolf; Tom Lii; Ricky Jackson
Show Abstract
Characterization of capacitive 3D deep trench mask open structures using scatterometry
Author(s): Shahin Zangooie; Pedro Herrera; Abebe Mesfin; Chas Archie; Matthew Sendelbach
Show Abstract
Application of perturbation methods in optical scatterometry
Author(s): B. C. Bergner; T. J. Suleski
Show Abstract
SEM metrology for advanced lithographies
Author(s): Benjamin Bunday; John Allgair; Bryan J. Rice; Jeff Byers; Yohanan Avitan; Ram Peltinov; Maayan Bar-zvi; Ofer Adan; John Swyers; Roni Z. Shneck
Show Abstract
Across-wafer CD uniformity control through lithography and etch process: experimental verification
Author(s): Qiaolin Zhang; Cherry Tang; Jason Cain; Angela Hui; Tony Hsieh; Nick Maccrae; Bhanwar Singh; Kameshwar Poolla; Costas J. Spanos
Show Abstract
Image analysis of alignment and overlay marks with compound structure
Author(s): Roman Chalykh; Irina Pundaleva; Jang-Ho Shin; Seong-Sue Kim; Han-Ku Cho; Joo-Tae Moon
Show Abstract
Methodical approach to improve defect detection sensitivity on lithography process using DUV inspection system
Author(s): Changgoo Lee; Sera Won; Daeyoung Seo; Hyeonsoo Kim; Jinwoong Kim; Jeong-Ho Yeo; Ido Dolev; Chan-Hee Kwak
Show Abstract
Etch process monitoring by electron beam wafer inspection
Author(s): Luke Lin; Jia-Yun Chen; Wen-Yi Wong; Mark McCord; Alex Tsai; Steven Oestreich; Indranil De; Jan Lauber; Andrew Kang
Show Abstract
Immersion-induced defect SEM-based library for fast baseline improvement and excursion
Author(s): Ilan Englard; Raf Stegen; Erik Van Brederode; Peter Vanoppen; Ingrid Minnaert-Janssen; Frank Duray; Ted der Kinderen; Gazi Tanriseven; Inge Lamers; Mireia Blanco Mantecon; Lior Levin; Eitan Binyamini; Nurit Raccah; Shalev Dror; Eran Valfer; Ofer Rotlevi; Robert Schreutelkamp; Rich Piech
Show Abstract
Novel technology of automatic macro inspection for 32-nm node and best focus detection
Author(s): Kazuhiko Fukazawa; Kazumasa Endo; Kiminori Yoshino; Yuichiro Yamazaki
Show Abstract
Results from a new die-to-database reticle inspection platform
Author(s): William Broadbent; Yalin Xiong; Michael Giusti; Robert Walsh; Aditya Dayal
Show Abstract
Inspection sensitivity improvement through optimization of lobe blocking on high-end memory devices
Author(s): Changgoo Lee; Sera Won; Daeyoung Seo; Hyeonsoo Kim; Jinwoong Kim; Jeong-Ho Yeo; Ido Dolev; Chan-Hee Kwak
Show Abstract
Use of automated EBR metrology inspection to optimize the edge bead process
Author(s): Alan Carlson; Tuan Le; Ajay Pai; Joseph Hallen; Bridget Rioux
Show Abstract
High-throughput polarization imaging for defocus and dose inspection for production wafers
Author(s): Gang Sun; Eugene Onoichenco; Yonghuang Fu; Yongqiang Liu; Ricardo Amell; Casey McCandless; Rajasekar Reddy; Gidesh Kumar; Max Guest
Show Abstract
Real-time spatial control of photoresist development rate
Author(s): Arthur Tay; Weng-Khuen Ho; Ni Hu; Choon-Meng Kiew; Kuen-Yu Tsai
Show Abstract
A predictive method to forecast spatial variability of stochastic processes for deep nanoscale semiconductor manufacturing
Author(s): Yijian Chen
Show Abstract
Advanced process control for hyper-NA lithography based on CD-SEM measurement
Author(s): T. Ishimoto; K. Sekiguchi; N. Hasegawa; T. Maeda; K. Watanabe; G. Storms; D. Laidler; S. Cheng
Show Abstract
Application of integrated scatterometry (iODP) to detect and quantify resist profile changes due to resist batch changes in a production environment
Author(s): Shahzad Ali; Linda Chen; Jason Tiffany; Anurag Yadav; Bryan Swain; David Dixon; Stephen Lickteig
Show Abstract
Litho cell control using MPX
Author(s): Eric Apelgren; Harold Kennemer; Chris Nelson; Brad Eichelberger; John Robinson
Show Abstract
Data sharing system for lithography APC
Author(s): Eiichi Kawamura; Yoshiharu Teranishi; Masanori Shimabara
Show Abstract
CD measurement in flash memory using substrate current technology
Author(s): Yeong-Uk Ko; Keizo Yamada; Takeo Ushiki
Show Abstract
Overlay metrology for dark hard mask process: simulation and experiment study
Author(s): Jangho Shin; Roman Chalykh; Hyunjae Kang; SeongSue Kim; SukJoo Lee; Han-Ku Cho
Show Abstract
In-chip overlay metrology of 45nm and 55nm processes
Author(s): Y. S. Ku; C. H. Tung; Y. P. Li; H. L. Pang; C. M. Ke; Y. H. Wang; D. C. Huang; N. P. Smith; L. Binns
Show Abstract
Evaluation of AIM overlay mark for thin film head application
Author(s): Yi Li; Alan Fan; Gary Etheridge; Gerald Finken; Darrel Louder
Show Abstract
Through-focus technique for overlay metrology
Author(s): An-Shun Liu; Yi-Sha Ku; Nigel Smith
Show Abstract
Improvement of front-end process overlay in 60nm DRAM
Author(s): Young-Sun Hwang; Won-Kwang Ma; Eung-Kil Kang; Chang-Moon Lim; Seung-Chan Moon; Sang-Jin An; Kyu-Kab Rhe
Show Abstract
Hardware, materials, and parameters optimization for improvement of immersion overlay
Author(s): Won-Kwang Ma; Young-sun Hwang; Eung-kil Kang; Sarohan Park; Jung-Hyun Kang; Chang-moon Lim; Seung-chan Moon
Show Abstract
45nm design rule in-die overlay metrology on immersion lithography processes
Author(s): Yu-Hao Shih; George KC Huang; Chun-Chi Yu; Mike Adel; Chin-Chou Kevin Huang; Pavel Izikson; Elyakim Kassel; Sameer Mathur; Chien-Jen Huang; David Tien; Yosef Avrahamov
Show Abstract
Say good-bye to DOF: statistical process window analysis with inline lithographic process variations
Author(s): Wenzhan Zhou; Minghao Tang; Huipeng Koh; Meisheng Zhou
Show Abstract
Use of in-line AFM as LWR verification tool in 45nm process development
Author(s): Ming Hsun Hsieh; Kun Ho Shi; J. H. Yeh; Ruei Hung Hsu; Mingsheng Tsai; S. F. Tzou
Show Abstract
Die-to-database verification tool for detecting CD errors, which are caused by OPC features, by using mass gate measurement and layout information
Author(s): Tadashi Kitamura; Toshiaki Hasebe; Kazufumi Kubota; Futoshi Sakai; Shinichi Nakazawa; David Lin; Michael J. Hoffman; Masahiro Yamamoto; Masahiro Inoue
Show Abstract
Major trends in extending CD-SEM utility
Author(s): Benjamin Bunday; John Allgair; Kyoungmo Yang; Shunsuke Koshihara; Hidetoshi Morokuma; Alex Danilevsky; Cindy Parker; Lorena Page
Show Abstract
Stochastic simulation of material and process effects on the patterning of complex layouts
Author(s): N. Tsikrikas; D. Drygiannakis; G. P. Patsis; G. Kokkoris; I. Raptis; E. Gogolides
Show Abstract
MacroCD contact ellipticity measurements for lithography tool qualification
Author(s): Ilan Englard; Eelco van Setten; Gert-Jan Janssen; Peter Vanoppen; Ingrid Minnaert-Janssen; Frank Duray; Ofer Adan; Amit Moran; Liraz Gershtein; Ram Peltinov
Show Abstract
Sub-nanometer CD-SEM matching
Author(s): Travis Lott; Russell J. Elias
Show Abstract
Visible light angular scatterometry for nanolithography
Author(s): Rayan M. Al-Assaad; Li Tao; Wenchuang Hu
Show Abstract
Robust sub-50-nm CD control by a fast-goniometric scatterometry technique
Author(s): Jérôme Hazart; Pierre Barritault; Stéphanie Garcia; Thierry Leroux; Pierre Boher; Koichi Tsujino
Show Abstract
Accurate and reliable optical CD of MuGFET down to 10nm
Author(s): P. Leray; G. F. Lorusso; S. Cheng; N. Collaert; M. Jurczak; S. Shirke
Show Abstract
OCD metrology by floating n/k
Author(s): Shinn-Sheng Yu; Jacky Huang; Chih-Ming Ke; Tsai-Sheng Gau; Burn J. Lin; Anthony Yen; Lawrence Lane; Vi Vuong; Yan Chen
Show Abstract
High-resolution in-die metrology using beam profile reflectometry and ellipsometry
Author(s): Chungsam Jun; Jangik Park; Jon Opsal; Heath Pois; In-Kyo Kim; Jung-Wook Kim; Lena Nicolaides
Show Abstract
The study to enhance the mask global CD uniformity by removing local CD variation
Author(s): Yongkyoo Choi; Munsik Kim; Oscar Han
Show Abstract
Achievement of sub nanometer reproducibility in line scale measurements with the nanometer comparator
Author(s): Rainer Köning; Jens Flügge; Harald Bosse
Show Abstract
Aera193i: aerial imaging mask inspection for immersion lithography
Author(s): Yoel Zabar; Chaim Braude; Shmoolik Mangan; Dan Rost; Raunak Mann
Show Abstract
Critical dimension measurements on phase-shift masks using an optical pattern placement metrology tool
Author(s): Hermann Bittner; Dieter Adam; Jochen Bender; Artur Boesser; Michael Heiden; Klaus-Dieter Roeth
Show Abstract
Novel CD-SEM calibration reference consisting of 100-nm pitch grating and positional identification mark
Author(s): Yoshinori Nakayama; Hiroki Kawada; Shozo Yoneda; Takeshi Mizuno
Show Abstract
Advanced CD-AFM probe tip shape characterization for metrology accuracy and throughput
Author(s): Hao-Chih Liu; Jason R. Osborne; Marc Osborn; Gregory A. Dahlen
Show Abstract
An advanced AFM sensor: its profile accuracy and low probe wear property for high aspect ratio patterns
Author(s): Masahiro Watanabe; Shuichi Baba; Toshihiko Nakata; Toru Kurenuma; Yuichi Kunitomo; Manabu Edamura
Show Abstract
New inline AFM metrology tool suited for LSI manufacturing at the 45-nm node and beyond
Author(s): Manabu Edamura; Yuichi Kunitomo; Takafumi Morimoto; Satoshi Sekino; Toru Kurenuma; Yukio Kembo; Masahiro Watanabe; Shuichi Baba; Kishio Hidaka
Show Abstract
Magnification calibration standards for sub-100nm metrology
Author(s): Sachin Deo; David Joy
Show Abstract
Advances in CD-AFM scan algorithm technology enable improved CD metrology
Author(s): Lars Mininni; Johann Foucher; Pascal Faurie
Show Abstract
Plasma-assisted cleaning by electrostatics (PACE)
Author(s): W. M. Lytle; H. Shin; D. N. Ruzic
Show Abstract
Optical characterization of microstructures of high aspect ratio
Author(s): T. Tamulevicius; S. Tamulevicius; M. Andrulevicius; G. Janusas; V. Ostasevicius; A. Palevicius
Show Abstract
Contrarian approach to and ultimate solution for 193nm reticle haze
Author(s): Oleg Kishkovich; Anatoly Grayfer; Frank V. Belanger
Show Abstract
Thermal imaging of a lithography cell, including exposure tool, using a self-contained instrumented wafer
Author(s): Zach Reid; Mark Wiltse; Sandy Burgan; Gregory Roche
Show Abstract
Optimization of lithography process to improve image deformation of contact hole sub-90 nm technology node
Author(s): Sungho Jun; Juhyun Kim; Eunsoo Jeong; Youngje Yun; Jaehee Kim; Keeho Kim
Show Abstract
Resolution enhancement technique using oxidation process with nitride hardmask process
Author(s): Eunsoo Jeong; Jaehee Kim; Keeho Kim; Daeyoung Kim; Hyunju Lim
Show Abstract
Enhanced hole shape of flash devices in ArF lithography by eliptical mask bias technique
Author(s): Young-Doo Jeon; Sungho Jun; Jae-Hyun Kang; Sang-Uk Lee; Jeahee Kim; Keeho Kim
Show Abstract
Purge micro-environment with ionized air to reduce chances of ESD damages to wafers
Author(s): Huaping Wang; Yingkai Liu; Mike Cisewski
Show Abstract
Monitoring airborne molecular contamination: a quantitative and qualitative comparison of real-time and grab-sampling techniques
Author(s): Aaron M. Shupp; Dan Rodier; Steven Rowley
Show Abstract
Optimizing surface finishing processes through the use of novel solvents and systems
Author(s): M. Quillen; P. Holbrook; J. Moore
Show Abstract
New filter media development for effective control of trimethysilanol (TMS) and related low molecular weight silicon containing organic species in the photobay ambient
Author(s): Anatoly Grayfer; Frank V. Belanger; Phillip Cate; David Ruede
Show Abstract
Non-contacting electrostatic voltmeter for wafer potential monitoring
Author(s): Maciej A. Noras; William A. Maryniak
Show Abstract
Optimized molecular contamination monitoring for lithography
Author(s): D. Rodier
Show Abstract
The novel advanced process control to eliminate AlCu-PVD induced overlay shift
Author(s): CH Huang; CC Yang; Elvis Yang; TH Yang; KC Chen; Joseph Ku; CY Lu
Show Abstract
Low-pressure drop filtration of airborne molecular organic contaminants using open-channel networks
Author(s): Andrew J. Dallas; Jon Joriman; Lefei Ding; Gerald Weineck; Kevin Seguin
Show Abstract
Novel method of under-etch defect detection for contact layers based on Si substrate using optic wafer inspection tools
Author(s): Byoung-Ho Lee; Jin-Seo Choi; Soo-Bok Chin; Do-Hyun Cho; Chang-Lyong Song
Show Abstract
CD-bias evaluation and reduction in CD-SEM linewidth measurements
Author(s): Maki Tanaka; Chie Shishido; Wataru Nagatomo; Kenji Watanabe
Show Abstract
Ellipsometric studies of the absorption of liquid by photo resist
Author(s): Hee Jeong; Jaesun Kyung; Songyi Park; Kiyong Lee; Hyungjoo Lee; Hyuknyeong Cheon; Ilsin An; Sook Lee
Show Abstract
Advanced defect definition method using design data
Author(s): Kyuhong Lim; Dilip Patel; Kyoungmo Yang; Shunsuke Koshihara; Lorena Page; Andy Self; Maurilio Martinez
Show Abstract
Image quality improvement in inspection systems using double integrator illumination
Author(s): Akira Takada; Hitoshi Suzuki; Toru Tojo; Masato Shibuya
Show Abstract
Study of ADI (After Develop Inspection) on photo resist wafers using electron beam (II)
Author(s): Teruyuki Hayashi; Misako Saito; Kaoru Fujihara; Setsuko Shibuya; Y. Kudou; Hiroshi Nagaike; Joseph Lin; Jack Jau
Show Abstract
3D anisotropic semiconductor grooves measurement simulations (scatterometry) using FDTD methods
Author(s): Hirokimi Shirasaki
Show Abstract
Metrology of replicated diffractive optics with Mueller polarimetry in conical diffraction
Author(s): Tatiana Novikova; Antonello De Martino; Pavel Bulkin; Quang Nguyen; Bernard Drévillon; Vladimir Popov; Alexander Chumakov
Show Abstract
Influence of wafer warpage on photoresist film thickness and extinction coefficient measurements
Author(s): Xiaodong Wu; Arthur Tay
Show Abstract
Angular scatterometry for line-width roughness measurement
Author(s): Deh-Ming Shyu; Yi-Sha Ku; Nigel Smith
Show Abstract
Charging measurement using SEM embedded energy filter
Author(s): F. Levitov; A. Karabekov; G. Eytan; G. Golan
Show Abstract
Contact leakage and open monitoring with an advanced e-beam inspection system
Author(s): Shuen-chen Lei; Hermes Liu; Mingsheng Tsai; Hung-Chi Wu; Hong Xiao; Jack Jau
Show Abstract
An approach to modeling and on-line identification for piezoelectric stack actuator
Author(s): Yueyu Wang D.D.S.; Xuezeng Zhao; Wei Chu
Show Abstract
The optimization of photoresist profile for sub-90nm technology
Author(s): Haengleem Jeon; Cheonman Shim; Jiho Hong; Jaewon Han; Keeho Kim
Show Abstract
Study on micro-bubble defect induced by RRC coating
Author(s): Yu-Huan Liu; Wen-Shiang Liao; Hsin-Hung Lin; Chin-Jung Chen; C. C. Huang
Show Abstract
Characterization of resist thinning and profile changes using scatterometry
Author(s): Jennifer Fullam; Karen Petrillo
Show Abstract
Contamination removal from collector optics and masks: an essential step for next-generation lithography
Author(s): H. Shin; S. N. Srivastava; D. N. Ruzic
Show Abstract
A new SEM CD operator verified against Monte Carlo simulations
Author(s): C. G. Frase; D. Gnieser; K. Dirscherl; E. Buhr; H. Bosse
Show Abstract
Characterization and adjustment of high performance objectives for DUV applications
Author(s): Stefan Müller-Pfeiffer; Lienhard Körner; Stefan Franz; Oliver R. Falkenstörfer; Hans Lauth
Show Abstract
Phame: a novel phase metrology tool of Carl Zeiss for in-die phase measurements under scanner relevant optical settings
Author(s): Sascha Perlitz; Ute Buttgereit; Thomas Scherübl
Show Abstract
Non-linear methods for overlay control
Author(s): Michiel Kupers; Dongsub Choi; Boris Habets; Geert Simons; Erik Wallerbos
Show Abstract
Leveraging LER to minimize linewidth measurement uncertainty in a calibration exercise
Author(s): James Robert; Bill Banke; Ronald Dixson
Show Abstract
Köhler illumination analysis for high-resolution optical metrology using 193 nm light
Author(s): Yeungjoon Sohn; Richard M. Silver
Show Abstract
Critical dimension: MEMS road map
Author(s): Marc Poulingue; Paul Knutrud
Show Abstract
Enabling gate etch process development using scatterometry
Author(s): Jophy Koshy; Matthew Sendelbach; Pedro Herrera
Show Abstract
ArF pellicle degradation mechanism for resolving CD variation
Author(s): Hyungseok Choi; Yohan Ahn; Jua Ryu; Yangkoo Lee; Bumhyun An; Seokryeol Lee
Show Abstract
Effect and procedures of post exposure bake temperature optimization on the CD uniformity in a mass production environment
Author(s): Kirsten Ruck; Heiko Weichert; Steffen Hornig; Frank Finger; Göran Fleischer; Dave Hetzer
Show Abstract
Scatterometry characterization of polysilicon gate profiles in a 90 nm logic process
Author(s): E. B. Maiken
Show Abstract
Scatterometry solutions and vision for advanced lithography process control
Author(s): Tatiana Levin; Michael Livne; Robert M. Gillespie
Show Abstract
Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks
Author(s): Kyung M. Lee; Sanjay Yedur; Sven Henrichs; Malahat Tavassoli; Kiho Baik
Show Abstract
Implementation strategies and return on investment for integrated CD control
Author(s): Lawrence Lane; Bob Monteverde
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray