Share Email Print
cover

PROCEEDINGS VOLUME 6349

Photomask Technology 2006

*This item is only available on the SPIE Digital Library.


Volume Details

Volume Number: 6349
Date Published: 20 October 2006

Table of Contents
show all abstracts | hide all abstracts
Mask industry assessment: 2006
Author(s): Gilbert Shelden; Patricia Marmillion
Show Abstract
A model to predict the critical velocity for liquid loss from a receding meniscus
Author(s): Timothy A. Shedd; Scott D. Schuetter; Gregory F. Nellis; Chris K. Van Peski
Show Abstract
Chemical flare long-range proximity effects in photomask manufacturing with chemically amplified resists
Author(s): Daniel Sullivan; Yusuke Okawa; Kazuhiko Sugawara; Zdenek Benes; Jun Kotani
Show Abstract
PAB and PEB temperature gradient methodology for CAR optimization
Author(s): Thuc H. Dam; Andrew Jamieson; Maiying Lu; Ki-Ho Baik
Show Abstract
An ultra-uniform ultra-thin resist deposition process
Author(s): Gilles Picard; Juan Schneider; Brian J. Grenon
Show Abstract
A novel process of etching EUV masks for future generation technology
Author(s): Banqiu Wu; Ajay Kumar; Madhavi Chandrachood; Ibrahim Ibrahim; Amitabh Sabharwal
Show Abstract
Controlling CD uniformity for 45nm technology node applications
Author(s): J. Plumhoff; S. Srinivasan; R. Westerman; D. Johnson; C. Constantine
Show Abstract
Mask CD correction method using dry-etch process
Author(s): Ho Yong Jung; Tae Joong Ha; Jae Cheon Shin; Ku Cheol Jeong; Young Kee Kim; Oscar Han
Show Abstract
The study of optical performance for quartz dry etching quality in ArF lithography
Author(s): Won-Suk Ahn; Hye-Kyung Lee; Young-Ju Park; Hyuk-Joo Kwon; Seong-Woon Choi; Woo-Sung Han
Show Abstract
Mask complexity reduction, quality assurance, and yield improvement through reduced layout variability
Author(s): A. Balasinski; J. Cetin
Show Abstract
Litho-friendly design (LfD) methodologies applied to library cells
Author(s): Kai Peter; Reinhard März; Sonja Gröndahl; Wilhelm Maurer
Show Abstract
Integrated DFM framework for dynamic yield optimization
Author(s): Fedor G. Pikus
Show Abstract
Application of Dosemapper for 65-nm gate CD control: strategies and results
Author(s): Nazneen Jeewakhan; Nader Shamma; Sang-Jun Choi; Roque Alvarez; D. H. Son; Makoto Nakamura; Vinny Pici; Jim Schreiber; Wei-shun Tzeng; Sean Ang; Daniel Park
Show Abstract
Fast dual graph-based hotspot detection
Author(s): Andrew B. Kahng; Chul-Hong Park; Xu Xu
Show Abstract
Multi-layer resist system for 45-nm-node and beyond: part I
Author(s): M. Hashimoto; H. Shiratori; K. Horii; Y. Yokoya; Y. Ohkubo; H. Takamizawa; Y. Fujimura; J. Morimoto; A. Manoshiro; M. Shimizu; T. Yokoyama; T. Enomoto; M. Nagai
Show Abstract
Process window enhancement for 45-nm node using alterable transmission phase-shifting materials
Author(s): Hans Becker; Markus Renno; Guenter Hess; Ute Buttgereit; Corinna Koepernik; Lorenz Nedelmann; Mathias Irmscher; Robert Birkner; Axel Zibold; Thomas Scheruebl
Show Abstract
Optical issues of thin organic pellicles in 45-nm and 32-nm immersion lithography
Author(s): Kevin Lucas; Joseph S. Gordon; Will Conley; Mazen Saied; Scott Warrick; Mike Pochkowski; Mark D. Smith; Craig West; Franklin Kalk; Jan Pieter Kuijten
Show Abstract
Feasibility study of embedded binary masks
Author(s): Michael Cangemi; Vicky Philipsen; Leonardus H. A. Leunissen; Darren Taylor
Show Abstract
Contact hole CD and profile metrology of binary and phase-shift masks: effect of modeling strategies in application of scatterometery
Author(s): Kyung-man Lee; Sanjay Yedur; Malahat Tavassoli; Kiho Baik; Milad Tabet
Show Abstract
Improved prediction of across chip linewidth variation (ACLV) with photomask aerial image CD metrology
Author(s): Eric Poortinga; Axel Zibold; Will Conley; Lloyd C. Litt; Bryan Kasprowicz; Michael Cangemi
Show Abstract
Design-based mask metrology hot spot classification and recipe making through random pattern recognition method
Author(s): Ying Cui; Kiho Baik; Bob Gleason; Malahat Tavassoli
Show Abstract
Determination of spatial CD signatures on photomasks
Author(s): Clemens Utzny; Martin Rößiger
Show Abstract
Analysis of optical lithography capabilities of pixelized photomasks and spatial light modulators
Author(s): Azat Latypov
Show Abstract
High-resolution mask inspection in advanced fab
Author(s): Stephanie Maelzer; Andre Poock; Bryan Reese; Kaustuve Bhattacharyya; Farzin Mirzaagha; Stephen Cox; Michael Lang
Show Abstract
Limitations of optical reticle inspection for 45-nm node and beyond
Author(s): S. Teuber; A. Bzdurek; A. C. Dürr; J. Heumann; C. Holfeld
Show Abstract
Wafer fab mask qualification techniques and limitations
Author(s): Andre Poock; Stephanie Maelzer; Chris Spence; Cyrus Tabery; Michael Lang; Guido Schnasse; Milko Peikert; Kaustuve Bhattacharyya
Show Abstract
Variable shaped beam writing throughput at the 45nm node and beyond
Author(s): A. Sowers; M. Shumway; M. Kamna; N. Wilcox; M. Vernon; D. Cole; M. Chandramouli
Show Abstract
Study of the beam blur and its effect on the future mask fabrication
Author(s): Sanghee Lee; Sungho Park; Mihye Ahn; Jonggul Doh; Sungyoon Kim; Byunggook Kim; Seongwoon Choi; Woosung Han
Show Abstract
Improved photomask accuracy with a high-productivity DUV laser pattern generator
Author(s): Thomas Öström; Jonas Måhlén; Andrzej Karawajczyk; Mats Rosling; Per Carlqvist; Per Askebjer; Tord Karlin; Jesper Sallander; Anders Österberg
Show Abstract
Metrics to assess fracture quality for variable shaped beam lithography
Author(s): M. Bloecker; R. Gladhill; P. D. Buck; M. Kempf; D. Aguilar; R. B. Cinque
Show Abstract
Process results using automatic pitch decomposition and double patterning technology (DPT) at k1eff <0.20
Author(s): Judy Huckabay; Wolf Staud; Robert Naber; Anton van Oosten; Peter Nikolski; Stephen Hsu; R. J. Socha; M. V. Dusa; Donis Flagello
Show Abstract
The effects of the photomask on multiphase shift test monitors
Author(s): Gregory McIntyre; Andrew Neureuther
Show Abstract
Image degradation due to phase effects in chromeless phase lithography
Author(s): Karsten Bubke; Martin Sczyrba; K. T. Park; Ralf Neubauer; Rainer Pforr; Jens Reichelt; Ralf Ziebold
Show Abstract
Advanced non-disruptive manufacturing rule checks (MRC)
Author(s): Bill Moore; Tanya Do; Ray E. Morgan
Show Abstract
A generic method for the detection of electrically superfluous layout features
Author(s): Markus Hofsaess; Thomas Roessler; Eva Nash
Show Abstract
Mask specification for for wafer process optimization
Author(s): Lin Chen; Phil Freiberger; Jeff Farnsworth; Ruth Stritsman; Richard P. Rodrigues
Show Abstract
A memory efficient large mask data handling method using repetition
Author(s): Jin-Sook Choi; Jae-Pil Shin; Jong-Bae Lee; Moon-Hyun Yoo; Jeong-Taek Kong
Show Abstract
Sensitivity of a variable threshold model toward process and modeling parameters
Author(s): Mazen Saied; Franck Foussadier; Yorick Trouiller; Jérôme Belledent; Kevin Lucas; Isabelle Schanen; Amandine Borjon; Christophe Couderc; Christian Gardin; Laurent LeCam; Yves Rody; Frank Sundermann; Jean-Christophe Urbani; Emek Yesilada
Show Abstract
Imaging behavior of high-transmission attenuating phase-shift mask films
Author(s): Michael Hibbs; Satoru Nemoto; Toru Komizo
Show Abstract
Optical properties of alternating phase-shifting masks
Author(s): Bob Gleason; Wen-Hao Cheng
Show Abstract
Optimization of process window simulations for litho-friendly design framework
Author(s): Mohamed Al-Imam; Andres Torres; Jean-Marie Brunet; Moutaz Fakhry; Rami Fathy
Show Abstract
Impact of AFM scan artifacts on photolithographic simulation
Author(s): Tod Robinson; John Lewellen; David A. Lee; Peter Brooker
Show Abstract
Advanced photomask repair technology for 65-nm lithography
Author(s): Fumio Aramaki; Tomokazu Kozakai; Masashi Muramatsu; Yasuhiko Sugiyama; Yoshihiro Koyama; Osamu Matsuda; Katsumi Suzuki; Mamoru Okabe; Toshio Doi; Ryoji Hagiwara; Tatsuya Adachi; Anto Yasaka; Yoshiyuki Tanaka; Osamu Suga; Naoki Nishida; Youichi Usui
Show Abstract
Mask repair using layout-based pattern copy for the 65-nm node and beyond
Author(s): Volker Boegli; Nicole Auth; Uli Hofmann
Show Abstract
A new model of haze generation and storage-life-time estimation for mask
Author(s): S. Shimada; N. Kanda; N. Takahashi; H. Nakajima; H. Tanaka; H. Ishii; Y. Shoji; M. Otsuki; A. Naito; N. Hayashi
Show Abstract
Real-time monitoring based on comprehensive analysis of the haze environment under the pellicle film
Author(s): Jaehyuck Choi; Seungyeon Lee; Eunjung Kim; Il-woo Nam; Byung-Cheol Cha; Seong-Woon Choi; Woo-Sung Han
Show Abstract
Sulfur-free cleaning strategy for advanced mask manufacturing
Author(s): Louis Kindt; Andrew Watts; Jay Burnham; William Aaskov
Show Abstract
Simulation of critical dimension and profile metrology based on scatterometry method
Author(s): Roman Chalykh; Irina Pundaleva; SeongSue Kim; Han-Ku Cho; Joo-Tae Moon
Show Abstract
Segmentation-assisted edge extraction algorithms for SEM images
Author(s): Hanying Feng; Jun Ye; R. Fabian Pease
Show Abstract
Analysis of the Vistec LMS IPRO3 performance and accuracy enhancement techniques
Author(s): Gunter Antesberger; Sven Knoth; Frank Laske; Jens Rudolf; Eric Cotte; Benjamin Alles; Carola Bläsing; Wolfgang Fricke; Klaus Rinn
Show Abstract
CD measurement evaluation on periodic patterns between optic tools and CD-SEM
Author(s): Yongkyoo Choi; Munsik Kim; Sunghyun Oh; Oscar Han
Show Abstract
Fast nondestructive optical measurements of critical dimension uniformity and linearity on AEI and ASI phase-shift masks
Author(s): Alexander Gray; John C. Lam
Show Abstract
Extension of 193 nm dry lithography to 45-nm half-pitch node: double exposure and double processing technique
Author(s): Abani M. Biswas; Jianliang Li; Jay A. Hiserote; Lawrence S. Melvin III
Show Abstract
Identification of subresolution assist features that are susceptible to imaging through process
Author(s): Lawrence S. Melvin III; Martin Drapeau; Jensheng Huang
Show Abstract
A fresh look at the cell-wise process effect corrections
Author(s): Dmitri Lapanik
Show Abstract
Phase-shift reticle design impact on patterned linewidth variation and LWR
Author(s): Jim Vasek; Chong-Cheng Fu; Gong Chen
Show Abstract
Alternating PSM for sub-60-nm DRAM gate single exposure
Author(s): Kunyuan Chen; Richard Lu; Kuo Kuei Fu; ChungPing Hsia; Chiang-Lin Shih; JengPing Lin
Show Abstract
Feasibility study of mask fabrication in double exposure technology
Author(s): Jong Gul Doh; Sang Hee Lee; Je Bum Yoon; Doo Youl Lee; Seong Yong Cho; Byung Gook Kim; Seong Woon Choi; Woo Sung Han
Show Abstract
High-transmission attenuated phase-shift mask for ArF immersion lithography
Author(s): Yosuke Kojima; Takashi Mizoguchi; Takashi Haraguchi; Toshio Konishi; Yoshimitsu Okuda
Show Abstract
Mastering double exposure process window aware OPC by means of virtual targets
Author(s): Henning Haffner; Zachary Baum; Carlos Fonseca; Scott Halle; Lars Liebmann; Arpan Mahorowala
Show Abstract
Finding the needle in the haystack: using full-chip process window analysis to qualify competing SRAF placement strategies for 65 nm
Author(s): Mark Mason; Shane Best; Gary Zhang; Mark Terry; Robert Soper
Show Abstract
Present challenges and solutions in sampling and correction for 45 nm
Author(s): Ioana Graur; Mohamed Al-Imam; Pat LaCour
Show Abstract
PPC model build methodology: sequential litho and etch verification
Author(s): Ali Mokhberi; Vishnu Kamat; Apo Sezginer; Franz X. Zach; Gökhan Perçin; Jesus Carrero; Hsu-Ting Huang
Show Abstract
Correcting lithography hot spots during physical-design implementation
Author(s): Gerard T. Luk-Pat; Alexander Miloslavsky; Atsuhiko Ikeuchi; Hiroaki Suzuki; Suigen Kyoh; Kyoko Izuha; Frank Tseng; Linni Wen
Show Abstract
Combined resist and etch modeling and correction for the 45-nm node
Author(s): Martin Drapeau; Dan Beale
Show Abstract
Application challenges with double patterning technology (DPT) beyond 45 nm
Author(s): Jungchul Park; Stephen Hsu; Douglas Van Den Broeke; J. Fung Chen; Mircea Dusa; Robert Socha; Jo Finders; Bert Vleeming; Anton van Oosten; Peter Nikolsky; Vincent Wiaux; Eric Hendrickx; Joost Bekaert; Geert Vandenberghe
Show Abstract
The effect of OPC optical and resist model parameters on the model accuracy, run time, and stability
Author(s): Amr Abdo; Rami Fathy; Ahmed Seoud; James Oberschmidt; Scott Mansfield; Mohamed Talbi
Show Abstract
Managing high-accuracy and fast convergence in OPC
Author(s): Klaus Herold; Norman Chen; Ian P. Stobert
Show Abstract
Influence of design shrinks and proximity influence distance on flattening of optical hierarchy during RET
Author(s): John L. Nistler; Koby Duckworth
Show Abstract
Empirical OPC rule inference for rapid RET application
Author(s): Anand P. Kulkarni
Show Abstract
Benchmarking the productivity of photomask manufacturers
Author(s): C. Neil Berglund; Charles M. Weber; Patricia Gabella
Show Abstract
Required mask specification for mass production devices below 65-nm design node
Author(s): Dongseok Nam; Soohan Choi; Jonggul Doh; Young-hwa Noh; Hojune Lee; Yu-jeung Sin; Bo-hye Kim; Man-kyu Kang; Byunggook Kim; Seong-woon Choi; Woosung Han
Show Abstract
Reparing the mask industry
Author(s): Michael Lercel; Scott Hector
Show Abstract
A procedure and program to calculate shuttle mask advantage
Author(s): A. Balasinski; J. Cetin; A. Kahng; X. Xu
Show Abstract
NIL template making and imprint evaluation
Author(s): Yuuichi Yoshida; Ayumi Kobiki; Takaaki Hiraka; Satoshi Yusa; Shiho Sasaki; Kimio Itoh; Nobuhito Toyama; Masaaki Kurihara; Hiroshi Mohri; Naoya Hayashi
Show Abstract
Direct die-to-database electron-beam inspection of fused silica imprint templates
Author(s): M. Tsuneoka; T. Hasebe; T. Tokumoto; C. Yan; M. Yamamoto; D. J. Resnick; E. Thompson; H. Wakamori; M. Inoue; Eric Ainley; Kevin J. Nordquist; William J. Dauksher
Show Abstract
PMJ 2006 panel discussion review: Mask technologies for EUVL
Author(s): Minoru Sugawara; Hisatake Sano
Show Abstract
Manufacturing of the first EUV full-field scanner mask
Author(s): Uwe Dersch; Rico Buettner; Christian Chovino; Steffen Franz; Torben Heins; Holger Herguth; Jan Hendrik Peters; Thomas Rode; Florian Letzkus; Joerg Butschke; Mathias Irmscher
Show Abstract
Simplified model for absorber feature transmissions on EUV masks
Author(s): Michael C. Lam; Andrew R. Neureuther
Show Abstract
CD and profile metrology of EUV masks using scatterometry based optical digital profilometry
Author(s): Sung-yong Cho; Sanjay Yedur; Michael Kwon; Milad Tabet
Show Abstract
Development of EUVL mask blank in AGC
Author(s): Takashi Sugiyama; Hiroshi Kojima; Masabumi Ito; Kouji Otsuka; Mika Yokoyama; Masaki Mikami; Kazuyuki Hayashi; Katsuhiro Matsumoto; Shinya Kikugawa
Show Abstract
Point cleaning of mask blanks for extreme ultraviolet lithography
Author(s): Mike Brown; John Hartley; Sean Eichenlaub; Abbas Rastegar; Patricia Marmillion; Ken Roessler
Show Abstract
EUV mask pattern inspection for memory mask fabrication in 45-nm node and below
Author(s): Do Young Kim; Seong Yong Cho; Hun Kim; Sung Min Huh; Dong Hoon Chung; Byung Chul Cha; Jung Woo Lee; Seong Woon Choi; Woo Sung Han; Ki Hun Park; Eun Ji Kim; Zhengyu Guo; Ellen Quach; David Gee; Tom Brown; Aditya Dayal
Show Abstract
Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques
Author(s): A. Barty; K. A. Goldberg; P. Kearney; S. B. Rekawa; B. LaFontaine; O. Wood II; J. S. Taylor; H.-S. Han
Show Abstract
The study of chromeless phase lithography (CPL) for 45nm lithography
Author(s): Soon Yoeng Tan; Qunying Lin; Cho Jui Tay; Chenggen Quan
Show Abstract
A novel approach for hot-spot removal for sub-100nm manufacturing
Author(s): Melody Ma; Melissa Anderson; Weinong Lai; Clive Wu; Becky Tsao; Chih-wei Chu; Char Lin; Jacky Chou; Sidney Tsai
Show Abstract
A novel Alt-PSM structure: isn't this an embedded Atten-PSM?
Author(s): S. Nakao; K. Hosono; S. Maejima; K. Narimatsu; T. Hanawa; K. Suko
Show Abstract
Real-time ultra-sensitive ambient ammonia monitor for advanced lithography
Author(s): Eric Crosson; Katsumi Nishimura; Yuhei Sakaguchi; Chris W. Rella; Edward Wahl
Show Abstract
Qualitative analysis of haze defects
Author(s): Jaehyuck Choi; Soowan Koh; Sunghun Ji; Byung-Cheol Cha; Seong-Woon Choi; Woo-Sung Han
Show Abstract
Haze detection and haze-induced process latitude variation for low-k1 193 nm lithography
Author(s): Sung-Jin Kim; Jin-Baek Park; Sung-Hyuck Kim; Hye-Young Kang; Young-Min Kang; Seung-Wook Park; Ilsin An; Hye-Keun Oh
Show Abstract
Non-chemical cleaning technology for sub-90nm design node photomask manufacturing
Author(s): Star Hoyeh; Richard Chen; Makoto Kozuma; Joann Kuo; Torey Huang; Frank F. Chen
Show Abstract
Novel cleaning techniques to achieve defect-free photomasks for sub-65-nm nodes
Author(s): Jin Ho Ryu; Dong Wook Lee; Ji Sun Ryu; Sang Pyo Kim; Oscar Han
Show Abstract
Cleaning of MoSi multilayer mask blanks for EUVL
Author(s): Vivek Kapila; Abbas Rastegar; Yoshiaki Ikuta; Sean Eichenlaub; Pat Marmillion
Show Abstract
Mechanism of megasonic damages for micropatterns
Author(s): Y. Suwa; S. Shimada; A. Shigihara; H. Ishii; Y. Shoji; M. Otsuki; A. Naito; S. Sasaki; H. Mohri; N. Hayashi
Show Abstract
An effective layout optimization method via LFD concept
Author(s): Ching-Heng Wang; Zexi Deng; Gensheng Gao; Chi-Yuan Hung
Show Abstract
Adding grayscale layer to chrome photomasks
Author(s): David K. Poon; James M Dykes; Chinheng Choo; Jimmy T. K. Tsui; Jun Wang; Glenn H. Chapman; Yuqiang Tu; Patrick Reynolds; Andrew Zanzal
Show Abstract
Polysilicon gate and polysilicon wire CD/EPE defect detection and classification through process window
Author(s): Scott Andrews; William Volk; Bo Su; Hong Du; Bhavaniprasad Kumar; Ramanamurthy Pulusuri; Abhishek Vikram; Xiaochun Li; Shaoyun Chen
Show Abstract
Chrome etch solutions for 45-nm and beyond
Author(s): M. Chandrachood; M. Grimbergen; I. Ibrahim; S. Panayil; A. Kumar
Show Abstract
Quartz etch solutions for 45-nm phase-shift masks
Author(s): M. Chandrachood; M. Grimbergen; T. Y. B. Leung; S. Panayil; I. Ibrahim; A. Kumar
Show Abstract
Multi-layer resist system for 45-nm-node and beyond: Part III
Author(s): Yuuki Abe; Jumpei Morimoto; Toshifumi Yokoyama; Atsushi Kominato; Yasushi Ohkubo
Show Abstract
Multi-layer resist system for 45-nm-node and beyond: Part II
Author(s): Yukihiro Fujimura; Jumpei Morimoto; Asuka Manoshiro; Mochihiro Shimizu; Hideyoshi Takamizawa; Masahiro Hashimoto; Hiroshi Shiratori; Katsuhiko Horii; Yasunori Yokoya; Yasushi Ohkubo; Tomoyuki Enomoto; Takahiro Sakaguchi; Masaki Nagai
Show Abstract
Current status of Mo-Si multilayer formation in ASET for low-defect-density mask blanks for EUV lithography
Author(s): Kenji Hiruma; Yuusuke Tanaka; Shinji Miyagaki; Hiromasa Yamanashi; Iwao Nishiyama
Show Abstract
Predicting the influence of trapped particles on EUVL reticle distortion during exposure chucking
Author(s): Vasu Ramaswamy; Kevin T. Turner; Roxann L. Engelstad; Edward G. Lovell
Show Abstract
Experimental verification of finite element model prediction of EUVL mask flatness during electrostatic chucking
Author(s): Madhura Nataraju; Jaewoong Sohn; Andrew R. Mikkelson; Kevin T. Turner; Roxann L. Engelstad; Chris K. Van Peski
Show Abstract
Evaluation of bi-layer TaSix absorber on buffer for EUV mask
Author(s): Koichiro Kanayama; Shinpei Tamura; Yasushi Nishiyama; Masashi Kawashita; Tadashi Matsuo; Akira Tamura; Susumu Nagashige; Kenji Hiruma; Doohoon Goo; Iwao Nishiyama
Show Abstract
Measuring force uniformity in electrostatic chucking of EUVL masks
Author(s): Jaewoong Sohn; Sathish Veerarghavan; Kevin T. Turner; Roxann L. Engelstad; Chris K. Van Peski
Show Abstract
Dependency of EUV mask defects on substrate defects
Author(s): Sean Eichenlaub; Abbas Rastegar; Vivek Kapila; Yoshiaki Ikuta; Pat Marmillion
Show Abstract
Rigorous FEM simulation of EUV masks: influence of shape and material parameters
Author(s): Jan Pomplun; Sven Burger; Frank Schmidt; Lin Zschiedrich; Frank Scholze; Christian Laubis; Uwe Dersch
Show Abstract
Interaction forces on mask surfaces relevant to EUV lithography
Author(s): R. Hübner; S. Eichenlaub; A. Rastegar; R. Geer
Show Abstract
Process development for EUV mask production
Author(s): Tsukasa Abe; Akiko Fujii; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Tsutomu Shoki; Takeyuki Yamada; Osamu Nozawa; Ryo Ohkubo; Masao Ushida
Show Abstract
OPC to account for thick mask effect using simplified boundary layer model
Author(s): Sangwook Kim; Young-Chang Kim; Sungsoo Suh; Sook Lee; Sungwoo Lee; Sukjoo Lee; Hanku Cho; Jootae Moon; Jonathan Cobb; Sooryong Lee
Show Abstract
45-32-nm node photomask technology with water immersion lithography
Author(s): Takashi Adachi; Yuichi Inazuki; Takanori Sutou; Yasutaka Morikawa; Nobuhito Toyama; Hiroshi Mohri; Naoya Hayashi
Show Abstract
Study of chromeless mask quartz defect detection capability for 80-nm post structure
Author(s): Jerry Lu; Boster Wang; Frank F. Chen; Orion Wang; Jomarch Chou; Orson Lin; Jackie Cheng; Ellison Chen; Paul Yu
Show Abstract
Single pass die-to-database tritone reticle inspection capability
Author(s): Bryan Reese; Jan Heumann; Norbert Schmidt
Show Abstract
Development of next-generation mask inspection method by using the feature of mask image captured with 199-nm inspection optics
Author(s): Yoshitake Tsuji; Nobutaka Kikuiri; Shingo Murakami; Kenichi Takahara; Ikunao Isomura; Yukio Tamura; Kyoji Yamashita; Ryoichi Hirano; Motonari Tateno; Kenichi Matsumura; Naohisa Takayama; Kinya Usuda
Show Abstract
A cost model comparing image qualification using test wafer and direct mask inspection
Author(s): Kaustuve Bhattacharyya; Viral Hazari; Doug Sutherland; Tatsuhiko Higashiki
Show Abstract
Inspectability and printability of lines and spaces halftone masks for the advanced DRAM node
Author(s): Arndt C. Dürr; Karsten Gutjahr; Jan Heumann; Martin Stengl; Frank Katzwinkel; Andreas Frangen; Thomas Witte
Show Abstract
Mask defect imaging system using backscattered electron images
Author(s): Katsuyuki Takahashi; Masashi Ataka; Takao Namae
Show Abstract
Optimization of development process using after develop inspection in mask manufacturing
Author(s): Hyun Young Kim; Dae Ho Hwang; Sang Pyo Kim; Oscar Han; Ki Hun Park; Nam Wook Kim; David Kim
Show Abstract
Defining defect specifications to optimize photomask production and requalification
Author(s): Peter Fiekowsky
Show Abstract
The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data
Author(s): Zhe Xu; M. G. Peng; Lin Hsin Tu; Cedric Lee; J. K. Lin; Jian Feng Jan; Alb Yin; Pei Wang
Show Abstract
Distributed computing in mask data preparation for 45-nm node and below
Author(s): Weidong Zhang; Emile Sahouria; Steffen Schulze
Show Abstract
Incoming database verification and management for mask data preparation
Author(s): Frank F. Chen; Casper W. Lee; Jason C. Lin
Show Abstract
Parallel processing of layout data with selective data distribution
Author(s): Mark Pereira; Nitin Bhat; Preethi Srinivas
Show Abstract
Advanced manufacturing rules check (MRC) for fully automated assessment of complex reticle designs: Part II
Author(s): J. A. Straub; D. Aguilar; P. D. Buck; D. Dawkins; R. Gladhill; S. Nolke; J. Riddick
Show Abstract
Load balancing using DP management server for commercial MDP software
Author(s): Jong-Won Kim; Won-Tai Ki; Sung-Hoon Jang; Ji-Hyun Choi; Seong-Woon Choi; Woo-Sung Han
Show Abstract
Advanced CD AFM metrology for 3D critical shape and dimension control of photomask etch processing
Author(s): Tianming Bao; Azeddine Zerrade
Show Abstract
Introduction of a die-to-database verification tool for mask geometry NGR4000
Author(s): Michael J. Hoffman; Tadashi Kitamura; Kazufumi Kubota; Toshiaki Hasebe; Shinichi Nakazawa; Toshifumi Tokumoto; Masatoshi Tsuneoka; Masahiro Yamamoto; Masahiro Inoue
Show Abstract
A new algorithm for SEM critical dimension measurements for differentiating between lines and spaces in dense line/space patterns without tone dependence
Author(s): J. Matsumoto; Y. Ogiso; M. Sekine; T. Iwai; J. Whittey
Show Abstract
Automated mask qualification with new CD metrology in CATS environment
Author(s): Herman Boerland; Ronald J. Lesnick Jr.
Show Abstract
Utilize AIMS simulation to estimate profile side-wall angle
Author(s): Colbert Lu; C. H. Lin; C. F. Wang
Show Abstract
Multi-point CD measurement method to evaluate pattern fidelity and performance of mask
Author(s): Munsik Kim; Hyemi Lee; Kanjoon Seo; Dongwook Lee; Yongkyoo Choi; Sunghyun Oh; Oscar Han
Show Abstract
A new critical dimension metrology for chrome-on-glass substrates based on S-parameter measurements extracted from coplanar waveguide test structures
Author(s): Chidubem A. Nwokoye; Mona Zaghloul; Michael W. Cresswell; Richard A. Allen; Christine E. Murabito
Show Abstract
Revisiting mask contact hole measurements
Author(s): Masaru Higuchi; Emily Gallagher; Daniel Ceperley; Timothy Brunner; Reg Bowley; Anne McGuire
Show Abstract
Novel technique for critical dimension measurements of phase-shift masks using broadband transmittance spectra in conjunction with RCWA
Author(s): Alexander Gray; John C. Lam; Stanley Chen
Show Abstract
A general framework for multi-flow multi-layer multi-project reticles design
Author(s): Andrew B. Kahng; Xu Xu
Show Abstract
CP mask optimization for enhancing the throughput of MCC systems
Author(s): Makoto Sugihara; Kenta Nakamura; Yusuke Matsunaga; Kazuaki Murakami
Show Abstract
Evaluation of writing strategy with one and two pass on OPC technology using EBM writing system
Author(s): Chen-Rui Tseng; Kevin Cheng; David Lee; Sheng-Bay Yang; Chun-Hung Wu
Show Abstract
Self-aligned resist patterning by backside flood exposure in photomask
Author(s): Taejoong Ha; Byunggu Gyun; Oscar Han
Show Abstract
Precise and high-throughput femtopulse laser mask repair of large defects
Author(s): Roy White; Jeff LeClaire; Tod Robinson; Andrew Dinsdale; Ron Bozak; David A. Lee
Show Abstract
Advanced femtosecond DUV laser mask repair tool for large area photomasks
Author(s): Leon Treyger; Jon Heyl; Michael Fink; Iztok Koren; Yonggang Li; Donald Ronning; Farrell Small; Bin Xian
Show Abstract
The specification of the 45-nm node photomask repair process
Author(s): Moon Gyu Sung; Sungmin Huh; Byung Cheol Cha; Sungwoon Choi; Woosung Han
Show Abstract
Thermal modeling of photomask precision baking system
Author(s): Koji Matsubara; Mutsuo Kobayashi; Simon Rack; Shinsuke Miyazaki; Toshiya Ikeda
Show Abstract
More evolved PGSD (proximity gap suction developer) for controlling movement of dissolution products
Author(s): Hideaki Sakurai; Yukio Oppata; Koji Murano; Mari Sakai; Masamitsu Itoh; Hidehiro Watanabe; Hideo Funakoshi; Kotaro Ooishi; Yoshiki Okamoto; Masatoshi Kaneda; Shigenori Kamei; Naoya Hayashi
Show Abstract
No-forbidden-pitch SRAF rules for advanced contact lithography
Author(s): Ching-Heng Wang; Qingwei Liu; Liguo Zhang; Chi-Yuan Hung
Show Abstract
Accounting for lens aberrations in OPC model calibration
Author(s): Laurent Depre; Christopher Cork; Martin Drapeau
Show Abstract
Correlation between OPC model accuracy and image parameters
Author(s): Chidam Kallingal; Norman Chen
Show Abstract
Minimizing yield-loss risks through post-OPC verification
Author(s): Ching-Heng Wang; Qingwei Liu; Liguo Zhang; Gen-Sheng Gao; Travis E. Brist; Tom Donnelly; Shumay Shang
Show Abstract
The effect of sub-layer condition on the OPC model
Author(s): Jaeyoung Choi; Jaehyun Kang; Yeonah Shim; Kyunghee Yun; Junseok Lee; Yongseok Lee; Keeho Kim
Show Abstract
Efficient approach to improving pattern fidelity with multi-OPC model and recipe
Author(s): Munhoe Do; Jaehyun Kang; Jaeyoung Choi; Junseok Lee; Yongsuk Lee; Keeho Kim
Show Abstract
Model-based lithography verification using the new manufacturing sensitivity model
Author(s): Daniel Zhang; Lawrence Melvin
Show Abstract
On objectives and algorithms of inverse methods in microlithography
Author(s): Yuri Granik; Kyohei Sakajiri; Shumay Shang
Show Abstract
Auxiliary pattern for cell-based OPC
Author(s): Andrew B. Kahng; Chul-Hong Park
Show Abstract
Inverse lithography technology at low k1: placement and accuracy of assist features
Author(s): Andrew Moore; Timothy Lin; Yong Liu; Gordon Russell; Linyong Pang; Daniel Abrams
Show Abstract
Parametric uncertainty in optical image modeling
Author(s): James Potzick; Egon Marx; Mark Davidson
Show Abstract
Illumination optimization for 65nm technology node
Author(s): Ching-Heng Wang; Qingwei Liu; Liguo Zhang; Chi-Yuan Hung
Show Abstract
Deep subwavelength mask assist features and mask errors printability in high NA lithography
Author(s): Wen-Hao Cheng; Mindy Lee; Vikram Tolani; Mark Nakahma; Bob Gleason
Show Abstract
Beyond rule-based physical verification
Author(s): Wolfgang Hoppe; Thomas Roessler; J. Andres Torres
Show Abstract
Theoretical modelling and experimental verification of the influence of Cr edge profiles on microscopic-optical edge signals for COG masks
Author(s): Gerd Ehret; Bernd Bodermann; Detlef Bergmann; Alexander Diener; Wolfgang Häßler-Grohne
Show Abstract
Rigorous simulation of 3D masks
Author(s): Sven Burger; Roderick Köhle; Lin Zschiedrich; Hoa Nguyen; Frank Schmidt; Reinhard März; Christoph Nölscher
Show Abstract
Propagation of resist heating mask error to wafer level
Author(s): S. V. Babin; Linard Karklin
Show Abstract
A new criterion of mask birefringence for polarized illumination
Author(s): Kazuya Iwase; Boontarika Thunnakart; Tokihisa Kaneguchi; Ken Ozawa; Toshifumi Yokoyama; Yasutaka Morikawa; Fumikatsu Uesawa
Show Abstract
Reticle carrier material as ESD protection
Author(s): Dirk Helmholz; Michael Lering
Show Abstract
Experimental investigation of photomask with near-field polarization imaging
Author(s): Tao Chen; Tom D. Milster; Seung-Hune Yang
Show Abstract
The effect between mask blank flatness and wafer print process window in ArF 6% att. PSM mask
Author(s): Joseph Tzeng; Booky Lee; Jerry Lu; Makoto Kozuma; Noah Chen; Wen Kuang Lin; Army Chung; Yow Choung Houng; Chi Hung Wei
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray