Mask industry assessment: 2006
Author(s):
Gilbert Shelden;
Patricia Marmillion
Show Abstract
Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the fifth in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 survey. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns and Services, Operating Cost Factors, and Equipment Utilization. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry.
A model to predict the critical velocity for liquid loss from a receding meniscus
Author(s):
Timothy A. Shedd;
Scott D. Schuetter;
Gregory F. Nellis;
Chris K. Van Peski
Show Abstract
This paper is a revision of the authors' previous work entitled "Experimental characterization of the receding meniscus
under conditions associated with immersion lithography," presented in Optical Microlithography XIX, edited by Donis
G. Flagello, Proceedings of SPIE Vol. 6154 (SPIE, Bellingham, WA, 2006) 61540R.
Several engineering challenges accompany the insertion of the immersion fluid in a production tool, one of the most
important being the confinement of a relatively small amount of liquid to the under-lens region. The semiconductor
industry demands high throughput, leading to relatively large wafer scan velocities and accelerations. These result in
large viscous and inertial forces on the three-phase contact line between the liquid, air, and substrate. If the fluid
dynamic forces exceed the resisting surface tension force then residual liquid is deposited onto the substrate that has
passed beneath the lens. Liquid deposition is undesirable; as the droplets evaporate they will deposit impurities on the
substrate. In an immersion lithography tool, these impurities may be transmitted to the printed pattern as defects.
A substantial effort was undertaken relative to the experimental investigation of the static and dynamic contact angle
under conditions that are consistent with immersion lithography. A semi-empirical model is described here in order to
predict the velocity at which liquid loss occurs. This model is based on fluid physics and correlated to measurements of
the dynamic and static contact angles. The model describes two regimes, an inertial and a capillary regime, that are
characterized by two distinct liquid loss processes. The semi-empirical model provides the semiconductor industry with
a useful predictive tool for reducing defects associated with film pulling.
Chemical flare long-range proximity effects in photomask manufacturing with chemically amplified resists
Author(s):
Daniel Sullivan;
Yusuke Okawa;
Kazuhiko Sugawara;
Zdenek Benes;
Jun Kotani
Show Abstract
As critical dimension uniformity requirements tighten for advanced technology nodes, it becomes increasingly important
to characterize and correct for systematic sources of critical dimension error in mask manufacturing. A long range
proximity effect has been previously reported in the industry to occur in chemically amplified resists that appears to be
related to the develop process and we call this phenomenon chemical flare. Several attempts to modulate this effect have
been characterized and at least one develop nozzle modification has been found to reduce chemical flare by ~50%. In
addition, develop time, develop and rinse processes, and top anti-reflective coatings have been evaluated as methods of
minimizing chemical flare effects in e-beam lithography applications. Positive and negative chemically amplified ebeam
resists have been evaluated and characterized for this effect.
PAB and PEB temperature gradient methodology for CAR optimization
Author(s):
Thuc H. Dam;
Andrew Jamieson;
Maiying Lu;
Ki-Ho Baik
Show Abstract
Chemically amplified resist (CAR) performance can be greatly influenced by post apply bake (PAB) and post exposure bake (PEB) conditions. The difficulty with optimizing these conditions for photomask process is cost and time. In typical wafer CAR resist development, multiple wafer splits and skews can be rapidly processed with relatively low cost and fast turn around time, whereas in photomask processing each ebeam-written mask with a set of DOE conditions can be expensive and time consuming to produce.
This paper discusses a novel mask design and testing methodology that allow for many combinations of PEB and PAB conditions to be evaluated with one mask. In brief, this methodology employs orthogonal PAB and PEB thermal gradients across a plate. Some thermal profile, darkloss, resist top down critical dimensions (CD), and SEM cross section image results will be shared and discussed.
An ultra-uniform ultra-thin resist deposition process
Author(s):
Gilles Picard;
Juan Schneider;
Brian J. Grenon
Show Abstract
An ultra-uniform, ultra-thin resist deposition process is presented. Nanometrix applications development with Ultra Thin Polymer Films (UTPF) production process addresses several problems related to resist deposition for lithographic applications. The linear coating process based on the Schneider-Picard (SP) method is a driven assembly process. Linear meter per minute coating rates are produced while providing an outstanding film quality at nano- to micro scale thinness. Therefore, this coating method provides a viable alternative for resist application. Our present developments on nano and micro ultra-thin polymer film coatings give substantial new solutions for improved, faster and less expensive coating production. Materials, writing and quality control costs may be substantially reduced with UTPF deposition technology. Results from three resists are presented in this paper. E-beam direct writing on 8 nm PMMA and photolithography on ILine and DUV resists in the scale of 30 nm are presented. Thicker resist deposition has been performed as well in the range of 1-10 microns thick. Film edge bead in the order of film thickness are obtained (1000 x smaller than spin coating process). Substrates were all coated with uniform ultra-thin films at a rate of one square meter per minute with atomic smoothness. This technological breakthrough enables a valuable solution for resist deposition and lithography fabrication at the nano and micro scales and provides resist uniformity required for current and future critical dimension specifications.
A novel process of etching EUV masks for future generation technology
Author(s):
Banqiu Wu;
Ajay Kumar;
Madhavi Chandrachood;
Ibrahim Ibrahim;
Amitabh Sabharwal
Show Abstract
Studies on pattern transfer of next generation lithographic (EUV) photomask were carried out. Based on current absorber layer material candidates, thermodynamic calculations were performed and plasma etch gas system and composition were investigated. The gas systems have the advantage of all etch products being in volatile condition. This is helpful to keep the etch process and etch chamber clean. For etch CD bias challenge in EUV photomask etch, self-mask concept was investigated, which makes anti-reflective (AR) sub-layer of the absorber layer function as a hard mask for the bulk absorber layer beneath. It significantly reduces etch CD bias and improves pattern transfer fidelity. For common candidates of EUV mask absorber layers such as TaBO/TaBN and TaSiON/TaSi, reactive gas systems were proposed according to thermodynamic calculations with all products volatile. AR sub-layers were etched in one gas composition with volatiles. Once the AR sub-layer is etched through, gas composition was changed so that the bulk absorber sub-layer beneath is etched selectively with volatile products. Excellent results in profiles, CD bias, CD uniformity, and underneath buffer/capping layer impact have been demonstrated.
Controlling CD uniformity for 45nm technology node applications
Author(s):
J. Plumhoff;
S. Srinivasan;
R. Westerman;
D. Johnson;
C. Constantine
Show Abstract
The ITRS roadmap indicates that significant improvements in photomask processing will be necessary to achieve the design goals of 45nm technology node masks. In the past, etch systems were designed to produce an etch signature that was as "flat" as possible to avoid introducing undesirable signatures in the final product. However, as error budgets are shrinking for all tools in the process line, the signatures produced by etch systems are used to compensate for some of the upstream CD issues. Process modifications have been used successfully in this fashion, but frequently process adjustment alone is not sufficient.
CD uniformity results from a complex interaction between the system and the sample. An etch system must be capable of adjusting radial, linear, and loading etch uniformity components to compensate for the specific needs of each sample. The adjustments should also be as independent of process as possible. Towards this end, experiments were conducted with various etch technologies to create specific, controllable etch signatures on demand without the need for hardware changes. CD data collected from binary chrome photomasks was used to verify performance of the uniformity adjustment technologies.
Mask CD correction method using dry-etch process
Author(s):
Ho Yong Jung;
Tae Joong Ha;
Jae Cheon Shin;
Ku Cheol Jeong;
Young Kee Kim;
Oscar Han
Show Abstract
In this study, the method for achieving precise CD MTT (critical dimension mean to target) in manufacturing attenuated PSM (phase shift mask) was investigated. As the specification for photomask becomes tighter, more precise control of CD is required. There are several causes to result in CD MTT error. In general mask patterning processes which are from blank material to dry etch, it is difficult to detect CD MTT error before final CD measurement and correct it. It is necessary to apply new process to mask production to correct CD error and control CD MTT precisely. Reducing number of factors which can have an effect on CD and introducing reliable method to correct CD error are important to achieve accurate CD MTT. For the correction of CD error, the reliability of CD in each measurement step such as resist CD or Cr CD before and after resist removal and effect on items related with CD like CD uniformity, isolated-dense CD difference, etc should be considered and evaluated. In this method to correct CD MTT error, Cr CD after removing resist was measured before MoSiN dry etch and additional corrective Cr dry etch using Cr CD information was applied to cancel CD error and then MoSiN dry etch was followed. In this case, factors affecting final CD are additional corrective Cr etch and MoSiN etch. The relationship between CD shift and corrective Cr etch time for masks with various pattern densities was found and necessary corrective Cr etch time was applied to CD correction process. The CD MTT error is canceled by additional corrective Cr dry etch step. As a result, accurate CD control and significant decrease of CD MTT error for attenuated PSM is achieved through the use of this CD correction method.
The study of optical performance for quartz dry etching quality in ArF lithography
Author(s):
Won-Suk Ahn;
Hye-Kyung Lee;
Young-Ju Park;
Hyuk-Joo Kwon;
Seong-Woon Choi;
Woo-Sung Han
Show Abstract
Dry etching has become critical to manufacture the resolution enhancement technique (RET) mask in the ArF
lithography. Among RET masks, alternating phase shift mask (PSM) and chrome-less phase lithography (CPL) mask
require the formation of 180 degrees phase differences by quartz dry etching. There are many error factors, which can
influence CD uniformities on mask and wafers, in Quartz dry etch step such as sidewall angle, phase MTT and
uniformity, micro-trench, and morphology. Furthermore, quartz depth is hard to control because there is no stopping
layer for quartz etching. Additionally, Pattern profile of Chrome layer is very important, because chrome profile affect
sidewall angle for quartz. We have simulated and investigated to identify the influences of many error factors on RET.
Consequently, we investigated characteristics of quartz dry etching process performance and the influences on
resolution, which can be improved by dry etch parameters.
Mask complexity reduction, quality assurance, and yield improvement through reduced layout variability
Author(s):
A. Balasinski;
J. Cetin
Show Abstract
Technology, CAD, and design are increasingly more challenged by Design-for-Manufacturability rules and guidelines
required to improve pattern transfer quality to the reticle and silicon wafer. One key reason for this challenge is the
variability of the layout, which for designs beyond the 100 nm technology node should no longer be subject only to short
range design rule checks concerning individual layout features. To include the impact of medium and long-range pattern
interactions (across-die or exposure field) into the design process, one should change layout architecture methodology
distributed so far among multiple design groups and using manual drawing techniques or semi-automated tools with
different quality standards. This task becomes even more important for the RF/analog layout where signal propagation is
sensitive to device matching requirements and capacitive coupling. At that point, IC designer had two options to control
the layout freedom: by enforcing new, more restrictive design rules or by using standardized, parameterized layout based
on standard cells proven on silicon, including all electrically extracted RET, OPC, and dummy features. In this work, we
will show that the standardized layout is the option preferred from the point of view of design, CAD, and technology.
Litho-friendly design (LfD) methodologies applied to library cells
Author(s):
Kai Peter;
Reinhard März;
Sonja Gröndahl;
Wilhelm Maurer
Show Abstract
During the last years, various DfM (Design for Manufacturability) concepts have been proposed and discussed.
Resolution enhancement technologies with the goal to provide reasonable printability over the whole lithographic
process window, and the optimization of these tools and processes by print image simulation (PW-ORC) have become
crucial aspects of DfM today. In addition, designers and layouters will become increasingly involved in yield
discussions, as they will get tools and methods to identify and remove yield issues in the drawn layout. Such a
lithography-aware design data flow, which is called LfD (Litho-friendly Design), is a very important step towards a fully
developed DFM environment.
Recently, the leading EDA tool vendors have provided tools for efficient process window analysis and a scoring of
lithography issues in a form, which is close to productive usability. We report in this paper the implementation of LfD
into the design flow of library cells for the 90 nm and the 65 nm design rule technologies. Specific aspects of such a LfD
flow, like the availability of robust process models in early development stages are discussed as well as appropriate
means to assess the results.
Integrated DFM framework for dynamic yield optimization
Author(s):
Fedor G. Pikus
Show Abstract
We present a new methodology for a balanced yield-optimization and a new DFM framework which implements it. Our approach allows designers to dynamically balance multiple factors contributing to yield loss and select optimal combination of DFM enhancements based on the current information about the IC layout, the manufacturing process, and known causes of failures. We bring together the information gained from layout analysis, layout-aware circuit analysis, resolution enhancement and optical proximity correction tools, parasitics extraction, timing estimates, and other tools, to suggest the DFM solution which is optimized within the existing constraints on design time and available data. The framework allows us to integrate all available sources of yield information, characterize and compare proposed DFM solutions, quickly adjust them when new data or new analysis tools become available, fine-tune DFM optimization for a particular design and process and provide the IC designer with a customized solution which characterizes the manufacturability of the design, identifies and classifies areas with the most opportunities for improvement, and suggests DFM improvements. The proposed methodology replaces the ad-hoc approach to DFM which targets one yield loss cause at the expense of other factors with a comprehensive analysis of competing DFM techniques and trade-offs between them.
Application of Dosemapper for 65-nm gate CD control: strategies and results
Author(s):
Nazneen Jeewakhan;
Nader Shamma;
Sang-Jun Choi;
Roque Alvarez;
D. H. Son;
Makoto Nakamura;
Vinny Pici;
Jim Schreiber;
Wei-shun Tzeng;
Sean Ang;
Daniel Park
Show Abstract
Aggressive line width control requirements for leading edge IC fabrication necessitate integration of novel techniques such as DoseMapper into the lithography process flow. DoseMapper is based on the simple concept that CD uniformity (CDU) can be improved through compensation of CD errors by using the scanner actuators. Specifically, the DoseMapper system allows for compensation of interfield and intrafield CD non-uniformity, based on the spatial distribution of in-line CD measurements or end-of-line electrical parameters for a stable process. This approach is supported by the fact that small variations of linewidth are correlated to exposure dose in a linear fashion. In this work we describe strategies for and results of the application of DoseMapper in a lithographic process for gate layer in a 65nm technology. We will highlight the potential strengths and weaknesses of various DoseMapper strategies to. For instance, we have learned that dose adjustments which are based on post-etch CD signature can lead to degradation of the lithography-based process window especially for 2 -dimensional features due to high MEEF. Therefore, it is asserted that application of DoseMapper in a high-volume manufacturing process requires consideration of such rational tradeoffs as mentioned above. Impact of Mask CD variation on DoseMapper effectiveness will also be discussed. This has the potential to have a significant impact on manufacturability of photo masks for the 65nm node and beyond
Fast dual graph-based hotspot detection
Author(s):
Andrew B. Kahng;
Chul-Hong Park;
Xu Xu
Show Abstract
As advanced technologies in wafer manufacturing push patterning processes toward lower-k1 subwavelength
printing, lithography for mass production potentially suffers from decreased patterning fidelity. This results in
generation of many hotspots, which are actual device patterns with relatively large CD and image errors with
respect to on-wafer targets. Hotspots can be formed under a variety of conditions such as the original design being
unfriendly to the RET that is applied, unanticipated pattern combinations in rule-based OPC, or inaccuracies
in model-based OPC. When these hotspots fall on locations that are critical to the electrical performance of
a device, device performance and parametric yield can be significantly degraded. Previous rule-based hotspot
detection methods suffer from long runtimes for complicated patterns. Also, the model generation process that
captures process variation within simulation-based approaches brings significant overheads in terms of validation,
measurement and parameter calibration.
In this paper, we first describe a novel detection algorithm for hotspots induced by lithographic uncertainty.
Our goal is to rapidly detect all lithographic hotspots without significant accuracy degradation. In other words,
we propose a filtering method: as long as there are no "false negatives", i.e., we successfully have a superset of
actual hotspots, then our method can dramatically reduce the layout area for golden hotspot analysis. The first
step of our hotspot detection algorithm is to build a layout graph which reflects pattern-related CD variation.
Given a layout L, the layout graph G = (V, Ec union Ep) consists of nodes V, corner edges Ec and proximity edges
Ep. A face in the layout graph includes several close features and the edges between them. Edge weight can be
calculated from a traditional 2-D model or a lookup table. We then apply a three-level hotspot detection: (1)
edge-level detection finds the hotspot caused by two close features or "L-shaped" features; (2) face-level detection
finds the pattern-related hotspots which span several close features; and (3) merged-face-level detection finds
hotspots with more complex patterns. To find the merged faces which capture the pattern-related hotspots,
we propose to convert the layout into a planar graph G. We then construct its dual graph GD and sort the
dual nodes according to their weights. We merge the sorted dual nodes (i.e., the faces in G) that share a given
feature, in sequence. We have tested our flow on several industry testcases. The experimental results show that
our method is promising: for a 90nm metal layer with 17 hotspots detected by commercial optical rule check
(ORC) tools, our method can detect all of them while the overall runtime improvement is more than 287X.
Multi-layer resist system for 45-nm-node and beyond: part I
Author(s):
M. Hashimoto;
H. Shiratori;
K. Horii;
Y. Yokoya;
Y. Ohkubo;
H. Takamizawa;
Y. Fujimura;
J. Morimoto;
A. Manoshiro;
M. Shimizu;
T. Yokoyama;
T. Enomoto;
M. Nagai
Show Abstract
The mask-making process for 45nm-node and beyond demands higher resolution and CD accuracy. To meet the requirements, the multi-layer resist system is developed as one of the solutions. BIL (Bottom Insulating Layer) can correct the profile of CAR (Chemically Amplified Resist). CAR shows profile degradation by photo-acid loss at the boundary of chrome and resist. The photo-acid loss induces excess footing in positive-tone CAR and under-cutting in negative-tone CAR. BIL reduced the profile degradation to less than half of the conventional resist system. BIL requires no extra mask process steps. Final CD linearity of isolated lines was improved by BIL. It is very beneficial for the patterning of sub-resolution assist features. Moreover, BIL with a hard-mask layer showed superior dry-etching bias performance.
Process window enhancement for 45-nm node using alterable transmission phase-shifting materials
Author(s):
Hans Becker;
Markus Renno;
Guenter Hess;
Ute Buttgereit;
Corinna Koepernik;
Lorenz Nedelmann;
Mathias Irmscher;
Robert Birkner;
Axel Zibold;
Thomas Scheruebl
Show Abstract
A case study was carried out investigating the influence of different transmission and phase shift materials on lithographic performance at 45 nm node. The bilayer approach for embedded attenuated Phase Shift Masks (EAPSM) offers the advantages to adjust phase shift and transmission independently. The transmission of Ta/SiO2 can be tuned up to 40% depending on the required application. Three different PSM blank types with the stacks Ta/SiO2-6%, Ta/SiO2-30% and Ta/SiON-30% have been manufactured and characterized. Afterwards, an identical line pattern, consisting of different feature sizes and duty cycles, has been patterned in each of the three PSM types as well as in the MoSi-6% for reference. Using the AIMSTM 45-193i tool we have evaluated the lithographic performance of the four PSM in terms of contrast and process latitude using unpolarized and TE polarized illumination. The case study showed that the process window for Ta/SiO2-6% is comparable to standard MoSi-6%. For dense line application a 6% EAPSM is preferable. The Ta/SiO2-30% EAPSM provides a significantly larger process window for higher duty cycles compared to MoSi-6%. This means a 50% increase in depth of focus (DOF) at 10% exposure latitude (EL). Therefore for logic application with higher duty cycles a EAPSM material with 30% transmission is preferable.
Optical issues of thin organic pellicles in 45-nm and 32-nm immersion lithography
Author(s):
Kevin Lucas;
Joseph S. Gordon;
Will Conley;
Mazen Saied;
Scott Warrick;
Mike Pochkowski;
Mark D. Smith;
Craig West;
Franklin Kalk;
Jan Pieter Kuijten
Show Abstract
The semiconductor industry will soon be putting >=1.07NA 193nm immersion lithography systems into production for
the 45nm device node and in about three years will be putting >=1.30NA systems into production for the 32nm device
node. For these very high NA systems, the maximum angle of light incident on a 4X reticle will reach ~16 degrees and
~20 degrees for the 45nm and 32nm nodes respectively. These angles can no longer be accurately approximated by an
assumption of normal incidence. The optical diffraction and thin film effects of high incident angles on the wafer and
on the photomask have been studied by many different authors. Extensive previous work has also investigated the
impact of high angles upon hard (e.g., F-doped silica) thick (>700μm) pellicles for 157nm lithography, e.g.,.
However, the interaction of these high incident angles with traditional thin (< 1μm) organic pellicles has not been
widely discussed in the literature.
In this paper we analyze the impact of traditional thin organic pellicles in the imaging plane for hyper-NA
immersion lithography at the 45nm and 32nm nodes. The use of existing pellicles with hyper-NA imaging is shown to
have a definite negative impact upon lithographic CD control and optical proximity correction (OPC) model accuracy.
This is due to the traditional method of setting organic pellicle thickness to optimize normally incident light
transmission intensity. Due to thin film interference effects with hyper-NA angles, this traditional pellicle optimization
method will induce a loss of high spatial frequency (i.e., high transmitted angle) intensity which is similar in negative
impact to a strong lens apodization effect. Therefore, using simulation we investigate different pellicle manufacturing
options (e.g., multi-layer pellicle films) and OPC modeling options to reduce the high spatial frequency loss and its
impact.
Feasibility study of embedded binary masks
Author(s):
Michael Cangemi;
Vicky Philipsen;
Leonardus H. A. Leunissen;
Darren Taylor
Show Abstract
Towards hyper-NA lithography, the mask blank and mask topography have the opportunity to be optimized for imaging performance. At the resolution limit of hyper-NA imaging, depth of focus and MEEF become critical for conventional mask stacks. Although conventional binary masks (BIM) are the simplest and the most cost-effective to manufacture, other mask types can provide better imaging performance.
This study explores the feasibility and imaging performance of an embedded binary mask (EBM). The EBM emphasizes the simple binary manufacturing process with the application of an additional transparent layer. Two types of EBM's, topographic and planar, were evaluated. The mask diffraction properties are studied by both measurements using an ellipsometer (Woollam VUV-VASE) and simulations using Solid-E 3.2.0.2 (Sigma-C). In this first phase, the imaging performance is assessed by rigorous simulations for three different illumination conditions (cross-quad, quasar and annular). By comparing metrics such as contrast, NILS, MEEF, and process windows, simulations determined that an optimized topographic EBM has a better overall through-pitch imaging performance than a conventional binary mask. This preliminary investigation suggests that an embedded binary mask may be considered as an RET option for hyper-NA imaging improvement.
Contact hole CD and profile metrology of binary and phase-shift masks: effect of modeling strategies in application of scatterometery
Author(s):
Kyung-man Lee;
Sanjay Yedur;
Malahat Tavassoli;
Kiho Baik;
Milad Tabet
Show Abstract
Scatterometers are widely used for line/space or 2D structure measurements in both wafer and mask industries. This
technology is now gaining more acceptance and is being applied 3D structures such as contacts and pads. Contact CDs
and trench depth in photomasks are critical monitoring parameters in mask industry and are discussed here.
We are reporting contact CDs and profile results measured from targets from Binary, PSM, and Crless plates. The
strategies of model creation such as using simple trapezoid versus more advanced shapes affect how well SWA and
footings can be measured and reported from these structures. We are reporting CD and profile information obtained
with Scatterometer, and then comparing CD SEM, AFM, and cross section SEM. Multiple different modeling
configurations were used with different levels of complexity, and we report on optimum modeling strategy to obtain
profile information from 3D structures. The relationship between the modeling strategy versus cross correlation between
different parameters is discussed. CD linearity, uniformity, and other correlation parameters to the reference CD SEM
tool are reported. Target CDs ranged from 60nm up to 600nm. CD uniformity reported from Scatterometry is 20~30%
less than that from CD SEMs. This CD uniformity improvement is due to the fact that scatterometer beam samples
dozens to hundreds of samples and 'averages' profile parameters, thus eliminating local effect such as line edge
roughness. Contact depth are also measured and compared to AFM, in which the bias between the two tools are usually
around 3nm or less. In terms of smallest target CD measurable, in this paper we report routine measurement of small
contacts with middle CD down to 65nm (bottom CD close to 50nm) with both RP and SE mode.
Application of scatterometry method to mask contacts and pads leads to accurate and fast measurement of 3D profiles,
and opens up possibility of in-line monitoring of profile information due to the higher runrate compared to traditional
metrology tools.
Improved prediction of across chip linewidth variation (ACLV) with photomask aerial image CD metrology
Author(s):
Eric Poortinga;
Axel Zibold;
Will Conley;
Lloyd C. Litt;
Bryan Kasprowicz;
Michael Cangemi
Show Abstract
Critical dimension (CD) metrology is an important process step within the wafer fab. Knowledge of the CD values at resist level provides a reliable mechanism for the prediction of device performance. Ultimately tolerances of device electrical performance drive the wafer linewidth specifications of the lithography group. Staying within this budget is influenced mainly by the scanner settings, resist process and photomask quality. At the 65nm node the ITRS roadmap calls for sub-3nm photomask CD uniformity to support a sub-3nm wafer level CD uniformity. Meeting these targets has proven to be a challenge. What can be inferred from these specifications is that photomask level CD performance is the direct contributor to wafer level CD performance. With respect to phase shift masks, criteria such as phase and transmission control are also tightened with each technology node.
A comprehensive study is presented supporting the use of photomask aerial image emulation CD metrology to predict wafer level Across Chip Linewidth Variation (ACLV). Using the aerial image can provide more accurate wafer level prediction because it inherently includes all contributors to image formation such as the physical CD, phase, transmission, sidewall angle, and other material properties. Aerial images from different photomask types were captured to provide across chip CD values. Aerial image measurements were completed using an AIMSTMfab193i with its through-pellicle data acquisition capability including the Global CDU MapTM software option for AIMSTM tools. The through-pellicle data acquisition capability is an essential prerequisite for capturing final CD data (after final clean and pellicle mounting) before the photomask ships or for re-qualification at the wafer fab. Data was also collected on these photomasks using a conventional CD-SEM metrology system with the pellicles removed. A comparison was then made to wafer prints demonstrating the benefit of using aerial image CD metrology.
Design-based mask metrology hot spot classification and recipe making through random pattern recognition method
Author(s):
Ying Cui;
Kiho Baik;
Bob Gleason;
Malahat Tavassoli
Show Abstract
Design Based Metrology (DBM) requires an integrated process from design to metrology, and the very first and key
step of this integration is to translate design CD lists to metrology measurement recipes. Design CD lists can come from
different sources, such as design rule check, OPC validation, or yield analysis. These design CD lists can not be directly
used to create metrology tool recipes, since tool recipe makers usually require specific information of each CD site, or a
measurement matrix. The manual process to identify measurement matrix for each design CD site can be very difficult,
especially when the list is in hundreds or more. This paper will address this issue and propose a method to automate
Design CD Identification (DCDI), using a new CD Pattern Vector (CDPV) library.
Determination of spatial CD signatures on photomasks
Author(s):
Clemens Utzny;
Martin Rößiger
Show Abstract
The production process of photo-masks for memory devices is highly demanding since homogeneity of mask parameters
plays a pivotal role for the overall mask quality. Spatially homogeneous mask designs - which are dominant on memory
devices - should in the best case be transferred into a mask exhibiting the same homogeneous behavior. This means that
CD deviations from the mean should ideally bear no systematic signature but at most some random noise. However,
many steps in the mask production process can introduce spatial correlations so that CD deviations are not only
stochastically distributed over the mask but exhibit a pronounced signature. Thus, the determination and quantification of
these deviations is crucial for a) assessing the mask quality and b) driving process improvements to remove CD
signatures.
The most common data analysis method for separating signatures from noise is to average over a number of samples.
Unfortunately, due to the nature of mask manufacturing often there is only one sample available. In this paper we
propose the technique of Thin Plate Spline Smoothing for the determination and quantification of the CD signature of a
given single mask. This analysis is complemented by two statistical tests which assess the fit quality by analyzing the
residual for normality and correlations.
Analysis of optical lithography capabilities of pixelized photomasks and spatial light modulators
Author(s):
Azat Latypov
Show Abstract
The models based on pixelized representation of the photomask have been employed by several authors in order to
provide the systematic framework for design of photomask patterns resulting in images with desired optimal properties.
One possibility to directly implement such pixel-based optimal mask patterns arises in Optical Maskless Lithography
(OML). In one implementation of OML, spatial light modulators (SLMs) are used instead of the photomask. Each SLM
may have millions of pixels that can individually change their optical properties utilizing one or the other physical
modulation principle (e.g. pistoning micro-mirror pixels or tilting micro-mirror pixels). One important question,
applicable to both SLMs used in OML and pixelized photomasks, is: how well is a particular pixel modulation principle
suited to obtain the optimal image? We discuss the ways to answer this question, derived from the new methodology of
OML rasterization algorithms. The illustrating examples are presented for traditional photomasks (AttPSM) and the
SLMs with pistoning micro-mirror pixels. Based on this analysis, we present new examples demonstrating the concept
and advantages of "truly maskless" optical maskless lithography.
High-resolution mask inspection in advanced fab
Author(s):
Stephanie Maelzer;
Andre Poock;
Bryan Reese;
Kaustuve Bhattacharyya;
Farzin Mirzaagha;
Stephen Cox;
Michael Lang
Show Abstract
High resolution mask inspection in advanced wafer fabs is a necessity. Initial and progressive mask defect problem still remains an industry wide mask reliability issue. Defect incidences and its criticality vary significantly among the type of masks, technology node and layer, fab environment and mask usage. A usage and layer based qualification strategy for masks in production need to be adopted in wafer fabs.
With the help of a high-resolution direct reticle inspection, early detection of critical and also non-critical defects at high capture rates is possible. A high-resolution inspection that is capable of providing necessary sensitivity to critical emerging defects (near edge) is very important in advanced nodes. At the same time, a way to disposition (make a go / no-go decision) on these defective masks is also very important. As the impact of these defects will depend on not only their size, but also on their transmission and MEEF, various defect types and characteristics have to be considered.
In this technical report the adoption of such a high-resolution mask inspection system in wafer fab production is presented and discussed. Data on this work will include inspection results from advanced masks, layer and product based inspection pixel assignment, defect disposition and overall wafer fab strategies in day-to-day production towards mask inspection.
Limitations of optical reticle inspection for 45-nm node and beyond
Author(s):
S. Teuber;
A. Bzdurek;
A. C. Dürr;
J. Heumann;
C. Holfeld
Show Abstract
Pushing the limits of optical lithography by immersion technology requires ever smaller feature sizes on the reticle. At the same time the k1-factor will be shifted close to the theoretical limit, e.g. the OPC structures on the reticle become very aggressive. For the mask shop it is essential to manufacture defect free masks. The minimum defect size, which needs to be found reliably, becomes smaller with decreasing feature sizes. Consequently optical inspection of masks for the 45nm node and below will be challenging.
In this paper the limits of existing KLA inspection tools were investigated by systematic inspection of different structures without and with programmed defects. A test mask with isolated and dense lines/space patterns including programmed defects was manufactured, completely characterized by CD-SEM and inspected with state-of-the-art inspection system. AIMSTM measurements were used to evaluate the defect printing behavior. The analysis of the measurement data gives an input for requirements of reticle inspection of upcoming 45nm node and beyond.
Wafer fab mask qualification techniques and limitations
Author(s):
Andre Poock;
Stephanie Maelzer;
Chris Spence;
Cyrus Tabery;
Michael Lang;
Guido Schnasse;
Milko Peikert;
Kaustuve Bhattacharyya
Show Abstract
Mask inspection and qualification is a must for wafer fabs to ensure and guarantee high and stable yields. Single defect events can easily cause a million dollar loss through a defect duplicating onto the wafer. Several techniques and methods for mask qualification within a wafer fab are known but not all of them are neither used nor understood regarding their limitations. Increasing effort on existing tool platforms is necessary to detect the defects of interest which are at the limit of the tools specification - On the other hand next generation tools are very sensitive and therefore consume only a negligible amount of time for recipe optimization. Knowing the limits of each inspection tool helps to balance between effort and benefit. Masks with programmed defects of 90nm and 65nm design rule were used in order to compare the different available inspection techniques. During the course of this technical work, the authors concentrate mainly on two inspection techniques. The first one inspects the reticle itself using KLA-Tencor's SLF27 (TeraStar) and SL536 (TeraScan) tools. As the reticle gets inspected itself this is the so called "direct" mask defect inspection. The second inspection technique discussed is the "indirect" mask defect inspection which consists of printing the pattern on a blank wafer and use KLA-Tencor's bright-field wafer inspection tool (2xxx series) to inspect the wafer. Data of this work will include description of the techniques, inspection results, defect maps, sensitivity analysis, effort estimation as well as limitations for both techniques for the used design rule.
Variable shaped beam writing throughput at the 45nm node and beyond
Author(s):
A. Sowers;
M. Shumway;
M. Kamna;
N. Wilcox;
M. Vernon;
D. Cole;
M. Chandramouli
Show Abstract
Aggressive 193nm optical lithography solutions have in turn led to increasingly complex model-based OPC methodologies. This complexity married with the inevitable march of Moore's Law has produced a figure count explosion at the mask writer level. Variable shaped beam equipment manufacturers have tried to mollify the impact of this figure count explosion on the write time by the introduction of new technologies such as increased beam current density, faster DAC amplifiers and more efficient stage algorithms. Despite these efforts, mask manufacturers continue to explore ways of increasing writer throughput and available capacity. This study models the impact of further improvements in beam current density and settling times. Furthermore, this model will be used to prescribe the necessary improvement rates needed to keep pace with the shot count trends extending beyond the 45nm node.
Study of the beam blur and its effect on the future mask fabrication
Author(s):
Sanghee Lee;
Sungho Park;
Mihye Ahn;
Jonggul Doh;
Sungyoon Kim;
Byunggook Kim;
Seongwoon Choi;
Woosung Han
Show Abstract
For the half pitch below 45nm, the required sub-resolution feature size is about to be 60nm, and the uniformity of dense
lines to be below 3.4nm for the mask fabrication. To achieve this requirement, the reduction of beam blur is necessary.
On the mask patterning using 50keV electron beam, the beam blurring due to coulomb interaction and resist
characteristics is the main effect of the pattern image degradation and the limit of CD uniformity.
In this report, we present the effect of the beam blur induced by coulomb interaction and resist. And we report the recent
simulated and experimental results on the resolution change depending on bream blur and design node. Finally, we
conclude that the reduction of beam blur can improve the mask quality and there is a compatible condition between the
beam blur and the mask fabrication.
Improved photomask accuracy with a high-productivity DUV laser pattern generator
Author(s):
Thomas Öström;
Jonas Måhlén;
Andrzej Karawajczyk;
Mats Rosling;
Per Carlqvist;
Per Askebjer;
Tord Karlin;
Jesper Sallander;
Anders Österberg
Show Abstract
A strategy for sub-100 nm technology nodes is to maximize the use of high-speed deep-UV laser pattern generators, reserving e-beam tools for the most critical photomask layers. With a 248 nm excimer laser and 0.82 NA projection optics, the Sigma7500 increases the application space of laser pattern generators. A programmable spatial light modulator (SLM) is imaged with partially coherent optics to compose the photomask pattern. Image profiles are enhanced with phase shifting in the pattern generator, and features below 200 nm are reliably printed. The Sigma7500 extends the SLM-based architecture with improvements to CD uniformity and placement accuracy, resulting from an error budget-based methodology. Among these improvements is a stiffer focus stage design with digital servos, resulting in improved focus stability. Tighter climate controls and improved dose control reduce drift during mask patterning. As a result, global composite CD uniformity below 5 nm (3σ) has been demonstrated, with placement accuracy below 10 nm (3σ) across the mask. Self-calibration methods are used to optimize and monitor system performance, reducing the need to print test plates. The SLM calibration camera views programmed test patterns, making it possible to evaluate image metrics such as CD uniformity and line edge roughness. The camera is also used to characterize image placement over the optical field. A feature called ProcessEqualizerTM has been developed to correct long-range CD errors arising from process effects on production photomasks. Mask data is sized in real time to compensate for pattern-dependent errors related to local pattern density, as well as for systematic pattern-independent errors such as radial CD signatures. Corrections are made in the pixel domain in the advanced adjustments processor, which also performs global biasing, stamp distortion compensation, and corner enhancement. In the Sigma7500, the mask pattern is imaged with full edge addressability in each writing pass, providing the means of additionally improving write time by reducing the number of exposure passes. Photomask write time is generally under two hours in the 2-pass mode, compared to three hours with 4-pass writing. With a through-the-lens alignment system and both grid matching and pattern matching capabilities, the tool is also suitable for 2nd layer patterning in advanced PSM applications. Improvements in alignment algorithms and writing accuracy have resulted in first-to-second level overlay below 15 nm (mean+3σ).
Metrics to assess fracture quality for variable shaped beam lithography
Author(s):
M. Bloecker;
R. Gladhill;
P. D. Buck;
M. Kempf;
D. Aguilar;
R. B. Cinque
Show Abstract
CD control requirements for advanced node masks are in the low single digit nanometer range. CD control for Variable
Shaped Beam (VSB) lithography that is used to manufacture these masks is dependent on the post-fracture figure layout.
Shot linearity, shot size repeatability, and shot placement repeatability can affect CD control differently based on the
figure layout. The potential CD error contribution from poorly optimized fracture strategies thus can be a significant
contributor to the total CD error.
In this paper we present a set of fracture quality metrics based on the impact on mask CD control and methods using
EDA software to grade fracture strategies based on these fracture quality metrics. We also discuss applications of this
metric for fracture tool design and the implementation of different fracture strategies into mask manufacturing including
examinations of the predictability of fracturing results. Finally, we will discuss the usage of existing information about
the design (such as design intent) in conjunction with the proposed quality metrics to judge different fracture strategies.
Process results using automatic pitch decomposition and double patterning technology (DPT) at k1eff <0.20
Author(s):
Judy Huckabay;
Wolf Staud;
Robert Naber;
Anton van Oosten;
Peter Nikolski;
Stephen Hsu;
R. J. Socha;
M. V. Dusa;
Donis Flagello
Show Abstract
In conventional IC processes, the smallest size of any features that can be created on a wafer is severely limited by the pitch of the processing system. Double patterning technology is a key enabler of printing mask features on wafers as a hybrid extension to optical approaches with new litho-aware design methods and tools, optical equipment, and process flows. The approach does not require restrictions on the design of the chip. This paper will discuss the method and full-chip decomposition tool used to determine locations to split the layout. It will demonstrate examples of over-constrained layouts and how these configurations are mitigated. It will also show the reticle enhancement techniques used to process the split layouts and the Lithographic Checking. A new type of "hotspot" is identified through simulation and tools to identify, repair and verify are shown. Lithography results are shown with effective k1<0.2 for logic and flash memory patterns.
The effects of the photomask on multiphase shift test monitors
Author(s):
Gregory McIntyre;
Andrew Neureuther
Show Abstract
A series of chromeless multiple-phase shift lithographic test monitors have been previously introduced. This paper investigates various effects that impact the performance of these monitors, focusing primarily on PSM Polarimetry, a technique to monitor illumination polarization. The measurement sensitivities from a variety of scalar and rigorous electromagnetic simulations are compared to experimental results from three industrial quality multi-phase test reticles. This analysis enables the relative importance of the various effects to be identified and offers the industry unique insight into various issues associated with the photomask. First, the unavoidable electromagnetic interaction as light propagates through the multiple phase steps of the mask topography appears to account for about 10 to 20% of the lost sensitivity, when experimental results are compared to an ideal simulated case. The polarization dependence of this effect is analyzed, concluding that the 4-phase topography is more effective at manipulating TM polarization. Second, various difficulties in the fabrication of these complicated mask patterns are described and likely account for an additional 60-80% loss in sensitivity. Smaller effects are also described, associated with the photoresist, mask design and subtle differences in the proximity effect of TE and TM polarization of off-axis light at high numerical aperture. Finally, the question: "How practical is PSM polarimetry?" is considered. It is concluded that, despite many severe limiting factors, an accurately calibrated test reticle promises to monitor polarization in state-of-the-art lithography scanners to within about 2%.
Image degradation due to phase effects in chromeless phase lithography
Author(s):
Karsten Bubke;
Martin Sczyrba;
K. T. Park;
Ralf Neubauer;
Rainer Pforr;
Jens Reichelt;
Ralf Ziebold
Show Abstract
Chromeless Phase Lithography (CPL) is discussed as interesting option for the 65nm node and beyond offering high resolution and small Mask Error Enhancement Factor. However, it was shown recently that at high NA CPL masks can exhibit large polarization and also phase effects. A well known phase effect occurring for CPL semi dense lines are through focus Bossung tilts.
However, another manifestation of phase effects for dense lines and spaces is a reduced contrast for a symmetrical off-axis illumination due to phase errors between 0th and 1st diffraction order. In this paper it is shown that these phase effects can lead to a significant contrast loss for dense features smaller than 60nm half pitch. While also present for trench structures, the contrast reduction is more pronounced for mesa style structures. It is shown that for mesa structures an adjustment of etch depth can not recover an effective pi-phase shift. Furthermore, significant polarization effects are observed. As an example, the optimum mesa structure for TE polarization is shifted to small lines.
For an experimental validation, a CPL mask containing dense lines and spaces was fabricated. Their imaging performance was characterized with an AIMS 45i offering NA's greater than 1 and linearly polarized illumination as well as by wafer printing. Gratings with pitches down to 100 nm with varying duty cycles were measured with TE, TM and unpolarized dipole illumination. Very good agreement between measurement and simulation results confirmed the validity of theoretical predictions.
Advanced non-disruptive manufacturing rule checks (MRC)
Author(s):
Bill Moore;
Tanya Do;
Ray E. Morgan
Show Abstract
New advanced mask rule checking (MRC) solutions are required to ensure cost effective, high yield photomask
manufacturing processes at 65nm and below and are needed to provide new verification capabilities for mask makers
and data prep engineers alike. Traditional MRC, which implements fundamental geometric data checks on limited data
formats, is not sufficient for advanced photomask manufacturing. Like recent advances in design rule checking (DRC)
software, which includes extensive "manufacturing-aware" rules (or DFM rules), MRC solutions must evolve to include
a more comprehensive and intelligent rule checks for the mask manufacturing process.
This paper describes the development and testing of an advanced MRC software solution developed within the CATSTM
mask data preparation (MDP) solution from Synopsys Inc. The new MRC solution enables the inspection and analysis of
mask layout patterns for simple and advanced data verification checks. Proposed applications for mask data prep
applications are discussed and include incoming design verification, fracture data correction, inspection tool data tags,
mask manufacturing tool or inspection tool selection, and job deck verification.
A generic method for the detection of electrically superfluous layout features
Author(s):
Markus Hofsaess;
Thomas Roessler;
Eva Nash
Show Abstract
"Sub-resolution assist features" (SRAFs) are a standard "resolution enhancement technique" (RET) to ensure the lithography process window of narrow lines for critical mask levels. Since placement rules for SRAFs commonly demand a fixed, constant separation from the edges of the main features to be assisted, small jogs and notches in the layout of the main features force an SRAF interruption. As a consequence, locally the process window is reduced. In addition, jogs and notches increase the data amount and may cause an increase of run time of "design rule check" (DRC), "optical proximity correction" (OPC), and "mask data preparation" (MDP). In most cases, these jogs and notches are completely unnecessary for the electrical functionality of the circuit and the design rule compliance of the layout. In order to detect such superfluous layout features and give the physical designer the opportunity to remove them, a new approach to design rule checking was developed. This approach is based on the decomposition of the layout of one mask level into its basic geometrical features (e.g., corners, line ends, junctions) and a subsequent classification of these features according to their topology under consideration of electrically related other mask levels (e.g., metal + via = contact pad). We describe the implementation of a generic DRC for the detection of jogs and notches using this approach and highlight the stability and ease of maintenance of this method.
Mask specification for for wafer process optimization
Author(s):
Lin Chen;
Phil Freiberger;
Jeff Farnsworth;
Ruth Stritsman;
Richard P. Rodrigues
Show Abstract
Mask specification has been playing ever-increasing role for wafer process optimization with tightening design rule. It is very critical to optimize specifications and sampling sizes to ensure quality as well as minimize cost and TPT for high volume manufacturing. In this paper, key parameters for mask specification affecting wafer litho process window will be discussed. Examples of how to derive key mask specification based on the litho process margin will be examined. The mask CD targeting control and plate to plate CD variation reduction strategy will be discussed.
A memory efficient large mask data handling method using repetition
Author(s):
Jin-Sook Choi;
Jae-Pil Shin;
Jong-Bae Lee;
Moon-Hyun Yoo;
Jeong-Taek Kong
Show Abstract
After model-based OPC and layer generation, the size of mask data is increasing beyond the limit that current software and hardware can handle. The file size of one of 512M DRAM mask data was 29 GB in GDSII and it could be reduced to 1.7 GB by transforming into OASIS. Compared to GDSII, OASIS included many effective features that could reduce the file size incredibly. In this paper, we adopted the repetitions in OASIS and used the concept to reduce the memory usage of mask data preparation software. We built a new data structure, called shape array that utilizes the repetition of mask data. Mask data is saved in OASIS and its repetition information is loaded onto memory. The data structure can be the basis for the mask data preparation operations such as region query, AND, XOR and so on. We implemented the region query in this paper. The region query is a major operation that a layout viewer uses. The mask data comparison operation, which is used to check the integrity of the mask data, is implemented with the shape array as well. The shape array method has used the memory of between 2 and 22 times less than the method that keeps the coordinate and attributes of each shape individually. The file loading time and the file writing time have improved 4~73 times and 1.5~14 times, respectively.
Sensitivity of a variable threshold model toward process and modeling parameters
Author(s):
Mazen Saied;
Franck Foussadier;
Yorick Trouiller;
Jérôme Belledent;
Kevin Lucas;
Isabelle Schanen;
Amandine Borjon;
Christophe Couderc;
Christian Gardin;
Laurent LeCam;
Yves Rody;
Frank Sundermann;
Jean-Christophe Urbani;
Emek Yesilada
Show Abstract
The quality of model-based OPC correction depends strongly on how the model is calibrated in order to generate a resist image as close to the desired shapes as possible. As the k1 process factor decreases and design complexity increases, the correction accuracy and the model stability become more important. It is also assumed that the stability of one model can be tested when its response to a small variation in one or several parameters is small. In order to quantify this, the small-variation method has been tested on a variable threshold based model initially optimized for the 65nm node using measurements done with a test pattern mask. This method consists of introducing small variations to one input model parameter and analyzing the induced effects on the simulated edge placement error (EPE). In this paper, we study the impact of small changes in the optical and resist parameters (focus settings, inner and outer partial coherent factors, NA, resist thickness) on the model stability. And then, we quantify the sensitivity of the model towards each parameter shift. We also study the effects of modeling parameters (kernel count, model fitness, optical diameter) on the resulting simulated EPE. This kind of study allows us to detect coverage or process window problems. The process and modeling parameters have been modified one by one. The ranges of variations correspond to those observed during a typical experiment. Then the difference in simulated EPE between the reference model and the modified one has been calculated. Simulations show that the loss in model accuracy is essentially caused by changes in focus, outer sigma and NA and lower values of optical diameter and kernel count. Model results agree well with a production layout.
Imaging behavior of high-transmission attenuating phase-shift mask films
Author(s):
Michael Hibbs;
Satoru Nemoto;
Toru Komizo
Show Abstract
The properties of phase shifting attenuator films are quantified in a variety of ways. Transverse dimensions are
measured by optical microscopes or scanning electron microscopes. Vertical dimension and profiles are measured by
atomic force microscopes or indirectly by optical scatterometry. The complex refractive index of an attenuator film can
be characterized by ellipsometry or by spectroscopic analysis of reflected and transmitted light. Transmission and phase
measurements can be made with optical interferometric techniques. Data acquired in these ways can be used as inputs
to simulation programs to model the image forming characteristics of the films. For simplicity and speed of calculation,
the simulation programs typically use a thin-mask approximation, in which the vertical absorber geometry is ignored
and the phase shifting attenuator regions are characterized only by their transmission, phase shift, and two-dimensional
geometric shapes. Inclusion of the full three-dimensional profile and complex refractive index of the absorber can be
done, but at the cost of greatly increased calculation time and a loss of the simplicity of understanding afforded by the
thin-mask model. For example, the thin-mask model assumes that every geometrical feature etched into a given
attenuator film will have the same phase and transmission properties. Comparison of thin-mask modeling results with
the full three dimensional model shows that this assumption is not true. The effective dimensional bias, phase,
transmission, and defocus are strong functions of the feature size, pitch, and complex refractive index of the film. Three
dimensional simulations were run for several commercial and developmental high-transmission phase-shifting
attenuator films. The effective phase and dimensional printing bias were calculated as a function of pitch for each film.
Surprising differences were found in the results for the various film types.
Optical properties of alternating phase-shifting masks
Author(s):
Bob Gleason;
Wen-Hao Cheng
Show Abstract
The 2005 edition of the International Technology Roadmap for Semiconductors specifies that phase errors of alternating phase-shifting masks (APSM) should approach ± 1 degree by 2008. This specification is reasonably motivated by the desire to keep imaging effects of mask errors below those of aberrations of projection optics, but it implies a questionable assumption that the phase of a feature is a well-defined quantity. Variations of both phase and amplitude across apertures are significant. In addition to the variables that we expect mask manufacturers to control, such as trench depth, wall slope, and bottom-surface flatness, phase also depends on polarization, illumination angle, widths of apertures, and proximity of other features. Dependence of phase on variables in addition to trench depth will increase as we shrink to pitches available with immersion lithography, perhaps restricting layouts that can be printed with APSM technology. Mask manufacturers must develop methods to set and measure phase to necessary tolerance.
Optimization of process window simulations for litho-friendly design framework
Author(s):
Mohamed Al-Imam;
Andres Torres;
Jean-Marie Brunet;
Moutaz Fakhry;
Rami Fathy
Show Abstract
Cutting edge technology node manufacturers are always researching how to increase yield while still optimally using
silicon wafer area, this way these technologies will appeal more to designers. Many problems arise with such
requirements, most important is the failure of plain layout geometric checks to capture yield limiting features in designs,
if these features are recognized at an early stage of design, it can save a lot of efforts at the fabrication end. A new trend
of verification is to couple geometric checks with lithography simulations at the designer space.
A lithography process has critical parameters that control the quality of its resulting output. Unfortunately some of these
parameters can not be kept constant during the exposure process, and the variability of these parameters should be taken
into consideration during the lithography simulations, and the lithography simulations are performed multiple times with
these variables set at the different values they can have during the actual process. This significantly affects the runtime
for verification.
In this paper the authors are presenting a methodology to carefully select only needed values for varying lithography
parameters; that would capture the process variations and improve runtime due to reduced simulations. The selected
values depend on the desired variation for each parameter considered in the simulations. The method is implemented as
a tool for qualification of different design techniques.
Impact of AFM scan artifacts on photolithographic simulation
Author(s):
Tod Robinson;
John Lewellen;
David A. Lee;
Peter Brooker
Show Abstract
This work represents one in a series of ongoing papers demonstrating the potential utility of integrating advanced
photolithographic simulation software into a mask repair tool to provide immediate defect or repair printability
feedback. The equipment used here is an AFM-technology based nanomachining photomask repair tool where the
high-accuracy AFM surface topography data is fed directly into software applying rigorous solutions to Maxwell's
equations. The nature of these systems allows for process endpoint printability evaluation, not restricted by the
optical limitations of any given apparatus, of any micro to nano-scale region of the mask concurrent with the normal
defect repair process. However,
known AFM scan artifacts can impact the accuracy and stability of the
photolithographic simulation results, especially for mask or pattern types which have not been previously studied by
the user. The relevant sources of these artifacts are identified and improvements in the AFM operation are
discussed which could minimize them. The quantitative relationships between the various artifact measures and
their corresponding effects on various simulation results (including relative transmission and CD) are examined for
both AIMSTM aerial imaging and wafer print. From this examination, error baselines are established and software,
as well as model setup, optimizations are proposed.
Advanced photomask repair technology for 65-nm lithography
Author(s):
Fumio Aramaki;
Tomokazu Kozakai;
Masashi Muramatsu;
Yasuhiko Sugiyama;
Yoshihiro Koyama;
Osamu Matsuda;
Katsumi Suzuki;
Mamoru Okabe;
Toshio Doi;
Ryoji Hagiwara;
Tatsuya Adachi;
Anto Yasaka;
Yoshiyuki Tanaka;
Osamu Suga;
Naoki Nishida;
Youichi Usui
Show Abstract
We have reported the FIB repair system with low acceleration voltage is applicable to 65nm generation photomasks. Repair technology beyond 65nm generation photomasks requires higher edge placement accuracy and more accurate shape. We developed two new functions, "Two Step Process" and "CAD Data Copy". "Two Step Process" consists of primary process and finishing process. The primary process is conventional process, but the finishing process is precise process to control repaired edge position with sub-pixel order. "Two Step Process" achieved edge placement repeatability less than 3nm in 3sigma. At "CAD Data Copy", defects are recognized with comparison between shape captured from a SIM image and that imported from a CAD system. "CAD Data Copy" reproduced nanometer features with nanometer accuracy. Thus the FIB repair system with low acceleration voltage achieves high performance enough to repair photomasks beyond 65nm generation by using "Two Step Process" and "CAD Data Copy".
Mask repair using layout-based pattern copy for the 65-nm node and beyond
Author(s):
Volker Boegli;
Nicole Auth;
Uli Hofmann
Show Abstract
To overcome several drawbacks of the standard pattern copy procedure used to create the repair shape(s) for a particular defect site, we have developed and implemented a layout based pattern copy method (a.k.a. "database pattern copy"). In general, pattern copy derives the repair structure by comparing a high resolution image of the defective area with the same image of a non-defective area. The repair shape is generated as the difference of these two images, and adjusted for processing purposes. As opposed to the conventional pattern copy method, which derives the reference using information taken from the mask under repair, the new method uses reference information from the original mask design file. As a result, it reduces the CD error of the repair, simplifies the repair process work flow, and greatly reduces the potential of operator error. We present the new method along with experimental results taken from programmed defect repair on our MeRiT MGTM production tool.
A new model of haze generation and storage-life-time estimation for mask
Author(s):
S. Shimada;
N. Kanda;
N. Takahashi;
H. Nakajima;
H. Tanaka;
H. Ishii;
Y. Shoji;
M. Otsuki;
A. Naito;
N. Hayashi
Show Abstract
After quartz blanks with various sulfate ion amount on the surfaces were exposed by an ArF laser, growing defects, haze,
on the surfaces were consequently counted by an inspection tool. As a result, the number of haze largely depends on the
sulfate ion amount, and it is found that no haze is generated when the sulfate ion amount is smaller than a threshold value.
A new haze generation model is provided to explain the threshold phenomenon. And then storage impact on increase of
the sulfate ion amount was investigated. The sulfate ion amount increases with storage time and airborne SOx
concentration. From the results, the adsorption coefficient of an extended Langmuir equation was calculated, and the
adsorption phenomenon was analyzed in detail. Simulation results show that it is recommended, regarding for storage
environment, to keep under 0.01 ppbv airborne SOx concentration in order to prevent haze for one year.
Real-time monitoring based on comprehensive analysis of the haze environment under the pellicle film
Author(s):
Jaehyuck Choi;
Seungyeon Lee;
Eunjung Kim;
Il-woo Nam;
Byung-Cheol Cha;
Seong-Woon Choi;
Woo-Sung Han
Show Abstract
It is important to understand how the outgassed chemicals from pellicle materials are involving in various
surface reactions towards haze defect formation on the mask surface during the exposure. In this work, we have
analyzed the gaseous environment and the substrate surface under laser exposure in the specially designed quartz tube
filled by air, or N2. We observed that various chemicals that consist of the pellicle film are outgassed from the film and
then deposited or interact with other chemicals to make haze defects on the substrate surface during laser exposure.
This fact can be further applied not only to the study of pellicle outgassing effect on haze defect growth mechanism but
also to the development of real-time monitoring tools for the defect growth progress on the mask surface.
Sulfur-free cleaning strategy for advanced mask manufacturing
Author(s):
Louis Kindt;
Andrew Watts;
Jay Burnham;
William Aaskov
Show Abstract
Existing cleaning technology using sulfuric acid based chemistry has served the mask industry quite well over the years. However, the existence of residue on mask surfaces is becoming more and more of a problem at the high energy wavelengths used in lithography tool for wafer manufacturing. This is evident by the emergence of sub-pellicle defect growth and backside hazing issues. A large source of residual contamination on the surface of masks is from the mask manufacturing process, particularly the cleaning portion involving sulfuric acid. Cleaning strategies can be developed that eliminate the use of sulfuric acid in the cleaning process for advanced photomasks and alternative processes can be used for cleaning masks at various stages of the manufacturing process. Implementation of these new technologies into manufacturing will be discussed as will the resulting improvements, advantages, and disadvantages over pre-existing mask cleaning processes.
Simulation of critical dimension and profile metrology based on scatterometry method
Author(s):
Roman Chalykh;
Irina Pundaleva;
SeongSue Kim;
Han-Ku Cho;
Joo-Tae Moon
Show Abstract
As the on-wafer transistor sizes shrink, and gate nodes reduce below 90 nm, it is becoming very important to precisely
measure and control the critical dimension (CD) on the mask. Phase shift technology for masks is essential for
decreasing of the feature size, therefore CD and profile metrology on the phase shifting materials becomes critical.
Scatterometry provides fast and nondestructive method of profile and CD measurements.
In this paper the conditions of determining of profile and CD measurement are analyzed. In the real experiment scattered
spectrum from structure with unknown profile is measured. Before experiment the library of spectra is generated. Spectra
in the library correspond to structures with various parameters (such us thickness, CD, sidewall angle, etc.). For
calculation of this library rigorous coupled-wave analysis (RCWA) was used. This method allows us to get precise
solution of Maxwell equations and find directly amplitude of zero diffraction order which is measured in the experiment.
To determine the possibility of measurement of sidewall angle various spectra with different sidewall angle value were
calculated. Calculated spectrum is changed by adding or deduction of random value. The randomly changed spectrum is
compared with spectra in the library in order to find spectrum with best fit. Therefore sidewall angle and CD can be
determined. Precision, possibility and maximum allowed error in the spectra measurements is obtained. Moreover,
influence of polarization of incident light on precision of extracted results was found.
Segmentation-assisted edge extraction algorithms for SEM images
Author(s):
Hanying Feng;
Jun Ye;
R. Fabian Pease
Show Abstract
Photomask inspection requires a combination of high resolution and high throughput. Scanning electron microscopy (SEM) has excellent resolution but at high throughput yields noisy images. Hence we are developing algorithms for extracting pattern information from noisy SEM images.
One big challenge in processing SEM images is edge extraction. SEM images have their own characteristics so many existing edge extraction algorithms based on gradient signal analysis do not work well in that they either yield strong signal for non-edge areas or yield weak signal for true edge areas. We describe several new edge extraction algorithms targeting noisy SEM images. The essence of these new algorithms is analyzing the "ridge" signal, i.e., the bright stripes.
We first propose edge extraction based on second-order polynomial regression. Based on the observation that the pixel values around edges in SEM images behave approximately as second-order polynomial functions of coordinates, we compute the "ridge" signal using the coefficients of such polynomial functions obtained from regression. This algorithm generally yields very accurate estimation of the edge locations, especially for straight edges.
In the approach based on second-order polynomial regression, it is implicitly assumed that the edge is (approximately) straight. We thus propose a further improvement on this algorithm and assume that edge shapes can be well approximated by second-order curves, even at sharp turns. This approximation leads to a fourth-order polynomial regression with better performance around edges with a sharp turn.
A third algorithm is based on image segmentation. Image segmentation, which is mostly used in image content analysis, is defined as the partition of a digital image into multiple regions (sets of pixels) so that the objects of interest are separated from the background. In our approach, we adapt image segmentation to edge extraction. In particular, we apply a fast segmentation algorithm to separate the bright area from the dark area, and use the difference of average pixel values as the "ridge" signal. The advantage of this approach is that no assumption on the edge shape is involved and the computational complexity is low.
Finally, we propose a hybrid algorithm combining the segmentation approach and the polynomial regression approach, yielding a "segmentation-assisted" algorithm that incorporates the advantages of both approaches. Simulation on a wide range of SEM image types yields quite satisfactory results, even for very noisy images. We will present detailed algorithm flows and demonstrate extraction results from real images.
Analysis of the Vistec LMS IPRO3 performance and accuracy enhancement techniques
Author(s):
Gunter Antesberger;
Sven Knoth;
Frank Laske;
Jens Rudolf;
Eric Cotte;
Benjamin Alles;
Carola Bläsing;
Wolfgang Fricke;
Klaus Rinn
Show Abstract
Following the international technology roadmap for semiconductors
the image placement precision for the 65nm technology node has to be 7nm. In order to be measurement capable, the measurement error of a 2D coordinate measurement system has to be close to 2nm. For those products, we are using the latest Vistec registration metrology tool, the LMS IPRO3. In this publication we focus on the tool performance analysis and compare different methodologies. Beside the well-established ones, we are demonstrating the statistical method of the analysis of variance (ANOVA) as a powerful tool to quantify different measurement error contributors. Here we deal with short-term, long-term, orientation-dependent and tool matching errors.
For comparison reasons we also present some results based on LMS IPRO2 and LMS IPRO1 measurements. Whereas the short-term repeatability and long-term reproducibility are more or less given by the tool set up and physical facts, the orientation dependant part is a result of a software correction algorithm.
We finally analyse that kind of residual tool systematics and test some improvement strategies.
CD measurement evaluation on periodic patterns between optic tools and CD-SEM
Author(s):
Yongkyoo Choi;
Munsik Kim;
Sunghyun Oh;
Oscar Han
Show Abstract
As feature size is shrinking and MEEF (Mask error enhancement factor) is increasing, CD measurement accuracy is more important, and CD SEM is widely used to replace optic tools because of their resolution. But CD-SEM is not representing the effect of Cr profile or transmittance of light which is transferred to wafer. Recently, new OCD (optic CD) tool which use scatterometry (Spectroscopic Ellipsometry) *1) is introduced to compensate the demerit of SEM of low through-put and reflected surface information of mask. This scatterometry tool can be used only on periodic pattern like DRAM. And this tool must be calibrated on each pattern type and shape. This calibration is the barrier to use this scatterometry method to mask process where all masks are processed one time.
In this work, new optical CD measurement method which use conventional optic microscope of transmitted and reflected light with high resolution lens of DUV on periodic patterns is introduced. To enhance the accuracy of measurement, interpolating method and FFT (Fast Fourier Transform) are used. CD measurement results of linearity by optic CD, SE and CD-SEM were compared on several patterns. And CD variations on full field of image were evaluated on L/S patterns and active layer of DRAM.
Fast nondestructive optical measurements of critical dimension uniformity and linearity on AEI and ASI phase-shift masks
Author(s):
Alexander Gray;
John C. Lam
Show Abstract
The fabrication of a production-worthy phase shift mask requires, among other things, excellent uniformity of critical dimensions (trench width and depth) and optical properties of the phase shift material (MoSi). Traditionally, CD-SEM has been the instrument of choice for the measurement of width; AFP (Atomic Force Profilometer) or conventional profilometer for the measurement of depth; and Interferometer for the measurement of phase shift and transmittance of the phase shift material. We present an innovative optical metrology solution based on broadband reflectometry, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analysis is performed using Forouhi-Bloomer dispersion equations, in conjunctions with Rigorous Coupled Wave Analysis (RCWA). The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from 190 - 1000 nm) for all materials present in the structure. In the current study, the method described above was used to examine photomasks at two stages of mask manufacturing process: After Etch Inspection (AEI) and After Strip Inspection (ASI). The results were compared with the measurements taken on the same samples using conventional CD-SEM. Two comparison studies were conducted - global CD uniformity and CD linearity. The CD linearity study demonstrated excellent correlation between the values of grating line width obtained using this new optical reflectometry approach and a CD-SEM for the grating structures of two pitches (760 nm and 1120 nm). The global CD uniformity study revealed that this presented reflectometry method can be used to produce CD uniformity maps which demonstrate excellent correlation with the results obtained using a conventional CD-SEM. The advantages of the optical method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process.
Extension of 193 nm dry lithography to 45-nm half-pitch node: double exposure and double processing technique
Author(s):
Abani M. Biswas;
Jianliang Li;
Jay A. Hiserote;
Lawrence S. Melvin III
Show Abstract
Immersion lithography and multiple exposure techniques are the most promising methods to extend lithography manufacturing to the 45nm node. Although immersion lithography has attracted much attention recently as a promising optical lithography extension, it will not solve all the problems at the 45-nm node. The 'dry' option, (i.e. double exposure/etch) which can be realized with standard processing practice, will extend 193-nm lithography to the end of the current industry roadmap. Double exposure/etch lithography is expensive in terms of cost, throughput time, and overlay registration accuracy. However, it is less challenging compared to other possible alternatives and has the ability to break through the κ1 barrier (0.25). This process, in combination with attenuated PSM (att-PSM) mask, is a good imaging solution that can reach, and most likely go beyond, the 45-nm node. Mask making requirements in a double exposure scheme will be reduced significantly. This can be appreciated by the fact that the separation of tightly-pitched mask into two less demanding pitch patterns will reduce the stringent specifications for each mask. In this study, modeling of double exposure lithography (DEL) with att-PSM masks to target 45-nm node is described. In addition, mask separation and implementation issues of optical proximity corrections (OPC) to improve process window are studied. To understand the impact of OPC on the process window, Fourier analysis of the masks has been carried out as well.
Identification of subresolution assist features that are susceptible to imaging through process
Author(s):
Lawrence S. Melvin III;
Martin Drapeau;
Jensheng Huang
Show Abstract
Sub-resolution Assist Features (SRAFs) are powerful tools to enhance the focus margin of drawn patterns. SRAFs are sized so they do not print on the wafer, but the larger the SRAF, the more effective it becomes at enhancing through-focus stability. The size of an SRAF that will image on a wafer is highly dependent upon neighboring patterns and models of SRAF printability are, at present, unreliable.
Conservative SRAF rules have been used to ensure that SRAFs never print on a pattern. More accurate models of SRAF printing should allow SRAF rules to be relaxed, resulting in more effective SRAF placement and broader focus margins.
The process models that are used during Optical Proximity Correction have never been able to reliably predict which SRAFs will print on a pattern. This appears to be due to the fact that OPC process models are generally created using data that does not include subresolution patterns. In addition, the definition of a printing SRAF is not clear, as it can range from a photoresist film left on a wafer to a pattern that is transferred to the substrate during the etch process. This paper will demonstrate a model that identifies SRAFs which appear in photoresist and those which survive the etch step.
A fresh look at the cell-wise process effect corrections
Author(s):
Dmitri Lapanik
Show Abstract
With moving from one process node to another, process effect corrections are becoming a very challenging task. High
quality models, long run times and extensively large computer resources are needed to perform a typical modern process
effect correction procedure. Since the patterns that form IC layouts are highly repetitive, all the modern process
correction algorithms try to take advantage of this fact to decrease processing time and computer resources requirements.
However, currently used high accuracy process effect correction algorithms are becoming less and less advantageous
because of the increasing relative non-locality of the process effects. In this paper, we investigate the feasibility of a
simpler approach called "cell-wise corrections". We propose a recipe for the cell-wise process effect correction and analyze its accuracy using a 65 nm test layout. The recipe is fully automated and implemented using a commercially
available OPC tool. The analysis reveals good accuracy and feasibility of our approach.
Phase-shift reticle design impact on patterned linewidth variation and LWR
Author(s):
Jim Vasek;
Chong-Cheng Fu;
Gong Chen
Show Abstract
Across-chip and across-wafer patterned linewidth variation (ACLV and AWLV respectively) as well as linewidth roughness (LWR) are key contributors to device performance variation. For polysilicon gate patterning, the linewidth control enabled by various phase-shift mask (PSM) design approaches is one of the key metrics in selecting the most manufacturable process. Embedded attenuated PSM (6% EAPSM), chromeless PSM (CPL) and alternating aperture PSM (AAPSM) designs were selected for comparison. Polysilicon wafers were exposed with 193nm lithography using these reticles, and then ACLV, AWLV and LWR were measured for each PSM process. The results are discussed and compared with other reticle design factors important for effective 65nm node patterning in production.
Alternating PSM for sub-60-nm DRAM gate single exposure
Author(s):
Kunyuan Chen;
Richard Lu;
Kuo Kuei Fu;
ChungPing Hsia;
Chiang-Lin Shih;
JengPing Lin
Show Abstract
The fast pattern shrinkage of DRAM has driven the lithography technology into the low k1 regime for sub-60 nm technology node. There are a lot of resolution enhancement techniques (RETs) e.g. OPC (Optical Proximity Correction), SB (Scattering Bar), SRAF (Sub-Resolution Assist Features) and DDL (Double Dipole Lithography) and Alternating PSM to enable the low k1 lithography [4]. However, among the RETs, the alternating PSM technique is a high cost solution because double exposure is needed to avoid phase conflict error. Therefore, the implementation of alternating PSM with single exposure for gate conductor layer is the main purpose of this study. Many kinds of pattern and phase designs in the main cell and periphery were investigated.
Feasibility study of mask fabrication in double exposure technology
Author(s):
Jong Gul Doh;
Sang Hee Lee;
Je Bum Yoon;
Doo Youl Lee;
Seong Yong Cho;
Byung Gook Kim;
Seong Woon Choi;
Woo Sung Han
Show Abstract
With decreasing the design node, there are some candidates for the optical lithography technology. Double Exposure
Technology (DET) is the one of the solution to extend the resolution limit down to k1 less than 0.25 for the next
generation devices. To accomplish DET, photomask MTT, CD uniformity, and the overlay between the layers for the
dual exposure are important as the photomask process aspect.
MTT and CD uniformity have been frequently discussed for Single Exposure Technology (SET), but the overlay and
the registration have not been discussed yet with the view of DET. In this work, the feasibility of mask fabrication,
especially the overlay and the registration for DET are analyzed. The current mask limit of DET is discussed
considering MTT, uniformity, and overlay.
High-transmission attenuated phase-shift mask for ArF immersion lithography
Author(s):
Yosuke Kojima;
Takashi Mizoguchi;
Takashi Haraguchi;
Toshio Konishi;
Yoshimitsu Okuda
Show Abstract
The attenuated phase-shift mask (att. PSM) is one of resolution enhancement technologies (RET) and has been
widely adopted for several device layers. And the high-transmission att. PSM, which has various structures and
transmittances, can be expected to have the advantages in process window. In this paper, the lithographic performances
(Contrast, MEEF and DOF) of high-T att. PSM were evaluated by using the 3D electro-magnetic field simulator. The
results showed that high-T att. PSM has better MEEF and partially better DOF than those of 6%-transmission MoSi
type. As the transmittance is getting higher, the smaller line CD is needed for OPC adjustment especially at narrow
pitch. In respect of film structure, it is found that there is no large difference among three high-T att. PSMs except for
MEEF at specific pitch. Remaining chrome on the high-T films causes the trade-off between contrast and MEEF. The
simulation results are compared with AIMS results measured by AIMSTM 45-193i of Carl Zeiss. The AIMS results of
actual masks agree with no-Hopkins mode simulation very well, while they do not agree with Hopkins mode simulation
especially at narrow pitch. Because the azimuthal polarization does not cause contrast loss, the differences between
AIMS mode (conventional) and Scanner mode (vector effect emulation) are small.
Mastering double exposure process window aware OPC by means of virtual targets
Author(s):
Henning Haffner;
Zachary Baum;
Carlos Fonseca;
Scott Halle;
Lars Liebmann;
Arpan Mahorowala
Show Abstract
This paper addresses a challenge to the concept of process window OPC (PWOPC) by investigating the dimensional control of effectively non-printing features to improve the process window (PW) of the primary layout. It is shown based on a double exposure (DE) alternating phase-shift mask (altPSM) process that neglecting the impact of final mask dimensions forming intermediate images in resist (which are subsequently removed with a second exposure) potentially leads to a significant variation in the available focus budget of neighboring linewidth-critical feature dimensions. Various rules-based and model-based options of introducing virtual OPC targets into the OPC flow are discussed as an efficient mean to allow the OPC to take process window considerations into account. The paper focuses especially on the mechanics of how in detail those virtual targets support the beneficial OPC convergence of affected edges. Finally, experimental proof is shown that introducing non-printing, virtual targets being considered as actual targets during OPC ensures enhanced through focus line width stability and hence making the OPC solution well aware of process window aspects.
Finding the needle in the haystack: using full-chip process window analysis to qualify competing SRAF placement strategies for 65 nm
Author(s):
Mark Mason;
Shane Best;
Gary Zhang;
Mark Terry;
Robert Soper
Show Abstract
It is widely understood that the IC Industry's adherence to Moore's Law is widening the gap
between the wavelength of light used in semiconductor manufacturing and the features that they
define. Increasingly, the patterning community has turned to higher complexity imaging solutions to
fill the gap. This steadily increasing complexity is placing a new burden on lithographers and
resolution enhancement technology engineers to guarantee that the highly complex patterning
strategies will work for all patterns. Traditionally, lithography strategies have been characterized
using relatively simple one-dimensional "litho test patterns." Real circuits are highly randomized
however, and complex two-dimensional interactions are the rule rather than the exception.
This paper extends the paradigm for use of newly available post-OPC verification (POV) technology
to the realm of RET development. We offer a case study where two competing 65-nm logic node
sub-resolution assist feature (SRAF) strategies for poly layer patterning are evaluated on a full chip
using commercially available post-OPC verification technology. We are able to evaluate differences
in CD control process window, SRAF printability (illustrated in Figure 1), MEEF sensitivity, and
catastrophic defect propensity. In several critical cases, we show silicon confirmation of the
simulated results. This methodology allows leveraging of existing full-chip POV technology to
enable the selection of the best SRAF strategy with minimal use of costly split lot silicon.
Present challenges and solutions in sampling and correction for 45 nm
Author(s):
Ioana Graur;
Mohamed Al-Imam;
Pat LaCour
Show Abstract
Conventional OPC, also known as site-based OPC, has relied on rules-based fragmentation and site placement since its inception. The issues that arose in earlier generations around imprecise site and fragmentation placement, relative to the exact location of proximity effects, has been illustrated in earlier works [1] but generally did not produce catastrophic results. However, when coupled with the large process biases, strong RET, and accuracy requirements for 45 nm and future nodes, this imprecision can produce catastrophic results. This work will report on efforts to use model-directed site and fragmentation placement, as well as inclusion of process window knowledge into the site-based OPC flow to address varied sources of errors and relative results with different approaches.
In addition to the conventional site-based OPC, a new breed of tool that avoids sites in favor of fully gridded, or dense, simulation is rapidly maturing. The new approach allows more intelligence to be built into the OPC engine such that fragmentation and error sampling are more automated and thus less error prone. Using the same layout data, we will also present a snapshot of the new tool's results.
PPC model build methodology: sequential litho and etch verification
Author(s):
Ali Mokhberi;
Vishnu Kamat;
Apo Sezginer;
Franz X. Zach;
Gökhan Perçin;
Jesus Carrero;
Hsu-Ting Huang
Show Abstract
We present a methodology for building through-process, physics-based litho and etch models which result in accurate and predictive models. The litho model parameters are inverted using resist SEM data collected on a set of test-structures for a set of exposure dose and defocus conditions. The litho model includes effects such as resist diffusion, chromatic aberration, defocus bias, lens aberrations, and flare. The etch model, which includes pattern density and particle collision effects, is calibrated independently of the litho model, using DI and FI SEM measurements. Before being used for mask optimization, the litho and etch models are signed-off using a set of verification structures. These verification structures, having highly two-dimensional geometries, are placed on the test-reticle in close vicinity to the calibration test-structures. Using through-process DI and FI measurement and images from verification structures, model prediction is compared to wafer results, and model performance both in terms of accuracy and predictability is thus evaluated.
Correcting lithography hot spots during physical-design implementation
Author(s):
Gerard T. Luk-Pat;
Alexander Miloslavsky;
Atsuhiko Ikeuchi;
Hiroaki Suzuki;
Suigen Kyoh;
Kyoko Izuha;
Frank Tseng;
Linni Wen
Show Abstract
As the technology node shrinks, printed-wafer shapes show progressively less similarity to the design-layout shapes, even with optical proximity correction (OPC). Design tools have a restricted ability to address this shape infidelity. Their understanding of lithography effects is limited, taking the form of design rules that try to prevent "Hot Spots" - locations that demonstrate wafer-printing problems. These design rules are becoming increasingly complex and therefore less useful in addressing the lithography challenges. Therefore, design tools that have a better understanding of lithography are becoming a necessity for technology nodes of 65 nm and below. The general goal of this work is to correct lithography Hot Spots during physical-design implementation. The specific goal is to automatically fix a majority of the Hot Spots in the Metal 2 layers and above, with a run time on the order of a few hours per layer. Three steps were taken to achieve this goal. First, Hot Spot detection was made faster by using rule-based detection. Second, Hot Spot correction was automated by using rule-based correction. Third, convergence of corrections was avoided by performing correction locally, which means that correcting one Hot Spot was very unlikely to create new Hot Spots.
Combined resist and etch modeling and correction for the 45-nm node
Author(s):
Martin Drapeau;
Dan Beale
Show Abstract
Emerging resist and etch process technologies for the 45 nm node exhibit new types of
non-optical proximity errors, thus placing new demands on OPC modeling tools. In a
previous paper (SPIE Vol. 6283-75) we had experimentally demonstrated a full resist and
etch model calibration and verified the stability of the model using 45nm node standard
logic cells. The etch model used a novel non-linear etch modeling object in combination
with conventional convolution Kernels. Building upon those results, this paper focuses
on the correction of patterns.
We demonstrate a two-stage optical/resist and etch correction using calibrated models,
including the use of non-linear etch modeling objects. Optical/resist and etch models are
built separately and used sequentially to correct a 45nm logic pattern. Critical areas of
the pattern affected by etch are analyzed and used to verify the correction. Verification
of the correction is obtained through comparison between the simulated contours with the
design intent.
Application challenges with double patterning technology (DPT) beyond 45 nm
Author(s):
Jungchul Park;
Stephen Hsu;
Douglas Van Den Broeke;
J. Fung Chen;
Mircea Dusa;
Robert Socha;
Jo Finders;
Bert Vleeming;
Anton van Oosten;
Peter Nikolsky;
Vincent Wiaux;
Eric Hendrickx;
Joost Bekaert;
Geert Vandenberghe
Show Abstract
Double patterning technology (DPT) is a promising technique that bridges the anticipated technology gap from the use of 193nm immersion to EUV for the half-pitch device node beyond 45nm. The intended mask pattern is formed by two independent patterning steps. Using DPT, there is no optical imaging correlation between the two separate patterning steps except for the impact from mask overlay. In each of the single exposure step, we can relax the dense design pattern pitches by decomposing them into two half-dense ones. This allows a higher k1 imaging factor for each patterning step. With combined patterns, we can achieve overall k1 factor that exceeds the conventional Rayleigh resolution limit.
This paper addresses DPT application challenges with respect to both mask error factor (MEF) and 2D patterning. In our simulations using DPT with relaxed feature pitch for each exposure step, the MEF for the line/space is fairly manageable for 32nm half-pitch and below. The real challenge for the 32nm half-pitch and below with DPT is how to deal with the printing of small 2D features resulting from the many cutting sites due to feature decomposition. Each split of a dense pattern generates two difficult-to-print line-end type features with dimension less than one-fifth or one-sixth of ArF wavelength. Worse, the proximity environment of the 2D cut features can then become quite complex. How to stitch them correctly back to the original target requires careful attention. Applying target bias can improve the printing performance in general. But using a model-based stitching error correction method seems to be a preferred solution.
The effect of OPC optical and resist model parameters on the model accuracy, run time, and stability
Author(s):
Amr Abdo;
Rami Fathy;
Ahmed Seoud;
James Oberschmidt;
Scott Mansfield;
Mohamed Talbi
Show Abstract
Performing model based optical proximity correction (MB-OPC) is an essential step in the production of advanced integrated circuits manufactured with optical lithography technology. The accuracy of these models highly depends on the experimental data used in the model development and on the appropriate selection of the model parameters. The optical and resist model parameters selected during model build have a significant impact on the OPC model accuracy, run time, and stability. In order to avoid excessively high run times as well as ensure acceptable results, a compromise must be made between OPC run time and model accuracy. The modeling engineer has to optimize the necessary model parameters in order to find a good trade-off that achieves acceptable accuracy with reasonable run time. In this paper, we investigate the effect of some selected optical and resist model parameters on the OPC model accuracy, run time, and stability.
Managing high-accuracy and fast convergence in OPC
Author(s):
Klaus Herold;
Norman Chen;
Ian P. Stobert
Show Abstract
The transition to the 65nm technology node requires improved methodologies for model based optical proximity correction. The approaches used for previous generations might not be able to deliver the high accuracy which is necessary for gate patterning on high performance or low leakage circuits. A new categorization scheme for OPC fragments will be introduced, which then allows independent optimization for various OPC tool parameters. The feasibility of this technique will be demonstrated by quantifying the OPC convergence through iterations, which emphasizes the performance gain in OPC accuracy and runtime.
Influence of design shrinks and proximity influence distance on flattening of optical hierarchy during RET
Author(s):
John L. Nistler;
Koby Duckworth
Show Abstract
As geometries shrink faster than the actual wavelength used for exposure, the Proximity influence distance, or PID of
nearest neighbor features starts to impact not only the overall RET of unit cells in the design library but also the
flattening of the hierarchy of the electronic design increasing the overall database size, computational times and
respective memory requirements per computational node.
In this paper we explore the impact of different PID values in relation to the overall flattening of hierarchy, time to
market and the impact on RET complexity as dimensions are shrunk in overall design.
Empirical OPC rule inference for rapid RET application
Author(s):
Anand P. Kulkarni
Show Abstract
A given technological node (45 nm, 65 nm) can be expected to process thousands of individual designs. Iterative methods applied at the node consume valuable days in determining proper placement of OPC features, and manufacturing and testing mask correspondence to wafer patterns in a trial-and-error fashion for each design. Repeating this fabrication process for each individual design is a time-consuming and expensive process. We present a novel technique which sidesteps the requirement to iterate through the model-based OPC analysis and pattern verification cycle on subsequent designs at the same node. Our approach relies on the inference of rules from a correct pattern at the wafer surface it relates to the OPC and pre-OPC pattern layout files. We begin with an offline phase where we obtain a "gold standard" design file that has been fab-tested at the node with a prepared, post-OPC layout file that corresponds to the intended on-wafer pattern. We then run an offline analysis to infer rules to be used in this method. During the analysis, our method implicitly identifies contextual OPC strategies for optimal placement of RET features on any design at that node. Using these strategies, we can apply OPC to subsequent designs at the same node with accuracy comparable to the original design file but significantly smaller expected runtimes. The technique promises to offer a rapid and accurate complement to existing RET application strategies.
Benchmarking the productivity of photomask manufacturers
Author(s):
C. Neil Berglund;
Charles M. Weber;
Patricia Gabella
Show Abstract
A survey-based, empirical study that benchmarks the productivity of photomask manufacturers has led to some
significant conclusions. Firstly, the wide variation in the productivity indicators from company to company suggests
that all participants may have significant cost-reduction opportunities within their operations. Secondly, the high
downtime of pattern generation tools is limiting productivity. Thirdly, producing smaller feature sizes is correlated to
an investment in engineering and experimentation capacity. It could not be confirmed that photomask manufacturers
are successfully taking advantage of economies of scale.
Required mask specification for mass production devices below 65-nm design node
Author(s):
Dongseok Nam;
Soohan Choi;
Jonggul Doh;
Young-hwa Noh;
Hojune Lee;
Yu-jeung Sin;
Bo-hye Kim;
Man-kyu Kang;
Byunggook Kim;
Seong-woon Choi;
Woosung Han
Show Abstract
In the photo-lithography process, a mask is one of the most important items because CD error from its imperfection is
transferred to the CD error on the wafer. And the CD error amplification from the mask CD to the wafer CD is denoted
by Mask Error Enhancement Factor (MEEF).
As the device shrinks so fast, MEEF increases conspicuously and massive OPC is necessary to secure the target
pattern CD and the proper process margin on the wafer. Therefore the mask CD uniformity and the just mean-to-target
(MTT) are very important to minimize the CD variation on the wafer level.
In most cases, MTT and CD uniformity for a certain device are not defined exactly. What we know is that the smaller,
the better. Because just small value of MTT and CD uniformity is not the reasonable guideline for the mask fabrication
and inducing high mask cost, defining the logical MTT and CD uniformity prospect for a certain device or layer is very
important.
As the necessity of the low k1 process increases, MTT and CD uniformity specifications become tighter and tighter.
However the proper mask specification for sub-65nm real device has not been defined yet and not been studied
considering the mask fabrication and MEEF.
In this study, MTT and CD uniformity specification of the sub-65nm real device patterns are discussed with respect to
the mask pattern linearity and MEEFs. Mask linearity is one of the typical items for the mask fabrication and strongly
related to MTT and CD uniformity. MTT and CD uniformity tolerance also follows OPC tolerance, and OPC tolerance is
directly related to the pattern layouts and MEEF. To define the mask specification for the sub-65nm device, an example
of mask linearity effect is shown; MEEFs of the critical pattern designs are calculated and compared with each other;
MTT, CD uniformity and MEEF relationship is commented.
Reparing the mask industry
Author(s):
Michael Lercel;
Scott Hector
Show Abstract
In many semiconductor markets, the largest fraction of total lithography cost is photomask cost; therefore any improvements in that area can have a noticeable impact on net chip cost. A significant yield loss mechanism for advanced photomasks is through nonrepairable defects. Providing improved methods to repair defects allows for improvements in mask yield and, therefore, the cost to make a defect-free mask and eventually the cost to produce the integrated circuit. However, the connection between mask yield and integrated circuit price is not a first-order relationship because it bridges between the mask supplier and end-user. SEMATECH and other worldwide consortia have, in the past, bridged this gap by sponsoring programs to develop improved mask infrastructure tools. A significant investment has been made in mask repair tool technology, but the quantitative benefit and return on investment has not been summarized until now. This paper attempts to show the strong benefits to the photomask and semiconductor industries from improving mask repair.
A procedure and program to calculate shuttle mask advantage
Author(s):
A. Balasinski;
J. Cetin;
A. Kahng;
X. Xu
Show Abstract
A well-known recipe for reducing mask cost component in product development is to place non-redundant elements of
layout databases related to multiple products on one reticle plate [1,2]. Such reticles are known as multi-product, multi-layer,
or, in general, multi-IP masks. The composition of the mask set should minimize not only the layout placement
cost, but also the cost of the manufacturing process, design flow setup, and product design and introduction to market.
An important factor is the quality check which should be expeditious and enable thorough visual verification to avoid
costly modifications once the data is transferred to the mask shop. In this work, in order to enable the layer placement
and quality check procedure, we proposed an algorithm where mask layers are first lined up according to the price and
field tone [3]. Then, depending on the product die size, expected fab throughput, and scribeline requirements, the
subsequent product layers are placed on the masks with different grades. The actual reduction of this concept to practice
allowed us to understand the tradeoffs between the automation of layer placement and setup related constraints. For
example, the limited options of the numbers of layer per plate dictated by the die size and other design feedback, made
us consider layer pairing based not only on the final price of the mask set, but also on the cost of mask design and fab-friendliness.
We showed that it may be advantageous to introduce manual layer pairing to ensure that, e.g., all
interconnect layers would be placed on the same plate, allowing for easy and simultaneous design fixes. Another
enhancement was to allow some flexibility in mixing and matching of the layers such that non-critical ones requiring
low mask grade would be placed in a less restrictive way, to reduce the count of orphan layers. In summary, we created a
program to automatically propose and visualize shuttle mask architecture for design verification, with enhancements to
due to the actual application of the code.
NIL template making and imprint evaluation
Author(s):
Yuuichi Yoshida;
Ayumi Kobiki;
Takaaki Hiraka;
Satoshi Yusa;
Shiho Sasaki;
Kimio Itoh;
Nobuhito Toyama;
Masaaki Kurihara;
Hiroshi Mohri;
Naoya Hayashi
Show Abstract
Nano-imprint lithography (NIL) is expected as one of the candidates for hp32nm to hp22nm technology nodes. NIL
needs 1X patterns on masks and a transit from 4X to 1X means a big and hard technology jump for the mask industry.
We have reported in previous papers that the resolution limit with 50keV acceleration voltage VSB (variable shaped
beam) electron beam writer, which are used in current 4X photomask manufacturing, was around 65nm. And we have
also reported that to reach the required resolution for hp32nm node, the usage of a 100keV acceleration voltage spot
beam writer would be inevitable.
Recently, we have installed a 100keV spot beam EB writer adjacent to our photomask manufacturing line. In this paper,
we will present our initial results with the tool. We have confirmed, after tuning of our process, a stable resolution
capability compatible for hp32nm. With this process, we have begun sample template manufacturing, and initial imprint
results are also presented. Templates with hp28nm dense line patterns were fabricated and were well imprinted.
Direct die-to-database electron-beam inspection of fused silica imprint templates
Author(s):
M. Tsuneoka;
T. Hasebe;
T. Tokumoto;
C. Yan;
M. Yamamoto;
D. J. Resnick;
E. Thompson;
H. Wakamori;
M. Inoue;
Eric Ainley;
Kevin J. Nordquist;
William J. Dauksher
Show Abstract
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and Flash Imprint Lithography (S-FILTM) is a unique method for printing sub-100 nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates.
With respect to inspection, although defects as small as 70 nm have been detected using optical techniques, it is clear that it will be necessary to take advantage of the resolution capabilities of electron beam inspection techniques. The challenge is in inspecting templates composed purely of fused silica. This paper reports the inspection of both fused silica wafers and plates. The die-to-database inspection of the wafers was performed on an NGR2100 inspection system. Fused silica plates were inspected using an NGR4000 system.
Three different experiments were performed. In the first study, Metal 1 and Logic patterns as small as 40 nm were patterned on a 200 mm fused silica wafer. The patterns were inspected using an NGR2100 die-to-database inspection system. In the second experiment, a 6025 fused silica plate was employed. Patterns with a limited field of view (FOV) were inspected using an NGR4000 reticle-based system. To test the tool's capability for larger FOVs, 16 × 16 μm areas on a MoSi half tone plate were scanned and stitched together to evaluate the tool's ability to reliably do die-to-database comparisons across larger inspection areas.
PMJ 2006 panel discussion review: Mask technologies for EUVL
Author(s):
Minoru Sugawara;
Hisatake Sano
Show Abstract
This is a report on a panel discussion in Photomask Japan held on April 19, 2006. We discussed mask technologies
for extreme ultraviolet lithography (EUVL). Seven panelists presented their views on critical issues in the
production of practical EUV masks. The wrap-up is as follows: A local polishing method has yielded an average
flatness of 70 nm, but it increases both surface roughness and defect density. Regarding defect density, the large
gap between the target and the one expected from the learning curve led to the proposal of realistic (i.e., relaxed)
specifications for flatness and defect density. The capability of detecting defects with a PSL equivalent size of 25
nm or less remains an issue. It was also suggested that the local slope of the back surface of blanks (masks) should
be standardized. Mask patterning has already been demonstrated to be sufficient for the 32-nm half pitch node.
Captive and commercial mask shops are ready to provide masks for full-field exposure tools. Regarding handling
and shipping, dual-pod type carriers and robotic handling have been demonstrated to adequately protect masks
from particle contamination. Finally, a 3-point support method in EB writing was examined in conjunction with a
new EB correction scheme.
Manufacturing of the first EUV full-field scanner mask
Author(s):
Uwe Dersch;
Rico Buettner;
Christian Chovino;
Steffen Franz;
Torben Heins;
Holger Herguth;
Jan Hendrik Peters;
Thomas Rode;
Florian Letzkus;
Joerg Butschke;
Mathias Irmscher
Show Abstract
In the framework of the European EXTUMASK project, the Advanced Mask Technology Center in Dresden (AMTC) has established in close collaboration with the Institute of Microelectronics in Stuttgart (IMS-Chips) an integrated mask process suited to manufacture EUV masks for the first full field EUV scanner, the ASML α-demo tool. The first product resulting from this process is the ASML set-up mask, an EUV mask designed to realize the tool set-up.
The integrated process was developed based on dummy EUV blank material received from Schott Lithotec in Meiningen (Germany). These blanks have a TaN-based absorber layer and a SiO2 buffer layer. During process development the e-beam lithographic behaviour as well as the patterning performance of the material were studied and tuned to meet first EUV mask specifications.
For production of the ASML set-up mask the new process was applied to a high performance EUV blank from Schott Lithotec. This blank has absorber and buffer layers identical to the dummy blanks but a multilayer is embedded which is deposited on an LTEM substrate. The actinic behaviour of the multilayer and the flatness of the substrate were tuned to match the required mask specifications. In this article we report on the development of the mask manufacturing process and show performance data of produced EUV full field scanner masks. Thereby, special attention is given to the ASML set-up mask.
Simplified model for absorber feature transmissions on EUV masks
Author(s):
Michael C. Lam;
Andrew R. Neureuther
Show Abstract
A new thin mask model for transmission through EUV absorber features is proposed, which, when linked to an
EUV buried defect simulator, can rapidly assess a buried defect's impact on the printability of nearby features. The
underlying physics of an absorber edge scattering is thoroughly investigated and simplified models for two of the key
physical effects are shown to produce excellent results when compared to FDTD edge scattering. By simply adding
calibrated line sources to the top corners of the absorber edges, as well as propagating the thin mask by about half the
mask thickness, a greatly simplified model for feature transmissions is produced. The simplified model effectively
converts the electrically thick nature of the absorber patterns (~70nm or ~5λ thick) into a thin mask model, while
capturing all of the appropriate effects that are demonstrated in the far field image. Line and space example patterns for
dense 32nm, 22nm, and 15nm features (on wafer) demonstrate the accuracy of the new thin mask model for even very
tight pitches.
CD and profile metrology of EUV masks using scatterometry based optical digital profilometry
Author(s):
Sung-yong Cho;
Sanjay Yedur;
Michael Kwon;
Milad Tabet
Show Abstract
Research and development efforts on EUV technology for the 32 nm node and beyond are progressing rapidly. Although a big concern is defect control on EUV mask blanks, control of linewidth and profile will be an important factor in acceptance of EUV technology. In this paper, we discuss the issues and strategies surrounding CD and profile metrology of EUV masks. EUV mask blanks from Hoya and Asahi Glass Company were used in this study, and were measured on a Nanometrics Atlas-M measurement tool, generating CD and profile results using Timbre Technologies' ODP analysis software. The Atlas-M tool has dual optics, enabling use of either normal incidence Reflectometry or oblique incidence Ellipsometry, either of which may be used for Scatterometry. The relative merits of each of these technologies are discussed. The complex EUV stack presents numerous challenges for metrology; the critical task is to accurately measure the optical constants of the numerous layers in the stack. The multilayer MoSi stack is effectively modeled as a single layer for optical constants determination. Photoresist FEP171 was used for the patterning, and the CD and profile of the resist were measured, after which the absorber layer was etched. Parameters characterized in this study include photoresist CD and height, etched Absorber CD, and capping layer over etch. Correlation to top-down CD-SEM, cross-sectional SEM, and AFM is reported. No charging or other deformation was observed on the EUV masks. The data show that ODP Scatterometry provides a non-destructive method for monitoring resist CD and profile, as well as etched structure CD and over/underetch on EUV masks.
Development of EUVL mask blank in AGC
Author(s):
Takashi Sugiyama;
Hiroshi Kojima;
Masabumi Ito;
Kouji Otsuka;
Mika Yokoyama;
Masaki Mikami;
Kazuyuki Hayashi;
Katsuhiro Matsumoto;
Shinya Kikugawa
Show Abstract
Recent rapid progress in the technologies of extreme ultraviolet lithography (EUVL) is ensuring that EUVL will be a primary candidate for the next generation lithography beyond 32-nm node. However, realization of defect-free reflective mask blank is still counted as one of the most critical issues for high volume production in EUVL. Asahi Glass Company (AGC) has developed comprehensive technologies for manufacturing EUVL mask blanks from figuring and polishing glass substrate to cleaning, multilayer coating, and evaluating its performances by making use of our long and wide experience in providing high quality processed glass substrates and coatings for electronic devices. In this paper, we will present the current status of each aspect of EUVL mask blank development in AGC toward the specifications required for high volume production. In the effort to meet the specifications, we have introduced a number of key technologies that can be divided into three regions, which are materials, glass processings, and evaluations. We have developed state-of-the-art processes and tools for manufacturing EUV mask blanks, such as a new polishing technique for extremely flat substrate, a new cleaning recipe and tool for low-defect substrate, and a newly developed deposition tool for ultra-low defect and higher EUV reflective coating with our new optical thin film materials for multilayer coating. Furthermore, in order to clarify their performances, we also introduced a wide variety of evaluation techniques such as flatness and roughness measurement of substrate, a defect inspection, and EUV reflectometry as well as defect analysis techniques which help us eliminate printable defects in EUVL mask blanks.
Point cleaning of mask blanks for extreme ultraviolet lithography
Author(s):
Mike Brown;
John Hartley;
Sean Eichenlaub;
Abbas Rastegar;
Patricia Marmillion;
Ken Roessler
Show Abstract
The feasibility of removing defects from the surface of extreme ultraviolet (EUV) substrates by nanomachining is being
investigated. A commercially available atomic force microscope (AFM) based photomask repair tool was used. A
specific class of defects which has resisted all other removal techniques was targeted. Three AFM probes of varying
sharpness were evaluated. All of the probes removed the majority of each but fell short of achieving the desired 2006
high spatial frequency roughness specification of 0.2nm. Results reported are preliminary; future work will focus on
optimization of scanning parameters and tip geometry targeting specific residual defects reported in the text.
EUV mask pattern inspection for memory mask fabrication in 45-nm node and below
Author(s):
Do Young Kim;
Seong Yong Cho;
Hun Kim;
Sung Min Huh;
Dong Hoon Chung;
Byung Chul Cha;
Jung Woo Lee;
Seong Woon Choi;
Woo Sung Han;
Ki Hun Park;
Eun Ji Kim;
Zhengyu Guo;
Ellen Quach;
David Gee;
Tom Brown;
Aditya Dayal
Show Abstract
As the design rule continues to shrink towards 45 nm node and beyond, the lithographers need the new technologies such as immersion lithography and EUV lithography. Also the inspection specification on the printed reticle defects is becoming even more challenging for the reticles used in both lithography methods.
The main purpose of this study is to investigate the pattern defect detection capability on EUV mask with the memory design patterns of 45 nm node and below in the DUV reticle inspection systems at our mask-shop and to compare those results with the absorber defect specification from the EUV lithography simulation in those design rules.
In addition, we investigate the inspection capability on the pattern defects with the test optical mask designed in 45 nm node and below for the immersion lithography and compare the defect detection ability on the EUV mask and the optical mask in the current DUV reticle inspection equipment.
Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques
Author(s):
A. Barty;
K. A. Goldberg;
P. Kearney;
S. B. Rekawa;
B. LaFontaine;
O. Wood II;
J. S. Taylor;
H.-S. Han
Show Abstract
The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) inspection tool. Presently, the occurrence of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern.
We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.
The study of chromeless phase lithography (CPL) for 45nm lithography
Author(s):
Soon Yoeng Tan;
Qunying Lin;
Cho Jui Tay;
Chenggen Quan
Show Abstract
Chromeless Phase Lithography (CPL) has been used to achieve high resolution by using phase edge interference in addition with high NA and off-axis illuminations such as annular and quasar for sub-wavelength lithography. There are two types of CPL. One is the totally chromeless pure phase type and the other is the zebra chrome pattern type for critical line dimensions. Both types of CPL masks require adding in chrome pads in some structures such as circuit line junction region to improve the resolution. Zebra type CPL mask making has reached the limitation due to small chrome peeling issue during mask cleaning and small space writing resolution issue for sub-45nm technology. In this paper, two types of CPL masks are studied. The investigation shows the differences on mask making and wafer performance. For mask making, process limitation studies such as writing, etching and cleaning will be evaluated. Data on mask CD (Critical Dimension) performance, registration, overlay, phase and transmission are collected and analyzed. For wafer performance, process window comparison, CD through pitch, MEEF (Mask Error Enhancement Factor) and linearity will be characterized for these two CPL mask types. Minimum resolution of less than 160nm pitch with reasonable good process window has been achieved with both mask types. Chromeless pure phase type has advantages on mask making while zebra type has the advantages on wafer performance. Furthermore, SRAF (Sub-Resolution Assist Feature) are added to improve wafer printing process windows. Detailed characterization work done on assist features are presented. Assist feature can improve process window by improving the contrast of isolated lines.
A novel approach for hot-spot removal for sub-100nm manufacturing
Author(s):
Melody Ma;
Melissa Anderson;
Weinong Lai;
Clive Wu;
Becky Tsao;
Chih-wei Chu;
Char Lin;
Jacky Chou;
Sidney Tsai
Show Abstract
Recent advances in lithography simulation have made full-chip lithography rule checking (LRC) practical and even mandatory for many fabs, especially those operating with half-pitches under 100nm. These LRCs routinely identify marginal or even fatal manufacturability problems (hot-spots), especially when simulated through process corners. Until recently, when hot-spots were identified, the only options were to reject the tapeout for additional layout modifications, re-run OPC with a different recipe, or use a DRC-tool to do "blind" cut-and-paste repairs under the assumption that making fatal errors non-fatal is sufficient to make them "good." Using a commercial LRC tool, we will inspect OPC data on a production design to identify a typical volume of real and potential hot-spots. Next, using Halo-Fix from Aprio Technologies, we will apply local repairs, choosing rule-based or model-based repair strategies as appropriate for each type of hot-spot. Using this method, "intelligent" changes in the hot-spot areas can be made which accurately account for lithography interactions and process variations, in order to optimize for manufacturing robustness. To verify that the repairs are acceptable, LRCs will be performed and the results analyzed.
A novel Alt-PSM structure: isn't this an embedded Atten-PSM?
Author(s):
S. Nakao;
K. Hosono;
S. Maejima;
K. Narimatsu;
T. Hanawa;
K. Suko
Show Abstract
A novel mask structure for an alternating aperture phase shift mask (Alt-PSM) to cut mask cost is proposed. By a
mask with structure of an embedded attenuating phase shift mask (Atten-PSM), an Alt-PSM for an isolated line
formation can be well fabricated. Fine image quality is confirmed with optical image calculations. Moreover,
concept of this novel mask is proved by a preliminary experiment. In conclusion, this novel mask can replace
conventional Alt-PSM for logic devices, resulting in considerable cut of mask cost.
Real-time ultra-sensitive ambient ammonia monitor for advanced lithography
Author(s):
Eric Crosson;
Katsumi Nishimura;
Yuhei Sakaguchi;
Chris W. Rella;
Edward Wahl
Show Abstract
In the semiconductor industry, control of ammonia at the parts-per-billion concentration level is critical to insure the integrity of the lithography process. Ammonia is emitted into wafer fab air by various semiconductor processes including CVD, wafer cleaning, coater tracks, and CMP, as well as from outdoor air. Exposure to even low parts-per-billion concentrations of ammonia during the photolithography process can lead to yield loss and unscheduled equipment downtime. Picarro, Inc. has developed a field-deployable, real time, ambient gas analyzer capable of continuously monitoring parts-per-trillion levels of ammonia in situ, and in real-time, thereby allowing a user to directly monitor ammonia levels in sensitive photo-lithography equipment.
Qualitative analysis of haze defects
Author(s):
Jaehyuck Choi;
Soowan Koh;
Sunghun Ji;
Byung-Cheol Cha;
Seong-Woon Choi;
Woo-Sung Han
Show Abstract
We created haze defects on the PSM mask surface using ArF haze accelerator while the mask was
previously cleaned by SPM and SC1 solutions. Then we directly analyzed the defects on the surface using TOF-SMS.
The comprehensive analysis of TOF-SIMS signifies that the defects mainly consist of hydrocarbons, Na, K, Cl, F, Mg,
Al, etc., which have probably come from previous procedures, fab environments, storage materials, handling steps, or
pellicle materials. This fact implies the exclusion of sulfate or ammonium ions from the mask surface should not be
enough for the realization of haze-free PSM masks. In addition, complete removal of residual hydrocarbons deposited
through previous procedures and perfect protection against environmental contaminants from fab air, storage materials,
handling steps, or pellicle materials should be further accomplished.
Haze detection and haze-induced process latitude variation for low-k1 193 nm lithography
Author(s):
Sung-Jin Kim;
Jin-Baek Park;
Sung-Hyuck Kim;
Hye-Young Kang;
Young-Min Kang;
Seung-Wook Park;
Ilsin An;
Hye-Keun Oh
Show Abstract
Each generation of semiconductor device technology drives many new and interesting resolution enhancement technologies (RET). As minimum feature size of semiconductor devices have shrunk, the exposure wavelength has also progressively shrunk. The 193 nm lithography for low-k1 process has increased the appearance of progressive defects on masks often known as haze or crystal growth. Crystal growth on a mask surface has become an increasing issue as the industry has adopted a 193 nm wavelength in order to increase lithographic resolution and print ever decreasing device line width. Haze is known to be a growing defect on photomask as a result of increased wafer lithography exposure and photochemical reactions induced by combination of chemical residuals on the mask surface. We build experimental system to create and detect the haze growth. A photomask is enclosed in a glove box where the atmosphere and exposure conditions are controlled and monitored throughout the exposure processing. A test photomask is exposed to accumulate the dose of laser radiation. And then spectroscopic ellipsometry and metallographic microscope techniques are used to check the surface conditions of the masks before and after the laser exposure. We found that spectroscopic ellipsometry measurement values of Δ and Ψ were changed. The results of the spectroscopic ellipsometry analysis show the change of the haze thickness on mask surface. Thickness and roughness of the mask surface is increased with the exposure. This means that haze grows on the mask surface by the exposure. Masks become useless due to transmission loss or defect generation, which is directly related to the formation of the haze. The haze causes the increase of mask thickness, transmission drop and affects the formation of pattern. So, we investigated the linewidth variation and the process window as a function of haze size effect with Solid-E of Sigma-C.
Non-chemical cleaning technology for sub-90nm design node photomask manufacturing
Author(s):
Star Hoyeh;
Richard Chen;
Makoto Kozuma;
Joann Kuo;
Torey Huang;
Frank F. Chen
Show Abstract
Cleaning chemistry residue in photomask manufacturing is one of root causes to generate HAZE over surface of photomask for 193nm and shorter wavelength exposure tools. In order to reduce the residue, chemical free process is one of targets in photomask industry. In this paper novel clean technology without sulfuric acid and ammonia chemical are shown to manufacture sub-90nm node photomask. Photo and E-beam resist were removed by plasma and ozone water clean instead of sulfuric acid. SPM and APM in final clean sequence before defect inspection were substituted with ozone water and hydrogen water respectively. The clean performance was demonstrated in real production of 193nm phase shift mask. Sulfate and Ammonia residue after final clean were controlled same as blank material level without any clean process.
Novel cleaning techniques to achieve defect-free photomasks for sub-65-nm nodes
Author(s):
Jin Ho Ryu;
Dong Wook Lee;
Ji Sun Ryu;
Sang Pyo Kim;
Oscar Han
Show Abstract
The ability to eliminate the critical source of haze contamination which can be derived from the cleaning chemistry residues and mass production environment has become a major challenge for 193 nm photolithography in semiconductor industry. Furthermore, as the specification for pattern generation on photomask becomes tighter, it is getting harder and harder to eliminate defects with both minimal structural damage and preservation of photophysical properties. We designed for the smart cleaning strategy to achieve the defect-free photomasks as a concern of above current issue with a combination of well-known cleaning technology, such as using the collective effects of ozonated water (DIO3) for the alternative to conventional clean (SPM/SC1) and UV/O3 treatment for the control of sulfate concentration. In addition to photomask clean, these strategies are also used for photoresist stripping.
As well as the final cleaning process, it is a rational strategy that judicious modification of inter-process clean. Specially, that kind of view is focused on the after-development clean (ADC) process which mainly eliminated the source of fatal defects on the mask, such as pattern bridge following dry etch process.
In this paper we will propose a novel cleaning strategy for the elimination of potential source of haze formation and fatal defects.
Cleaning of MoSi multilayer mask blanks for EUVL
Author(s):
Vivek Kapila;
Abbas Rastegar;
Yoshiaki Ikuta;
Sean Eichenlaub;
Pat Marmillion
Show Abstract
Extreme ultraviolet lithography (EUVL) is being considered as the enabler technology for the manufacturing of future
technology nodes (30 nm and beyond). EUV mask blanks are Bragg mirrors made of Mo and Si bilayers and tuned for
reflectivity at a wavelength λ ~13 nm. Implementation of EUVL requires that the mask blanks be free of defects at 30
nm or above. However, during the deposition of MoSi multilayers and later during the handling of blanks, defects are
added to the blank. Therefore, the cleaning of EUV mask blanks is a critical step in the manufacturing of future devices.
The particulate defects on the multilayer-coated mask blanks can either be embedded in or under the MoSi layers or
adhered to the top capping layer during the deposition process. The defects can also be added during the handling of
photomasks. Our previous studies have shown successful removal of the handling-related defects at SEMATECH's
Mask Blank Development Center (MBDC) in Albany, NY. However, cleaning embedded and adhered defects presents
new challenges. The cleaning method should not only be able to remove the particles, but also be compatible with the
mask blank materials. This precludes the use of any aggressive chemistry that may change the surface condition leading
to diminished mask blank reflectivity. The present work discusses the recent progress made at SEMATECH's MBDC in
cleaning backside Cr-coated mask blanks with a MoSi multilayer and a Si cap layer on the top surface. Here we present
our data that demonstrates successful removal of sub-100 nm particles added by the deposition process. Surface
morphology and defect composition on the surface of the MoSi multilayer are discussed. EUV reflectivity measurements
and atomic force microscopy (AFM) images of the mask blank before and after cleaning are presented. The present data
shows that no measurable damage to the EUV mask blank is caused by the cleaning processes developed at the MBDC.
Mechanism of megasonic damages for micropatterns
Author(s):
Y. Suwa;
S. Shimada;
A. Shigihara;
H. Ishii;
Y. Shoji;
M. Otsuki;
A. Naito;
S. Sasaki;
H. Mohri;
N. Hayashi
Show Abstract
Photomasks with various sub resolution assist feature (SRAF) were vigorously cleaned by a megasonic tool, and their
pattern damage, "SRAF-missing", was investigated. As a result, it was found that SRAF-missing can occur at a low
probability by the megasonic cleaning and the probability significantly depends on SRAF size, especially width. With
smaller than 100 nm width, SRAF-missing probability rapidly increased with SRAF width reduction. In addition, the
relationship between SRAF-missing and acoustic pressure was investigated, and at the same time that between particle
removal efficiency (PRE) and acoustic pressure was also investigated. As a result, SRAF-missing and PRE showed a
trade-off relationship. Using all results, an experimental equation was provided. After verification by additional
experiments, some simulations were done, and megasonic cleaning subject was predicted for the 45nm and 32nm-node
mask fabrication.
An effective layout optimization method via LFD concept
Author(s):
Ching-Heng Wang;
Zexi Deng;
Gensheng Gao;
Chi-Yuan Hung
Show Abstract
As the advent of advanced process technology such as 65nm and below, the designs become more and more sensitive to the variation of manufacturing process. Though the complicated design rules can guarantee process margin for the most layout environments, some layouts that pass the DRC still have narrow process windows. An effective layout optimization approach based on Litho Friendly Design (LFD), one of Mentor Graphics' products, was introduced to enhance design layout manufacturability. Additional to process window models and production proven Optical Proximity Correction (OPC) recipes, the LFD design kits are also generated and needed, which with the kits and rules people should guarantee no process window issues in a design if the design passes the check of these rules via the kits. Lastly, a real 65nm product Metal layer was applied full chip OPC and post-OPC checks to process variation. Some narrow process window layouts were detected and identified, then optimized for larger process window based on the advices provided by LFD. Both simulation and in-line data showed that the DOFs were improved after the layout optimization without changing the area, timing and power of the original design.
Adding grayscale layer to chrome photomasks
Author(s):
David K. Poon;
James M Dykes;
Chinheng Choo;
Jimmy T. K. Tsui;
Jun Wang;
Glenn H. Chapman;
Yuqiang Tu;
Patrick Reynolds;
Andrew Zanzal
Show Abstract
Recent work has shown that bimetallic films, such as Bi/In and Sn/In, can create laser direct-write grayscale
photomasks. Using a laser-induced oxidation process; bimetallic films turn transparent with variations in optical
transparency that are a function of the laser power. The films exhibit transmittances <0.1% when unexposed and >60%
when full laser exposed. A novel grayscale photolithography technique is presented that utilizes conventional chrome
photomasks as the high resolution pattern-defining layer with a bimetallic thin film layer deposited on top as the
grayscale-defining layer. Having the grayscale layer on top of the chrome, grayscale patterns can be aligned to the
underlying chrome patterns. Laser power and bimetallic thin film thickness are carefully calibrated such that no chrome
ablation or conversion occurs. The calibration ensures that during laser scanning, the bottom chrome layer defines the
fine features of the underlying patterns and remains unchanged, while the bimetallic thin film layer is converted to
provide grayscale tones. To further investigate the optical density (OD) properties of this type of mask, we measured the
transient time response for pure chrome mask and Bi/In coated chrome mask to help fine tune the laser writing
parameters. Using bimetallic Bi/In/Cr photomasks, we have successfully created continuous tone 3D structures with
superimposed binary structures in SU-8 photoresist. By introducing this novel combined chrome-bimetallic mask, the
fine detail features found in binary lithography may be combined with smoothly-varying 3D microstructures best suited
to grayscale methods.
Polysilicon gate and polysilicon wire CD/EPE defect detection and classification through process window
Author(s):
Scott Andrews;
William Volk;
Bo Su;
Hong Du;
Bhavaniprasad Kumar;
Ramanamurthy Pulusuri;
Abhishek Vikram;
Xiaochun Li;
Shaoyun Chen
Show Abstract
As technology advances towards 45nm node and beyond, optical lithography faces increased challenges and
resolution enhancement techniques (RET) are imperative for multiple process layers and poly-silicon gate layer in
particular. With RET implementation, and optical proximity correction (OPC) techniques, the mask layout deviates
further away from design intended layout. For an OPC decorated design database, it is important that before mask
making, the OPC is verified that it is design related defects free and provides reasonable process window for a given
process to ensure manufacturability.
For poly-silicon gate layer, due to tight CD control requirement, the demand for accurate lithography process
simulation is even greater. As hyper-NA immersion exposure systems become available, accurate resist image
computation considering mask topography effects and partial polarized illumination on poly-silicon gate layers
through process window is a necessary. In this work, we will show simulation results of DesignScan on an
advanced poly-silicon gate layer using a logic based customer database. Active layer database is used to separate
poly-silicon gate regions and poly-silicon wire regions. Sensitive CD and edge placement error (EPE) detectors are
used to identify design related defects through the lithography process window. The detector sensitivities can be
adjusted based on feature sizes and their geometry (gate of different targets or wires, corners, and line ends). This
customization of geometry classification and detector sensitivity is critical to achieve desired through process
window inspections. With this capability process window inspections will show how CD/EPE changes as functions
of exposure dose and defocus with fast results and efficient review and disposition. Accurate process window
assessment using CD variation is obtained.
Chrome etch solutions for 45-nm and beyond
Author(s):
M. Chandrachood;
M. Grimbergen;
I. Ibrahim;
S. Panayil;
A. Kumar
Show Abstract
Requirements to meet the 45nm technology node place significant challenges on Mask makers.
Resolution Enhancement Techniques (RET) employed to extend optical lithography in order to
resolve sub-resolution features, have burdened mask processes margins. Also, Yield compromises
loom with every nanometer of error incurred on the Mask and the Device platforms. RET techniques,
such as Optical Proximity Correction (OPC), require the Mask Etcher to achieve exceptionally tight
control of Critical Dimensions (CD). This ensures OPC feature integrity on the mask and resultant
image fidelity of OPC structures, as well as, subsequently high and sustainable yields.
This paper talks about 45 nm Chrome etch challenges and how Applied Materials next generation
mask etcher provides solutions to these challenges.
Quartz etch solutions for 45-nm phase-shift masks
Author(s):
M. Chandrachood;
M. Grimbergen;
T. Y. B. Leung;
S. Panayil;
I. Ibrahim;
A. Kumar
Show Abstract
One means of extending the limits and lifetime of current lithography platforms for 45nm and
beyond is the development of resolution enhancement techniques (RET) in the form of optical
phase-shifting masks (PSM). By employing optical interference from 180° shifted lithography
emission, PSM masks are able to enhance feature resolution at the wafer. This is particularly
important for sub-wavelength features (i.e., features with critical dimensions less than the
lithography wavelength) where line resolution can be severely degraded without such techniques.
For these PSMs, the challenge is to provide highly uniform quartz etch performance across the entire
active area of the mask for various feature sizes and local loads. Micro-loading (a.k.a. RIE lag or
reactive ion etch lag) and phase angle range are key performance parameters to control. As the
demands for these parameters tighten and mask costs rise, strict performance control is required for
all PSM mask varieties utilized in the mask shop.
In this paper we will discuss process results using Applied Materials next generation mask etch
system in the area of APSM etch application. In particular, the discussion will focus on recent
process results in phase uniformity and RIE lag for Quartz etch process. Feature profiles are also
discussed with examples showing near vertical sidewalls and no micro-trenching.
Multi-layer resist system for 45-nm-node and beyond: Part III
Author(s):
Yuuki Abe;
Jumpei Morimoto;
Toshifumi Yokoyama;
Atsushi Kominato;
Yasushi Ohkubo
Show Abstract
To control the CD precisely, inorganic "Hard-Mask" which we expect one of the candidates for 45nm-node and beyond
technology was evaluated. Hard-Mask which is inserted between resist and Cr layer of a photomask blank enable us to
use high anisotropic etch condition. Also it enhances the resist resolution because it can avoid the interaction between
resist and Cr. This time, we confirmed the benefit of Hard-Mask which could reduce the etch bias and proximity process
error. Especially proximity process error was reduced down to 1/4. And resolution enhancement effect was observed. We
also confirmed the blank quality such as defects, film stress, sheet resistance, optical properties and so on, and found that
Hard-Mask blank would not be a showstopper for this development.
Multi-layer resist system for 45-nm-node and beyond: Part II
Author(s):
Yukihiro Fujimura;
Jumpei Morimoto;
Asuka Manoshiro;
Mochihiro Shimizu;
Hideyoshi Takamizawa;
Masahiro Hashimoto;
Hiroshi Shiratori;
Katsuhiko Horii;
Yasunori Yokoya;
Yasushi Ohkubo;
Tomoyuki Enomoto;
Takahiro Sakaguchi;
Masaki Nagai
Show Abstract
The CD requirements for the 45nm-node will become tighter so as it will be difficult to achieve with 65nm node
technologies. In this paper, a method to improve resolution by using DRECE (Dry-etching Resistance Enhancement
bottom-Coating for Eb) will be described. After all, DRECE has five times as high dry-etch resistance than the EB resist,
and this enables to accept higher anisotropic dry etching condition. By optimizing dry etching conditions, the CD
iso-dense bias dropped to 1/3 and the CD shift was reduced to 1/2. Also, there was no negative effect to CD uniformity.
From these results, we propose the use of DRECE for the 45nm-node technology.
Current status of Mo-Si multilayer formation in ASET for low-defect-density mask blanks for EUV lithography
Author(s):
Kenji Hiruma;
Yuusuke Tanaka;
Shinji Miyagaki;
Hiromasa Yamanashi;
Iwao Nishiyama
Show Abstract
To find the most suitable setup of the Mo and Si targets and substrate for the formation of Mo-Si multilayers with a low defect density, three deposition configurations (upward, horizontal, and off-axis) for magnetron sputtering (MS) were studied. It was found that the horizontal configuration yielded the lowest defect count and was also the best for ion beam sputtering (IBS). A defect density as low as 1 defect/cm2 has been achieved for Mo-Si multilayers grown by IBS or MS. A new approach to reducing the thickness of the interface layer between Mo and Si layers that involves the use of an assisted ion beam (AIB) was found to be effective. Transmission electron microscopy revealed that, during MS, AIB treatment of a Si surface before deposition of a Mo layer reduced the thickness of the interface layer to zero. Angle-dependent X-ray diffraction measurements of multilayers showed sharp reflection peaks, indicating considerable improvement in the interface structure.
Predicting the influence of trapped particles on EUVL reticle distortion during exposure chucking
Author(s):
Vasu Ramaswamy;
Kevin T. Turner;
Roxann L. Engelstad;
Edward G. Lovell
Show Abstract
Among the potential sources of image placement (IP) error for extreme ultraviolet lithography (EUVL) is the
deformation of the mask during electrostatic chucking. This paper focuses on the in-plane and out-of-plane distortion of
the EUVL reticle due to the entrapment of particles. Localized finite element (FE) models have been developed to
simulate the micro response of the reticle / particle / chuck system. To identify the macro response, global FE models
have been generated to simulate the system under typical chucking conditions. Parametric studies were performed to
illustrate the effect of particle size on the final IP accuracy.
Experimental verification of finite element model prediction of EUVL mask flatness during electrostatic chucking
Author(s):
Madhura Nataraju;
Jaewoong Sohn;
Andrew R. Mikkelson;
Kevin T. Turner;
Roxann L. Engelstad;
Chris K. Van Peski
Show Abstract
Stringent flatness requirements have been imposed for the front and back surfaces of extreme ultraviolet
lithography masks to ensure successful pattern transfer within the image placement error budget. During exposure, an
electrostatic chuck will be used to support and flatten the mask. It is therefore critical that the electrostatic chucking
process and its effect on mask flatness be well-understood. The current research is focused on the characterization of
various aspects of electrostatic chucking through advanced finite element (FE) models and experiments. FE models that
use flatness measurements of the mask and the chuck to predict the final flatness of the pattern surface have been
developed. Pressure was applied between the reticle and chuck to simulate electrostatic clamping. The modeling results
are compared to experimental data obtained using a bipolar Coulombic pin chuck. Electrostatic chucking experiments
were performed in a cleanroom, within a vacuum chamber mounted on a vibration isolation cradle, to minimize the
effects of particles, humidity, and static charges. During these experiments, the chuck was supported on a 3-point
mount; the reticle was placed on the chuck with the backside in contact with the chucking surface and the voltage was
applied. A Zygo interferometer was used to measure the flatness of the reticle before and after chucking. The FE
models and experiments provide insight into the electrostatic chucking process which will expedite the design of
electrostatic chucks and the development of the SEMI standards.
Evaluation of bi-layer TaSix absorber on buffer for EUV mask
Author(s):
Koichiro Kanayama;
Shinpei Tamura;
Yasushi Nishiyama;
Masashi Kawashita;
Tadashi Matsuo;
Akira Tamura;
Susumu Nagashige;
Kenji Hiruma;
Doohoon Goo;
Iwao Nishiyama
Show Abstract
We evaluated TaSix-based bi-layer absorber on ZrSi-based buffer for EUV mask, especially
considering the possibility of ZrSi-based film as a combined buffer and capping layer. Since
ZrSi-based film has both high dry-etching resistance and EUV transparency, it has potentiality to
work as a combined capping and buffer layer. AFM machining repair of bi-layer TaSix absorber
on ZrSi-based buffer can be performed to good profile. Printing evaluation showed that
over-repair into buffer layer did not affect significantly to wafer CD. FIB (10keV) repair of
bi-layer TaSix absorber on ZrSi-based buffer needs improvement for side-wall profile and
distinguishable evaluation from implanted Ga+ effect in more detail. Effect of FIB (10keV) scan
with ordinary repair process seems to be at least smaller than 10%.
Measuring force uniformity in electrostatic chucking of EUVL masks
Author(s):
Jaewoong Sohn;
Sathish Veerarghavan;
Kevin T. Turner;
Roxann L. Engelstad;
Chris K. Van Peski
Show Abstract
Electrostatic chucks are used to support and flatten extreme ultraviolet lithography (EUVL) masks during exposure
scanning. Characterizing and predicting the capability of electrostatic chucks to reduce mask nonflatness to meet the
required specifications are critical issues. Previous research has assumed that the electrostatic force is uniform over the
entire chucking area; however, recent results from chucking experiments suggest this may not be the case. Quantifying
the spatial nonuniformity in electrostatic force is critical for the understanding and modeling of electrostatic chucking of
masks in EUVL systems. The present research proposes a novel approach to identify the local electrostatic pressure, by
analyzing experimental interferometric data and comparing it to analytical and finite element modeling results. The
local analysis can be expanded to a global prediction spanning the entire electrostatic chucking surface.
Dependency of EUV mask defects on substrate defects
Author(s):
Sean Eichenlaub;
Abbas Rastegar;
Vivek Kapila;
Yoshiaki Ikuta;
Pat Marmillion
Show Abstract
Extreme ultraviolet (EUV) mask blanks must have nearly zero defects larger than 30 nm. Mask blank defects are an accumulation of defects present on the substrate, defects added during the multilayer (ML) deposition process, and defects added by handling the mask blank. A majority of the detectable defects are already present on the substrate before the ML deposition. However, very few of the defects present on the substrate before the ML deposition are detectable. This raises the question of whether the substrate's surface condition contributes to the total number of defects on the mask blank. Here the results of investigations on the relation between the total number of defects on the multilayer and the substrate surface condition are presented. The final surface condition is determined by the mask cleaning process. Correlation studies between defect maps before and after multilayer deposition are presented, and the relation between final defect size on the multilayer and substrate are discussed. SEMATECH's Mask Blank Development Center (MBDC) has a unique capability to characterize the surface of EUV glass substrates by atomic force microscopy (AFM), scanning electron microscopy (SEM), surface energy measurement, and zeta potential metrology. A series of experiments were performed in which different cleaning processes were used to modify the substrate surface condition before multilayer deposition. The effect of the cleaning process on the number of pits and particles after ML deposition was examined. The results indicate that although there is a direct relationship between the number of defects remaining on the substrate and mask blank defects after multilayer deposition, the variation in the total number of defects on the mask blank mainly corresponds to pits and particles already present on the substrate before cleaning and are not the result of the cleaning processes that were used before multilayer deposition.
Rigorous FEM simulation of EUV masks: influence of shape and material parameters
Author(s):
Jan Pomplun;
Sven Burger;
Frank Schmidt;
Lin Zschiedrich;
Frank Scholze;
Christian Laubis;
Uwe Dersch
Show Abstract
We present rigorous simulations of EUV masks with technological imperfections like side-wall angles and corner roundings. We perform an optimization of two different geometrical parameters in order to fit the numerical results to results obtained from experimental scatterometry measurements. For the numerical simulations we use an adaptive finite element approach on irregular meshes. This gives us the opportunity to model geometrical structures accurately. Moreover we comment on the use of domain decomposition techniques for EUV mask simulations. Geometric mask parameters have a great influence on the diffraction pattern. We show that using accurate simulation tools it is possible to deduce the relevant geometrical parameters of EUV masks from scatterometry measurements. This work results from a collaboration between AMTC (mask fabrication), Physikalisch-Technische Bundesanstalt (scatterometry) and ZIB/JCMwave (numerical simulation).
Interaction forces on mask surfaces relevant to EUV lithography
Author(s):
R. Hübner;
S. Eichenlaub;
A. Rastegar;
R. Geer
Show Abstract
Due to the increasing impact of smaller particles, mask cleaning continues to become more and more challenging in EUV lithography. To improve mask cleaning efficiency, advances in the fundamental understanding of the interaction between defect particles and mask surfaces are necessary. For this reason, surface force measurements were performed with an atomic force microscope on various mask surfaces relevant to EUV lithography. Experiments in air were carried out to illustrate particle interaction during mask transport and storage, while measurements in deionized ultrapure water were undertaken to investigate the influence of a basic cleaning chemistry. The effects of particle size were studied using SiNx tips with a nominal radius of 10 nm and spherical SiO2 probes with a radius of 500 nm. Particle interactions with mask surfaces in air were characterized by adhesion. Due to comparable surface roughness and surface chemistry, adhesion forces of a quartz mask substrate and a mask blank were similar. However, for a SiO2 sphere, the absolute values of the measured adhesive forces were greater than for a conventionally fabricated SiNx tip consistent with the probes' relative radii. Using a quartz mask substrate and deionized water as the intervening medium, the probe-substrate interaction observed was no longer characterized by attraction, but dominated by repulsive forces and hence potentially advantageous for cleaning purposes.
Process development for EUV mask production
Author(s):
Tsukasa Abe;
Akiko Fujii;
Shiho Sasaki;
Hiroshi Mohri;
Naoya Hayashi;
Tsutomu Shoki;
Takeyuki Yamada;
Osamu Nozawa;
Ryo Ohkubo;
Masao Ushida
Show Abstract
Absorber layer patterning process for low reflectivity tantalum boron nitride (LR-TaBN) absorber layer and
chromium nitride (CrN) buffer layer were improved to satisfy high resolution pattern and high level critical dimension
(CD) control. To make 100nm and smaller pattern size, under 300nm resist thickness was needed because of resist
pattern collapse issue. We developed absorber layer dry etching process for 300nm thickness resist. Absorber layer
patterning was done by a consequence of carbon fluoride gas process and chlorine gas process. We evaluated both gas
processes and made clear each dry etching character. Sufficient resist selectivity, vertical side wall, good CD control and
low buffer layer damage were obtained. Then, we evaluated how buffer layer dry etching affects EUV reflectivity.
Finally, we evaluated EUV mask pattern defect inspection and defect repair. Sufficient contrast of mask pattern image
and good repair result were obtained using DUV inspection tool and AFM nano-machining tool, respectively.
OPC to account for thick mask effect using simplified boundary layer model
Author(s):
Sangwook Kim;
Young-Chang Kim;
Sungsoo Suh;
Sook Lee;
Sungwoo Lee;
Sukjoo Lee;
Hanku Cho;
Jootae Moon;
Jonathan Cobb;
Sooryong Lee
Show Abstract
We present simplified symmetric boundary layer model (BLM) for Optical Proximity Correction (OPC) in order to account for thick (or 3D or topographic) mask effect. In this approach, near-field mask image which is quite different from original mask pattern due to mask topography is approximated as the original pattern and boundary layer around it. In this work, the boundary layer is determined as such that residual critical dimension (CD) error between measured CD and modeled CD from the BLM is minimized for various types of features. In case of sub-50 nm memory patterning, this BLM shows sufficient accuracy that root mean square of the residual CD is as small as 4.3 nm. Also, OPC speed with BLM is reasonably fast as the OPC time with BLM increases as only around twice as the conventional OPC time without BLM, which is acceptable in practice.
45-32-nm node photomask technology with water immersion lithography
Author(s):
Takashi Adachi;
Yuichi Inazuki;
Takanori Sutou;
Yasutaka Morikawa;
Nobuhito Toyama;
Hiroshi Mohri;
Naoya Hayashi
Show Abstract
As for 32-nm node (minimum half pitch 45-nm) logic device of the next generation, the leading semiconductor
device makers propose the following three kinds of lithography techniques as a candidate, multi-exposure with water
immersion lithography. So we will evaluate them.
In previous work, we evaluated the resolution limit and printing performance through various pitches of 45-nm
node (minimum half pitch 65-nm) lithography. We evaluated the alternate aperture phase shift mask(alt-PSM) of NA=0.93
(dry and immersion) and various resolution enhancement technologies (RETs) with off-axis and polarized illumination of
NA=1.07(water immersion). The minimum k1 examined at previous time was 0.31 and 0.39 respectively. To achieve 32-nm
node of the next generation with water immersion lithography, we must use higher NA but yet severe k1. The combination
of the strong RET, polarization and multi-exposure is thought to be required. In order to resolve severe k1 (<0.3), the double
patterning is thought as a promising candidate technology, though the disadvantageous points will appear such as very
severe alignment accuracy and the twice process of wafer. In this report, we will discuss some RETs such as double dipole
lithography(DDL), double patterning lithography(DPL) and alt-PSM that have sufficient printing performance through
various pitches of 32-nm node. We evaluate the effect and the performance of the selected lithography side RETs and mask
material RETs for each, using optical simulation software.
Study of chromeless mask quartz defect detection capability for 80-nm post structure
Author(s):
Jerry Lu;
Boster Wang;
Frank F. Chen;
Orion Wang;
Jomarch Chou;
Orson Lin;
Jackie Cheng;
Ellison Chen;
Paul Yu
Show Abstract
Chrome-less Phase Lithography (CPL) was introduced as a potential strong Resolution Enhancement Technology (RET) for 90nm to 65nm node critical layers. One of the important issue with trench type chrome-less mask manufacturing for post structure is quartz defect detection capability. This study will focus on half pitch 80nm (1X) design node and apply different trench sizes and programmed defect sizes. All test patterns will be inspected on KLA-Tencor TeraScan576 inspection tool with both standard Die-to-Die (DD) and TeraPhase DD inspection modes to determine defect detection capability. All programmed defects will also be simulated on the Zeiss AIMS Fab-193 to determine wafer CD error. Finally, we will establish the relationship between trench size, defect detection capability and printability, and summarize the chrome-less mask quartz defect detection capability for 80nm post structure application.
Single pass die-to-database tritone reticle inspection capability
Author(s):
Bryan Reese;
Jan Heumann;
Norbert Schmidt
Show Abstract
Tritone reticle designs present many challenges for both photomask manufacturers and defect inspection equipment suppliers. From a fabrication standpoint, multi-write and process steps for tritone layers add levels of complexity and increased cost not encountered with most traditional binary (two tone) masks. For inspection tools, the presence of three distinctive light levels presents a challenge for algorithms originally designed to inspect gray scale data between two tones (black and white): especially for database transmitted light modes. While most die-to-die and STARlightTM inspections on tritone reticles produce successful results using binary algorithms, database inspections typically require two separate recipes to reveal all lithographically significant defects. With this dual-inspection technique, DNIR (Do Not Inspect Regions) are often added to eliminate the presence of third tone (typically Chrome) features: a process that adds considerable time to recipe creation. Additional workarounds when using binary inspection algorithms include implementing special light calibration techniques during setup in an effort to minimize nuisance defects caused by the presence of a third tone. As a result of these workarounds, reticle throughput is either reduced or sensitivity compromised when using binary database inspection algorithms on tritone reticles. This paper examines the benefits of using a tritone database inspection algorithm from both productivity and sensitivity standpoints as compared to results obtained from using the aforementioned workarounds and existing binary inspection modes. The results and conclusions contained within are based on data obtained from standard test vehicles and a variety of tritone production reticles.
Development of next-generation mask inspection method by using the feature of mask image captured with 199-nm inspection optics
Author(s):
Yoshitake Tsuji;
Nobutaka Kikuiri;
Shingo Murakami;
Kenichi Takahara;
Ikunao Isomura;
Yukio Tamura;
Kyoji Yamashita;
Ryoichi Hirano;
Motonari Tateno;
Kenichi Matsumura;
Naohisa Takayama;
Kinya Usuda
Show Abstract
We have developed a mask inspection system using 199nm inspection light wavelength. This system performs
transmission and reflection inspection processes concurrently within two hours per plate. By the evaluation result of
mask images and inspection sensitivity, it is confirmed that the 199nm inspection system has the advantage over the
system using 257nm and has the possibility corresponding to next generation mask inspection. Furthermore, advanced
die-to-database (D-DB) inspection, which can generate high-fidelity of a reference image based on the CAD data for
alternating phase shift mask (PSM) or tri-tone, is required for next generation inspection system, too. Therefore, a
reference image generation method using two-layer CAD data has been developed. In this paper, the effectiveness of
this method is described.
A cost model comparing image qualification using test wafer and direct mask inspection
Author(s):
Kaustuve Bhattacharyya;
Viral Hazari;
Doug Sutherland;
Tatsuhiko Higashiki
Show Abstract
This paper has been updated on April 30, 2007 with new information in Figure 2 and Section 4.
Litho-cluster cycle time will drive the economics for fabs even harder at nodes 65nm and below. Any methods or techniques that can reduce this litho-cluster cycle time need to be looked at seriously. Besides running production, a small part of the litho-cluster time is also used to expose test wafers for mask qualification on a periodic interval. Incoming mask inspections as well as periodic mask inspections (re-qualification) in advanced wafer fabs are a necessity to prevent yield loss from progressive mask defect problems (such as crystal growth or haze), traditional reticle contamination, ESD and migrating defects (from non-critical to critical location on mask). This mask inspection can be achieved via two methods. The first method is indirect, commonly known as image qualification, where a mask is being exposed followed by the inspection of the printed wafer to detect if there is any repeater on the wafer or not. The other method of mask inspection is direct mask inspection (such as STARlightTM). A lot has been written on the technical advantages of direct mask inspection over image qualification. This technical report discusses a cost model developed to compare the financial impact of image qualification to direct mask inspection like STARlight. In this model all the inspection and process tool costs are included as well as turn-around-time (TAT) at the litho-cluster for image qualification and TAT for STARlight. Then, the inspection cost and the opportunity cost (for using litho-cluster to expose test wafers other than production wafers) are combined and the net effect is compared. The goal is to find the most cost effective way to do mask qualification in advanced wafer fabs.
Inspectability and printability of lines and spaces halftone masks for the advanced DRAM node
Author(s):
Arndt C. Dürr;
Karsten Gutjahr;
Jan Heumann;
Martin Stengl;
Frank Katzwinkel;
Andreas Frangen;
Thomas Witte
Show Abstract
With decreasing pattern sizes the absolute size of acceptable pattern deviations decreases. For mask-makers a
new technology requires a review, which mask design variations print on the wafer under production illumination
conditions and whether these variations can be found reliably (100%) with the current inspection tools. As
defect dispositioning is performed with an AIMS-tool, the critical AIMS values, above which a defect prints
lithographically significant on the wafer, needs to be determined. In this paper we present a detailed sensitivity
analysis for programmed defects on 2 different KLA 5xx tools employing the pixel P90 at various sensitivity
settings in die-to-die transmitted mode. Comparing the inspection results with the wafer prints of the mask
under disar illumination it could be shown that all critical design variations are reliably detected using a state-of-the-art tool setup. Furthermore, AIMS measurements on defects with increasing defect area of various defect
categories were taken under the same illumination conditions as for the wafer prints. The measurements were
evaluated in terms of AIMS intensity variation (AIV). It could be shown that the AIMS results exhibit a linear
behavior if plotted against the square-root area (SRA) of the defects on the mask as obtained from mask SEM
images. A consistent lower AIV value was derived for all defect categories.
Mask defect imaging system using backscattered electron images
Author(s):
Katsuyuki Takahashi;
Masashi Ataka;
Takao Namae
Show Abstract
The optical inspection system has been applied for mask inspection. The small but fatal detects on the mask can not be detected minutely by the optical system because of the limitations of optical resolution. We have developed the Defect Imaging System (DIS-05) using Backscattered Electron Images (BSI). DIS-05 is composed of 3 units: (1) SEM with a newly developed Backscattered Electron detector, (2) CAD computer to create CAD Image and, (3) Main computer to control the SEM and CAD computer. One of key technologies for DIS-05 is the technique of detecting BSI at a high contrast. Moreover, we herewith describe "Superimposed Image", which compares BSI with CAD one. Finally, we also report the possibility of detecting "haze on masks" using DIS-05.
Optimization of development process using after develop inspection in mask manufacturing
Author(s):
Hyun Young Kim;
Dae Ho Hwang;
Sang Pyo Kim;
Oscar Han;
Ki Hun Park;
Nam Wook Kim;
David Kim
Show Abstract
As the design rule continues to shrink towards 65nm and beyond, the defect criteria is becoming ever more challenging.
The pattern fidelity and reticle defects that were once considered as insignificant or nuisance are now migrating to
yield-limiting. As a result, it is important to conduct After Develop Inspection (ADI) to identify where in the process the
small contamination and particles originate from. The intent of this study is to identify the defect source by utilizing
KLA-Tencor's SLF die-to-die reflected light mode and ADI algorithm for the post development resist layer inspection.
Defining defect specifications to optimize photomask production and requalification
Author(s):
Peter Fiekowsky
Show Abstract
Reducing defect repairs and accelerating defect analysis is becoming more important as the total cost of defect repairs
on advanced masks increases. Photomask defect specs based on printability, as measured on AIMS microscopes has
been used for years, but the fundamental defect spec is still the defect size, as measured on the photomask, requiring the
repair of many unprintable defects.
ADAS, the Automated Defect Analysis System from AVI is now available in most advanced mask shops. It makes the
use of pure printability specs, or "Optimal Defect Specs" practical. This software uses advanced algorithms to eliminate
false defects caused by approximations in the inspection algorithm, classify each defect, simulate each defect and
disposition each defect based on its printability and location.
This paper defines "optimal defect specs", explains why they are now practical and economic, gives a method of
determining them and provides accuracy data.
The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data
Author(s):
Zhe Xu;
M. G. Peng;
Lin Hsin Tu;
Cedric Lee;
J. K. Lin;
Jian Feng Jan;
Alb Yin;
Pei Wang
Show Abstract
Nowadays, most foundries have paid more and more attention in order to reduce the CD width. Although the lithography technologies have developed drastically, mask data accuracy is still a big challenge than before. Besides, mask (reticle) price also goes up drastically such that data accuracy needs more special treatments.We've developed a system called eFDMS to guarantee the mask data accuracy.
EFDMS is developed to do the automatic back-check of mask tooling database and the data transmission of mask tooling. We integrate our own EFDMS systems to engage with the standard mask tooling system K2 so that the upriver and the downriver processes of the mask tooling main body K2 can perform smoothly and correctly with anticipation.
The competition in IC marketplace is changing from high-tech process to lower-price gradually. How to control the reduction of the products' cost more plays a significant role in foundries. Before the violent competition's drawing nearer, we should prepare the cost task ahead of time.
Distributed computing in mask data preparation for 45-nm node and below
Author(s):
Weidong Zhang;
Emile Sahouria;
Steffen Schulze
Show Abstract
Data Preparation for photomask manufacturing is characterized by computational complexity that grows faster than the
evolution of computer processor ability. Parallel processing generally addresses this problem and is an accepted
mechanism for preparing mask data. One judges a parallel software implementation by total time, stability and
predictability of computation. We apply several fundamental techniques to dramatically improve these metrics for a
parallel, distributed MDP system. This permits the rapid, predictable computation of the largest mask layouts on
conventional computing clusters.
Incoming database verification and management for mask data preparation
Author(s):
Frank F. Chen;
Casper W. Lee;
Jason C. Lin
Show Abstract
We have developed a database verification and management system to ensure incoming database accuracy before mask
data prep (MDP) and optimize computing resource. The system includes incoming database pre-check (IDPCS),
backup/restore management functions and CPU resource management, which is queue manager system (QM). To ensure
database accuracy through pre-check function can reduce data confirmation time and prevent data prep re-work if using
un-excepting database. CPU resource management function has the possibility to optimize computing resource.
Backup/Restore function presents automatic archive concept for data management.
Parallel processing of layout data with selective data distribution
Author(s):
Mark Pereira;
Nitin Bhat;
Preethi Srinivas
Show Abstract
With the increase in layout data (GDSII) size due to finer geometries and resolution enhancement techniques such as Optical Proximity Correction (OPC) and Phase Shift Mask (PSM), layout data is proving to be too voluminous to process by single CPU machines. Post-layout tools have now moved towards distributed computing techniques to process this data more efficiently in terms of speed. Typical distributed computing architectures involve distributing the layout data to various workstations and then each workstation processing its part of the data in parallel. This approach will work well provided the amount of data that is to be distributed is not too large. As the size of the layout data is increasing significantly, the time taken to transfer the layout data between the workstations is turning out to be a major bottleneck. This bottleneck gets further highlighted because the time taken for actual operations gets almost linearly scaled down through employing higher number of workstations in the distributed computing environment and also because the clock speed of the workstations get continuously improved.
The focus of this paper is on a smart way of distributing the layout data so that the amount of redundant data transfer is significantly reduced. This is achieved by selective data distribution wherein the layout data is fragmented and each workstation is provided with minimal and sufficient layout information for it to determine the actual fragments required for its processing.
Advanced manufacturing rules check (MRC) for fully automated assessment of complex reticle designs: Part II
Author(s):
J. A. Straub;
D. Aguilar;
P. D. Buck;
D. Dawkins;
R. Gladhill;
S. Nolke;
J. Riddick
Show Abstract
Advanced electronic design automation (EDA) tools, with their simulation, modeling, design rule checking, and optical proximity correction capabilities, have facilitated the improvement of first pass wafer yields. While the data produced by these tools may have been processed for optimal wafer manufacturing, it is possible for the same data to be far from ideal for photomask manufacturing, particularly at lithography and inspection stages, resulting in production delays and increased costs. The same EDA tools used to produce the data can be used to detect potential problems for photomask manufacturing in the data.
In the previous paper, it was shown how photomask MRC is used to uncover data related problems prior to automated defect inspection. It was demonstrated how jobs which are likely to have problems at inspection could be identified and separated from those which are not. The use of photomask MRC in production was shown to reduce time lost to aborted runs and troubleshooting due to data issues.
In this paper, the effectiveness of this photomask MRC program in a high volume photomask factory over the course of a year as applied to more than ten thousand jobs will be shown. Statistics on the results of the MRC runs will be presented along with the associated impact to the automated defect inspection process. Common design problems will be shown as well as their impact to mask manufacturing throughput and productivity. Finally, solutions to the most common and most severe problems will be offered and discussed.
Load balancing using DP management server for commercial MDP software
Author(s):
Jong-Won Kim;
Won-Tai Ki;
Sung-Hoon Jang;
Ji-Hyun Choi;
Seong-Woon Choi;
Woo-Sung Han
Show Abstract
Mask data volume is dramatically increasing by using RET like OPC due to scaling design rule. This has become a burden to MDP and a barrier to TAT. Mask manufacturers have been making various efforts to solve this problem. Although Distribute processing (DP) is one of effective solutions, there remain some limitations: DP needs a lot of CPUs and software license copies, and its management is not efficient at all. Most of well-known mask data preparation (MDP) commercial software require different licenses to each format. Besides, they have no management function to deal with the entire distribute processing system, on account of which user's DP system is not in a fully dynamic state. Those who use commercial MDP software set up their DP system with CPUs fixed by the number of licenses. If user has only one license, this problem does not happen. However, most MDP users have more than one license. If a user wants the DP state which is fully dynamic, he must always consider both the number of licenses and that of available CPUs. This is reason why we have made a DP management server which allocate MDP software to CPUs. It can prevent the loss of CPU time and automate data flow. It's operation is not complicated; effect is powerful. In this paper, we will show advantages in using DP management server and different from other load balance tools.
Advanced CD AFM metrology for 3D critical shape and dimension control of photomask etch processing
Author(s):
Tianming Bao;
Azeddine Zerrade
Show Abstract
The critical dimension (CD) specification of photomask for semiconductor integrated circuit patterning at a 90nm node and below is becoming unprecedentedly stringent. To meet the tight ITRS roadmap requirement, reticle makers have to rely heavily on advanced dimension metrology to characterize and control the processes of novel materials, new structures, and shrinking mask enhancement features. This paper evaluates a new generation of atomic force microscopy (AFM) for imaging and measuring the full three-dimensional (3D) shape of features. Cross-section sidewall profile, linewidth, and depth of etched mask features are evaluated at different steps of the mask making process. The impact of AFM probe characterization on the metrology capability to achieve nanoscale precision and accuracy is quantified. Tip shape parameters and tip wear are evaluated for a variety of mask materials for depth, linewidth, and sidewall profile measurements. The scanning probe based technique provides an absolute and direct measure of mask features anywhere within a plate, regardless of the material characteristics. Representative results on linewidth (for CD control), depth (for phase shift control), and sidewall profile (etch profile control) of etched masks are presented. The CD AFM data can help engineers better characterize and analyze the process and improve process control for mask development and manufacturing.
Introduction of a die-to-database verification tool for mask geometry NGR4000
Author(s):
Michael J. Hoffman;
Tadashi Kitamura;
Kazufumi Kubota;
Toshiaki Hasebe;
Shinichi Nakazawa;
Toshifumi Tokumoto;
Masatoshi Tsuneoka;
Masahiro Yamamoto;
Masahiro Inoue
Show Abstract
The NGR4000 enables high precision verification of mask features, by matching Scanning Electron Microscope (SEM)
images of the mask features to their intended mask design data. The system detects defects in Critical Dimensions
(CDs) and feature placement relative to the large Field of View (FOV). This tool is optimized to determine pattern
fidelity and perform CD measurements with repeatability well ahead of ITRS roadmap requirements. This paper will
show examples and describe the advantages of mass CD measurements, and relative feature placement accuracy as new
technique to define pattern fidelity.
A new algorithm for SEM critical dimension measurements for differentiating between lines and spaces in dense line/space patterns without tone dependence
Author(s):
J. Matsumoto;
Y. Ogiso;
M. Sekine;
T. Iwai;
J. Whittey
Show Abstract
In performing SEM Critical Dimension (CD) measurements on photomasks in dense line and space arrays it is often
difficult to distinguish between whether a feature is a line or space. This is a result of tone shifts that occur affecting
contrast on target images. The inability to reliably differentiate lines and spaces leads to the inclusion of fliers, or
inaccurate measurements into automated measurement results. In an effort to overcome this phenomenon a new
algorithm has been developed to increase the robustness of the CD SEM measurements to insure reliable data
acquisition. This new algorithm takes into account apparent tone reversals on a variety of today's photomask material
types. This paper will detail the various elements of the new algorithm and show before and after test results of
improved recognition performance.
Automated mask qualification with new CD metrology in CATS environment
Author(s):
Herman Boerland;
Ronald J. Lesnick Jr.
Show Abstract
With every new process generation mask complexity and costs continue to increase, driving new requirements for
critical dimension control and mask qualification. Identifying and categorizing features to be measured and verified
during mask qualification has now become critical to ensure high yielding masks. Traditional line width and spacing
measurements are no longer sufficient for CD metrology systems. Next-generation CD-SEM systems and software tools
now include more complex mask metrology requirements including measurements of pitch, "ternary contact", corner
rounding, overlay, and line-edge roughness. Additionally these systems have started providing the capability for
multiple measurement sites within a single field-of-view.
In advanced mask production facilities, mask qualification recipes are commonly generated offline to improve the
quality and efficiency of such qualifications. Offline recipe generation has become even more important since new
process generations require an increasing number of measurements per mask. This paper describes offline recipe
generation procedures using CATSTM marking, also referred to as 'software tools' to utilize the new features of advanced
CD-SEM metrology systems.
Utilize AIMS simulation to estimate profile side-wall angle
Author(s):
Colbert Lu;
C. H. Lin;
C. F. Wang
Show Abstract
In the development of leading-edge devices, high-end mask is required and the spec request from mask users becomes more and more tight and complex. Mask users concern not only CD (critical dimension) uniformity, defect condition, registration/overlay, but also haze issue and better pattern profile. There are lots of items which are included in pattern profile, like line-end shortening, edge roughness, corner rounding and side-wall angle, etc. Mask shop's engineers always need to cut blanks and then get cross-section images at CD-SEM. But it wastes lots of time and money. In this paper we try to find the relationship between mask side-wall angle and simulated aerial image by using Carl Zeiss' Aerial Image Measurement System (AIMSTM-fab). For the further study, we compare three types of E-beam writers and two types of etchers to recognize its effect on side-wall angle.
Multi-point CD measurement method to evaluate pattern fidelity and performance of mask
Author(s):
Munsik Kim;
Hyemi Lee;
Kanjoon Seo;
Dongwook Lee;
Yongkyoo Choi;
Sunghyun Oh;
Oscar Han
Show Abstract
As mask feature size is shrinking, required accuracy and repeatability of mask CD measurement is more severe. CD-SEM which is usually used to measure below 0.5um pattern shows the degradation of repeatability by the sparkle noise. To reduce this, larger ROI (range of interest) is recommended on line and space patterns. But this wide ROI is difficult to use on Hole or isolated patterns. In this paper, anisotropic diffusion filtering method will be introduced to replace the ROI, and evaluated on various patterns such as holes and isolated patterns. It can also reduce the effects of defocus of CD-SEM and enhance the repeatability of CD-SEM. And multi-point CD measurement technique is described to reduce the local CD errors on CD uniformity of mask which is usual on one dimensional CD measurement conventionally. Using these methods, local CD uniformity and global CD uniformity of masks which is the key performance of mask quality can be measured more exactly compared to old CD measurement method. And we can give correct information of mask to reduce global CD uniformity by process tuning such as FEC (Fogging Effect Correction) or development process.
A new critical dimension metrology for chrome-on-glass substrates based on S-parameter measurements extracted from coplanar waveguide test structures
Author(s):
Chidubem A. Nwokoye;
Mona Zaghloul;
Michael W. Cresswell;
Richard A. Allen;
Christine E. Murabito
Show Abstract
The technical objective of the work reported here is to assess whether radio-frequency (RF) measurements made on coplanar
waveguide (CPW) test structures, which are replicated in conducting material on insulating substrates, could be
employed to extract the critical dimension (CD) of the signal line using its center-to-center separation from the groundlines
as a reference. The specific near-term objective is to assess whether this CPW-based CD-metrology has sensitivity
and repeatability competitive with the other metrology techniques that are now used for chrome-on-glass (COG)
photomasks. An affirmative answer is encouraging because advancing to a non-contact and non-vacuum
implementation would then seem possible for this application. Our modeling of specific cases shows that, when the
pitch of the replicated lines of the CPW is maintained constant, the sensitivity of its characteristic impedance to the CDs
of the signal and ground lines is approximately 60 Ω/μm. This is a potentially useful result. For the same
implementation, the quantity ∂C/∂w has a value of approximately 45 (pF/m)/μm, which appears to be large enough to
provide acceptable accuracy.
Revisiting mask contact hole measurements
Author(s):
Masaru Higuchi;
Emily Gallagher;
Daniel Ceperley;
Timothy Brunner;
Reg Bowley;
Anne McGuire
Show Abstract
Contact holes represent one of the biggest critical dimension (CD) mask metrology challenges for 45nm technology mask development. The challenge is a consequence of both wafer and mask sensitivities. Large mask error factors and the small process windows found when contact holes are imaged on wafers impose very tight mask specifications for CD uniformity. The resultant CD error budget leaves little room for mask metrology. Current advanced mask metrology deploys a CD-SEM to characterize the mask contact hole CD uniformity. Measuring a contact hole is complex since it is inherently two-dimensional and is not always well-characterized by one-dimensional x- and y-axis measurements. This paper will investigate contact metrics such as line edge roughness (LER), region of interest (ROI) size, area, and CD sampling methods. The relative merits of each will be explored. Ultimately, an understanding of the connection between what is physically measured on the mask and what impacts wafer imaging must be understood. Simulations will be presented to explore the printability of a contact hole's physical attributes. The results will be summarized into a discussion of optimal contact hole metrology for 45nm technology node masks.
Novel technique for critical dimension measurements of phase-shift masks using broadband transmittance spectra in conjunction with RCWA
Author(s):
Alexander Gray;
John C. Lam;
Stanley Chen
Show Abstract
For the first time Rigorous Coupled Wave Analysis (RCWA) has been applied to the analysis of the transmittance spectra for the determination of critical dimension (CD) of phase-shift photomasks. The use of transmittance spectra proved to be instrumental in improving the sensitivity of the measurement to minor (sub-nanometer) changes in the width of the trench. We present a novel unique metrology solution based on the simultaneous measurement of broadband reflectance and transmittance, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analyses of both types of spectra are performed simultaneously, using Forouhi-Bloomer dispersion equations, in conjunctions with RCWA. The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from 190 - 1000 nm) for all materials present in the structure. In the current study, the method described above was used to examine grating structures on ACI (After-Clean Inspection) phase-shift mask. The use of transmittance spectrum proved to be essential for the accurate measurement of the CD, since the transmittance spectrum is more sensitive to the change in line width, compared to the reflectance spectrum. The results were compared with the measurements taken on the same sample using conventional CD-SEM. The CD linearity study demonstrated excellent correlation with CD-SEM. The advantages of the optical reflectance and transmittance method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process.
A general framework for multi-flow multi-layer multi-project reticles design
Author(s):
Andrew B. Kahng;
Xu Xu
Show Abstract
The aggressive scaling of VLSI feature size and the pervasive use of advanced reticle enhancement technologies
leads to dramatic increases in mask costs, pushing prototype and low volume production designs at the limit
of economic feasibility. Multiple project wafers (MPW), or "shuttle" runs, provide an attractive solution for
such designs, by providing a mechanism to share the cost of mask tooling among up to tens of designs of the
same technology flow. However, delay cost associated with schedule alignment is ignored in previous work. The
saving on mask cost may be easily surpassed by the profit loss due to the schedule alignment. Therefore, Multi-
flow Multi-layer Multi-project Reticles (MFMLMPR) become a more viable mask-cost saving technique for low
volume production since it share the mask cost between different layers of the same design and between designs
of different technology flows. However, MFMLMPR design introduce complexities not encountered in traditional
single-flow or single-layer reticles.
In this paper we propose the first design flow for MFMLMPR aimed at minimizing the total manufacturing
cost (including mask cost, wafer cost and delay cost) to fulfill given die production volumes. Our flow includes
three main steps: (1) schedule-aware project partitioning with multi-flow embedding (2) multi-frame reticle
design, and (3) multi-project frame floorplanning. Our contributions are as follows. For the first step, a fast
iterative matching algorithm is proposed to calculate the mask cost for multi-flow embedding with consideration
of all practical manufacturing costs. We then propose an integer linear programming (ILP) based method for
optimal manufacturing cost minimization. Since ILP suffers from impractically long runtimes when the number
of projects is large, we propose a sliding time window heuristic to exhaustively search the solution space for the
best tradeoff between mask cost and delay cost. For the second step, we propose an ASAP frame embedding
heuristic to minimize the mask cost. Finally, a "generalized chessboard" floorplan with simulated annealing is
proposed to generate more dicing friendly frame floorplans for multi-flow projects, observing given maximum
reticle sizes.
We have tested our flow on production industry testcases. The experimental results show that our schedule-aware
project partitioner yields an average reduction of 58.4% in manufacturing cost. The reduction of mask cost
is around 46.3% compared with use of traditional layer-by layer checking methods. Our generalized chessboard
floorplanner leads to an average reduction of 22.8% in the required number of wafers compared to the previous
best reticle floorplanner.
CP mask optimization for enhancing the throughput of MCC systems
Author(s):
Makoto Sugihara;
Kenta Nakamura;
Yusuke Matsunaga;
Kazuaki Murakami
Show Abstract
The character projection (CP) is utilized for maskless lithography and is a potential for the future photomask manufacture because the CP lithography can project ICs much faster than point beam projection or variable-shaped beam (VSB) projection. In this paper, we present CP mask optimization for multi-column-cell (MCC) systems, in which column-cells can project patterns in parallel with the CP and the VSB, so that their throughput is maximized. This paper presents an MINLP (mixed integer nonlinear programming) model as well as an MIP (mixed integer programming) model for optimizing a CP mask set of an MCC projection system so that projection time is minimized. The experimental results show that our optimization has achieved 71.3% less projection time for a two-column-cell system than that for a single-column-cell (SCC) system. For the two-column-cell system, it has also achieved 42.6% less projection time than a naive CP mask development approach. The experimental results denote that our optimization achieves projection time reduction more than parallelizing two column-cells by virtually increasing logic cells which are placed on CP masks and decreasing VSB projection.
Evaluation of writing strategy with one and two pass on OPC technology using EBM writing system
Author(s):
Chen-Rui Tseng;
Kevin Cheng;
David Lee;
Sheng-Bay Yang;
Chun-Hung Wu
Show Abstract
Evaluation of the writing strategy effects with one and two pass exposed by EBM-4000 variable-shaped e-beam lithography tool is a new class of mask making especially for OPC technology. The EBM-4000 writing system features a variable shaped beam, 50 KeV accelerating voltage, a continuous stage, and incorporates some technologies. Obviously, many examples exist of systems which add parallelism to the exposure process by using multiple pass. The standard writing strategy of EBM-4000 writing system is four pass. We evaluated and confirmed the two pass exposed by EBM-4000 writing system for 90 to 130 nm node successfully. The results of the two pass improved throughput and had excellent performances.
In the present paper, the one and two pass exposed by EBM-4000 writing system has been investigated. The objective of the present work is to direct the performances for several design of OPC verification like serifs and jogs. We will provide the actual measurement data and images obtained on CD-SEM for the OPC pattern exposed with one and two pass. In this paper, the characterization data will also present the applicable results such as resolution, position accuracy, global and local CD uniformity, CD linearity, and roughness. We have evaluated and confirmed the one and two pass writing strategies for the EBM-4000 writing system. The writing strategies are especially desirable for unique properties due to the best conditions of the CAR process.
Self-aligned resist patterning by backside flood exposure in photomask
Author(s):
Taejoong Ha;
Byunggu Gyun;
Oscar Han
Show Abstract
As a minimum pattern size on photomask decreases, a patterning accuracy is very important. Especially pattern repair
needs the perfect positioning accuracy. But the positioning accuracy of equipment stage has its limit and therefore cannot
meet a required accuracy. We can form the resist patterns with no positioning error on Cr or MoSiN patterns of
photomask. We named this process 'the self-aligned resist patterning' and investigated the various patterning
performance. In this process, a photoresist is coated on Cr/MoSiN pattern side and the photomask is exposed to KrF light
on a backside and is developed. The principle of this self-aligned resist patterning is the difference between the
transmittances of Cr and quartz. This self-aligned resist patterning can form the resist patterns on sub-patterns of Cr or
MoSiN which had been formed on photomask. First of all, the alignment accuracy of this process is perfect and the
alignment error is zero.
Precise and high-throughput femtopulse laser mask repair of large defects
Author(s):
Roy White;
Jeff LeClaire;
Tod Robinson;
Andrew Dinsdale;
Ron Bozak;
David A. Lee
Show Abstract
As mask complexity has increased and design rules continued to shrink, the manufacturing cost per mask has steadily
increased as well. Studies also show that defects are the number one issue for mask yield. Smaller defects are typically
addressed through process development, or through photomask repair. The occurrence of large defects often may only
be further reduced through use of expensive clean room improvements, like SMIF handling systems. The impact of each
large defect therefore increases while the feasibility in their repair decreases as they can span a large number of adjoining
densely packed patterns. The presence of sub-resolution features such as scatter bars and the increasing use of embedded
phase-shifting masks also complicates the timely repair of such defects.
Existing mask repair techniques such as nanomachining, electron beam, or focused ion beam are challenged to produce
high yield repairs on such large defects within a reasonable timeframe. Often very complex repairs may in fact take
longer than a rewrite of the mask! Deep UV (DUV) femtosecond pulse laser mask repair provides a unique solution to
this defect repair need.
Methods and results are discussed for the process optimization for the removal of large (5μm) area repair on both Cr and
MoSi absorber films on quartz. Additionally, high repair throughput results are shown for unknown contamination
removal, and reproduction of ≥1 μm complex unconnected patterns in a single repair run lasting a matter of minutes.
Closed-loop CD feedback in-situ with the iterative repair process for such structures can readily result in an edge
placement control within ±15 nm. Prior iterative CD closed-loop repairs on specific structures have reliably yielded
results within ±10 nm, as confirmed by AIMS CD error, even after aggressive mask wet clean. The nanometer scale
dimensional resolution and repeatability of such repairs is shown with the use of sub-pixel resolution automated pattern
reconstruction using integrated high-NA DUV microscope imaging.
Advanced femtosecond DUV laser mask repair tool for large area photomasks
Author(s):
Leon Treyger;
Jon Heyl;
Michael Fink;
Iztok Koren;
Yonggang Li;
Donald Ronning;
Farrell Small;
Bin Xian
Show Abstract
In this paper we report for the first time on the development and performance of commercially available large area
photomask repair tools of the MRT series. MRT+1500 / MRT+2000 are advanced laser-based tools specifically designed
to repair high quality FPD (LCD & PDP) binary photomasks of Generations 6, 7, and beyond. MRT+2000 is the world's
first commercial tool capable of handling and repairing Gen 7 photomasks with sizes up to two meters. Another unique
feature of these tools is that they use a single DUV femtosecond laser to repair both opaque and clear defects with submicron
laser spot size while a proprietary gantry motion system supports nanometer-scale accuracy and stability for edge
lock. Key tool specifications, system architecture, design parameters, and laser processing specifics are discussed.
The specification of the 45-nm node photomask repair process
Author(s):
Moon Gyu Sung;
Sungmin Huh;
Byung Cheol Cha;
Sungwoon Choi;
Woosung Han
Show Abstract
The shrink of device node to 65 and 45nm node masks mask manufacturers paying their attention to repair process in
terms of mask cost efficiency. Thus, it is very important to define the repair performance accurately and introduce
adequate tools timely. Usually the repair performance has been expressed as an edge placement error, transmittance
change and quartz damage. We have used the measuring tools such as CD SEM, AFM and AIMS to measure those
factors and the 2D simulator, Solid C to predict the repair performance. In this case, 3D topographical effect is not
considered. However, the 3D topography of pattern becomes quite important for 45 nm node or less.
ArF immersion lithography is the strongest candidate for the 45 nm node. The immersion technology makes it
possible to use of hyper NA systems1. Hyper NA will increase the polarization effect of illumination source2. Therefore,
the topography of pattern is quite important with respect to the intensity and the polarization of various diffraction
orders. This paper presents repair specifications based on the Solid E 3D simulator of the 45 nm node.
Thermal modeling of photomask precision baking system
Author(s):
Koji Matsubara;
Mutsuo Kobayashi;
Simon Rack;
Shinsuke Miyazaki;
Toshiya Ikeda
Show Abstract
Baking processes are widely acknowledged as being crucial steps in Photomask manufacture, and in particular, the Post-Exposure Bake (PEB) is regarded as the most critical. For 45nm-node Photomasks, and subsequent technology generations, the performance requirements for baking systems significantly exceed those of currently-available equipment. In comparison with Silicon Wafers, Photomask substrates, (typically 6inch square quartz), exhibit markedly different thermal properties. These differences conspire to make Photomask precision baking far more difficult than is the case for wafers.
Multi-zone heating systems have been developed, and in principle offer a practical tuning method allowing better surface temperature uniformity of Photomasks during critical bake steps to be achieved. The best of these systems compensate, to some extent, for multiple causes of temperature non-uniformity within the baking system.
Generally however, the root causes of temperature non-uniformity in the baking process have not all been identified, still less eliminated, and thus there remains a limit to the degree of control of Photomask surface temperature which can be achieved.
In this study, we devised a "Thermal model" of the Photomask baking process. This model has enabled us to identify root causes of non-uniformity of Photomask surface temperatures, as well as providing a quantitative way of assessing how Photomask baking systems may be improved. We present simulation results from the model, as well as actual test data measured by sensor array plate.
More evolved PGSD (proximity gap suction developer) for controlling movement of dissolution products
Author(s):
Hideaki Sakurai;
Yukio Oppata;
Koji Murano;
Mari Sakai;
Masamitsu Itoh;
Hidehiro Watanabe;
Hideo Funakoshi;
Kotaro Ooishi;
Yoshiki Okamoto;
Masatoshi Kaneda;
Shigenori Kamei;
Naoya Hayashi
Show Abstract
PGSD is one of the solutions as a developer of 70 nm node generation mask fabrication. To make 55 nm node generation mask, CD error induced by loading effect (loading-effect-induced CD error) must be reduced. As is generally known, primary cause of loading effect is dissolution products that hinder the progress of development.
We think that it is the key in development technology to control movement of dissolution products and to disperse dissolution products uniformly for minimizing the loading-effect-induced CD error.
In this paper, we propose a new concept and procedure to optimize the movement direction and the amount of dissolution products.
No-forbidden-pitch SRAF rules for advanced contact lithography
Author(s):
Ching-Heng Wang;
Qingwei Liu;
Liguo Zhang;
Chi-Yuan Hung
Show Abstract
To achieve advanced contact layer printing, there always are two key factors need to be handled: resolution and
through-pitch common process window. Among all solutions, the most common approach is off-axis illumination
(OAI) + attenuated phase-shift mask (att-PSM) + sub-resolution assistant features (SRAF). With adequate/high
Numerical Aperture (NA) and OAI settings of the leading edge scanners, the resolution should not be a problem,
while even with att-PSM + SRAF, the through-pitch common photo process window still leaves much to be desired.
This phenomenon is due to the existence of forbidden pitch - under certain illumination condition, there always exists
a pitch range which has no spacing for insertion of SRAF while contrast is still poor and needs some special treatment
to enhance the image qualities.
This invention and study is to use special SRAF, we call DAF (Diagonal sub-resolution Assistant Feature), to enhance
the process performance of forbidden pitches. The main methodology is to select the so-called "forbidden-pitch"
structures from the whole database, then apply our DAF rules. After that, apply Conventional sub-resolution Assistant
Feature (CAF) rules on post-DAF full-chip database, finally comes OPC treatment. With this approach, we
demonstrate excellent results on 65nm contact layer, showing no forbidden pitch and sufficient large through-pitch
photo common process window via simple OAI (ArF, 0.82NA, 1/2Ann.) + att-PSM + SRAF.
Accounting for lens aberrations in OPC model calibration
Author(s):
Laurent Depre;
Christopher Cork;
Martin Drapeau
Show Abstract
Whenever an OPC calibration wafer is exposed, there will be an unavoidable and perhaps non-representative level of
aberration at that part of the exposure field corresponding to where the calibration pattern is written on the mask. In
practice these aberrations values will vary across both the field and from exposure tool to exposure tool. The OPC
engineer is therefore faced with the question of whether the aberrations specific to this part of the reticle field and hence
lens should be taken into account during model fitting. Methodologies have been developed to allow OPC model
calibration when the aerial image is asymmetric either due to the test pattern or the aberrations in the lens that lead to
this. This will be referred to as asymmetry aware model calibration. These methodologies allow asymmetric test
structures to be added to the calibration set to allow greater pattern coverage and therefore allow for a better overall
model fit. Asymmetric calibration structures tend also to be particularly sensitive to asymmetric lens aberrations such as
Coma. The question becomes whether the calibration fit should include asymmetric structures and hence account for
coma, or consider only symmetrical, coma-insensitive structures when doing a model fit.
The paper will investigate, using actual model calibration measurement data the suitability of accounting for model
coma in an actual OPC model calibration.
Correlation between OPC model accuracy and image parameters
Author(s):
Chidam Kallingal;
Norman Chen
Show Abstract
Performing MBOPC (model based Optical Proximity Correction) on layouts is an essential part of patterning advanced integrated circuits. With constantly shrinking CD tolerance and tighter ACLV budgets, the model has to be accurate within a few nanometers. The accuracy of a model in predicting wafer behavior dictates the success of the patterning process. Model calibration is a critical procedure to provide an accurate correlation between design and wafer features. The model calibration process consists of arriving at a variable threshold polynomial as a function of aerial image parameters -intensity maximum (Imax), intensity minimum (Imin), Slope, curvature etc.. In this paper, a strong correlation between the accuracy of the model and the image parameters is demonstrated. Data from model calibration of two different layers in 65nm technology node will be shown to demonstrate the dependence of model accuracy on aerial image parameters. Data show that accuracy of the model degrades a function of resolution, i.e. features with low Imax, low slope and low contrast are difficult to model than higher resolution features. During calibration of the model, some parameters can be adjusted to obtain a balance between the model accuracy of weak and stronger resolution features. Suggestions for improving the accuracy of the weaker features based on an analysis of the image parameters are shown. The correlation between accuracy of the model and image parameters will be useful in limiting OPC corrections on features with poor aerial image quality.
Minimizing yield-loss risks through post-OPC verification
Author(s):
Ching-Heng Wang;
Qingwei Liu;
Liguo Zhang;
Gen-Sheng Gao;
Travis E. Brist;
Tom Donnelly;
Shumay Shang
Show Abstract
In our continued pursuit to keep up with Moor's Law we are encountering lower and lower k1
factors resulting in increased sensitivity to lithography / OPC un-friendly designs, mask rule
constraints and OPC setup file errors such as bad fragmentation, sub-optimal site placement, and
poor convergence during the OPC application process. While the process has become evermore
sensitive and more vulnerable to yield loss, the incurred costs associated with such losses is
continuing to increase in the form of higher reticle costs, longer cycle times for learning, increased
costs associated with the lithography tools, and most importantly lost revenue due to bringing a
product to market late. This has resulted in an increased need for virtual manufacturing tools that
are capable of accurately simulating the lithography process and detecting failures and weak points
in the layout so they can be resolved before committing a layout to silicon and / or identified for
inline monitoring during the wafer manufacturing process. This paper will attempt to outline a
verification flow that is employed in a high volume manufacturing environment to identify, prevent,
monitor and resolve critical lithography failures and yield inhibitors thereby minimizing how much
we succumb to the aforementioned semiconductor manufacturing vulnerabilities.
The effect of sub-layer condition on the OPC model
Author(s):
Jaeyoung Choi;
Jaehyun Kang;
Yeonah Shim;
Kyunghee Yun;
Junseok Lee;
Yongseok Lee;
Keeho Kim
Show Abstract
OPC(Optical Proximity Correction) has become an indispensable tool used in deep sub-wavelength lithograph process enabling highly accurate CD (Critical Dimension) control as design rule shrinks. Current model based OPC is a combination of optical and process model to predict lithography process. At this time, the accurate OPC model can be made by accurate empirical measurement data. Therefore empirical measurement data affects OPC model directly. In the case of gate layer, it affects to device performance significantly and CD spec is controlled tightly. Because gate layer is hanging on between active area and sti area, the gate CD is affected by different sub layer stack and step height. This paper will analyze that the effect of sub layer on the OPC model and show difference EPE value results at the patterns such as iso line, iso space,pitch, line end and T_junction between poly and gate model using constant threshold model.
Efficient approach to improving pattern fidelity with multi-OPC model and recipe
Author(s):
Munhoe Do;
Jaehyun Kang;
Jaeyoung Choi;
Junseok Lee;
Yongsuk Lee;
Keeho Kim
Show Abstract
It is becoming difficult to achieve stable device functionality and yield due to the continuous reduction of layout dimensions. Lithographers must guarantee pattern fidelity throughout the entire range of nominal process variation and diverse layout.
Even though we use general OPC method using single model and recipe, we usually expect to obtain good OPC results and ensure the process margin between different devices in the sub-100nm technology node.
OPC Model usually predicts the distortion or behavior of layout through the simulation in the range of measured data. If the layout is out of range from the measured data, or CD difference occurred from the topology issue, we can not improve the OPC accuracy with a single OPC model.
In addition, as the design rule has decreased, it is extremely hard to obtain the efficient OPC result only with a single OPC recipe. We can not extract the optimized single OPC recipe which can cover all the various device and layout. Therefore, we can improve the OPC accuracy and reduce the turn around time related to the OPC operation and mask manufacturing in sub-100nm technology node by applying the optimized multi OPC recipes to the device which contains the various patterns like SoC.
Model-based lithography verification using the new manufacturing sensitivity model
Author(s):
Daniel Zhang;
Lawrence Melvin
Show Abstract
Process depth of focus analysis has always been an important method for determining semiconductor integrated circuit manufacturability. This is becoming even more apparent as process nodes continue to shrink and more aggressive Resolution Enhancement Technology (RET) techniques are adopted to help retain process latitude. Process window is one of the most important factors in improving yield and reducing production cost. Therefore, pattern verification prior to mask tape-out is essential to save development time, and cost is extremely important.
The concept of focus-sensitive hotspot detection has been recently introduced using a Manufacturing Sensitivity Model (MSM). As the MSM interacts with the pattern, the model produces output that judges the quality of the through-process correction in a single piece of interpreted data. The MSM output can then be readily analyzed to find process sensitive patterns.
In this study, we will apply a process focus sensitivity detection algorithm to various designs using Focus Sensitive Model (FSM). The results will be compared to conventional depth of focus analysis techniques. The goal is to understand the relationship between the focus sensitivity and the CD error variations. This will be used to understand if focus-sensitive hotspot detection using FSM can be applied for verifying RET process qualities.
Auxiliary pattern for cell-based OPC
Author(s):
Andrew B. Kahng;
Chul-Hong Park
Show Abstract
The runtime of model-based optical proximity correction (OPC) tools has grown unacceptably with each successive
technology generation, and has emerged as one of the major bottlenecks for turnaround time (TAT)
of IC data preparation and manufacturing. The cell-based OPC approach improves runtime by performing
OPC once per cell definition as opposed to once per cell instantiation in the layout. However, cell-based OPC
does not comprehend inter-cell optical interactions that affect feature printability in a layout context. In this
work, we propose auxiliary pattern-enabled cell-based OPC which can minimize the CD differences between
cell-based OPC and model-based OPC. To enable effective insertion of auxiliary pattern (AP) in the design,
we also propose a post-placement optimization of a standard cell block with respect to detailed placement.
By dynamic programming-based placement perturbation, we achieve 100% AP applicability in designs with
placement utilizations of < 70%. In an evaluation with a complete industrial flow, cell-based OPC with AP
can match gate edge placement error (EPE) count of model-based OPC within 4%. This is an improvement of
90%, on average, over cell-based OPC without APs. The AP-based OPC approach can reduce OPC runtimes
versus model-based OPC by up to 40X in our benchmark designs. We can also achieve reduction of GDSII file
size and ORC runtimes due to hierarchy maintenance of cell-based OPC.
Parametric uncertainty in optical image modeling
Author(s):
James Potzick;
Egon Marx;
Mark Davidson
Show Abstract
Optical photomask feature metrology and wafer exposure process simulation both rely on optical image modeling for accurate results. While it is fair to question the accuracies of the available models, model results also depend on several input parameters describing the object and imaging system. Errors in these parameter values can lead to significant errors in the modeled image. These parameters include wavelength, illumination and objective NA's, magnification, focus, etc. for the optical system, and topography, complex index of refraction n and k, etc. for the object. In this paper each input parameter is varied over a range about its nominal value and the corresponding images simulated. Second order parameter interactions are not explored. Using the scenario of the optical measurement of photomask features, these parametric sensitivities are quantified by calculating the apparent change of the measured linewidth for a small change in the relevant parameter. Then, using reasonable values for the estimated uncertainties of these parameters, the parametric linewidth uncertainties can be calculated and combined to give a lower limit to the linewidth measurement uncertainty for those parameter uncertainties.
Illumination optimization for 65nm technology node
Author(s):
Ching-Heng Wang;
Qingwei Liu;
Liguo Zhang;
Chi-Yuan Hung
Show Abstract
The most important task of the microlithography process is to make the manufacturable process latitude/window, including dose latitude and Depth of Focus, as wide as possible. Thus, to perform a thorough source optimization during process development is becoming more critical as moving to high NA technology nodes. Furthermore, Optical proximity correction (OPC) are always used to provide a common process window for structures that would, otherwise, have no overlapping windows. But as the critical dimension of the IC design shrinks dramatically, the flexibility for applying OPC also decreases. So a robust microlithography process should also be OPC-friendly. This paper demonstrates our work on the illumination optimization during the process development. The Calibre ILO (Illumination Optimization) tool was used to perform the illumination optimization and provided plots of DOF vs. various parametric illumination settings. This was used to screen the various illumination settings for the one with optimum process margins. The resulting illumination conditions were then implemented and analyzed at a real wafer level on our 90/65nm critical layers, such as Active, Poly, Contact and Metal. In conclusion, based on these results, a summary is provided highlighting how OPC can get benefit from proper illumination optimization.
Deep subwavelength mask assist features and mask errors printability in high NA lithography
Author(s):
Wen-Hao Cheng;
Mindy Lee;
Vikram Tolani;
Mark Nakahma;
Bob Gleason
Show Abstract
As silicon processes scale toward the 45 nm node using conventional 0.25 magnification, widths of sub-resolution assist feature (SRAF) and printable defects on photomasks drop far below the ArF laser wavelength. Adoption of polarized illumination and higher numerical aperture (NA) could invalidate the scaling relations we used in the past to determine which small mask features or errors will print on wafers. Polarization interaction with small mask features may also plays a role in mask inspection. As mask features shrink below the wavelength, differences between the optical systems used for inspection and printing become more significant, and may affect the rules for disposition of inspection results. The data presented here combines experimental results from high NA imaging of sub-wavelength SRAF and defects, with rigorous calculation of their images based on vector diffraction. The printability of these deep subwavelength mask feature determines the requirements of optical model's rigorousness for SRAF design rule and also mask defect inspection and repair capabilities.
Beyond rule-based physical verification
Author(s):
Wolfgang Hoppe;
Thomas Roessler;
J. Andres Torres
Show Abstract
For advanced technology nodes, a large amount of effort must be spent to optimize area critical full-custom layouts with respect to their manufacturability. Due to the strong irregularity and two-dimensionality of these layouts, it appears impossible to fully capture the corresponding complex requirements with design rules in order to be able to perform a rule-based physical verification in form of a "design rule check" (DRC). Alternative approaches have to be found and one of them is presented in this paper. The complexity of the DRC can be significantly reduced for rules focused on process aspects. Those rules can be replaced by a "simulation rule check" (SRC), where at first process simulations (like e.g. lithography) are done and then a set of straightforward rules is applied to geometrical entities representing the simulation output instead of the layout geometry. Thus, this new set of rules works more directly on the core of the matter. The "litho-friendly design environment" (LFD) provided by Mentor Graphics offers the tools for this approach. The SRC includes intra-layer checks like area, width, and space checks as well as interlayer checks, such as overlap. To the physical designer, SRC violations are presented in a DRC like fashion, including error scoring and classification. This paper will demonstrate the application of LFD and highlight the usability of this infrastructure for layout optimization using an SRC for physical verification.
Theoretical modelling and experimental verification of the influence of Cr edge profiles on microscopic-optical edge signals for COG masks
Author(s):
Gerd Ehret;
Bernd Bodermann;
Detlef Bergmann;
Alexander Diener;
Wolfgang Häßler-Grohne
Show Abstract
Different types of dimensional metrology instrumentation is in use today for production control of photomasks, namely
SEM, AFM as well as optical microscopy and optical scatterometry. High resolution optical microscopy is still important
as a reference metrology system, especially because it is sensitive to the optical effects induced e. g. by 2D or 3D details
of features on photomasks. Particularly with regard to accurate optical CD measurements a thorough modelling of the
optical imaging process on the basis of rigorous diffraction calculation is essential, which accounts for both polarisation
effects and the 2D or 3D geometry of the structures. At PTB we use two different rigorous diffraction models to
calculate the intensity distribution in the image plane, i.e. the rigorous coupled wave analysis method and the finite
elements method. The question arises how accurate the influence of edge details on the microscopic-optical edge signals
can be modelled. To answer this question we performed systematic experimental studies on COG test structures with
varying height, edge angles and edge profiles. These geometric profile parameters of the test structures have been
characterised by AFM measurements. Additionally top CD's of the features have been measured using both a CD-SEM
and a metrological AFM.
We present UV-optical CD measurements of these test structures and analysed them taking into account the measured
profile details and, for comparison, using a simple binary structure model. The CD values determined are compared with
the corresponding AFM and SEM values.
The good agreement obtained for the optical, AFM and SEM top CD values shows that the optical effects of edge profile
details can be modelled correctly with the two models applied. The results again demonstrate the necessity of rigorous
model based analysis of the optical measurements, taking into account the edge profile details.
Rigorous simulation of 3D masks
Author(s):
Sven Burger;
Roderick Köhle;
Lin Zschiedrich;
Hoa Nguyen;
Frank Schmidt;
Reinhard März;
Christoph Nölscher
Show Abstract
We perform 3D lithography simulations by using a finite-element
solver.
To proof applicability to real 3D problems we investigate
DUV light propagation through a structure of size 9μm x 4μm x 65nm.
On this relatively large computational domain we
perform rigorous computations (No Hopkins) taking into account
a grid of 11 x 21 source points with two polarization directions
each.
We obtain well converged results with an accuracy of the
diffraction orders of about 1%.
The results compare well to experimental aerial imaging results.
We further investigate the convergence of 3D solutions towards
quasi-exact results obtained with different methods.
Propagation of resist heating mask error to wafer level
Author(s):
S. V. Babin;
Linard Karklin
Show Abstract
As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools.
To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control.
Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution.
Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required.
In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the mask error budget - the resist heating CD error. In simulation of exposure using a stepper, variable MEEF was considered.
A new criterion of mask birefringence for polarized illumination
Author(s):
Kazuya Iwase;
Boontarika Thunnakart;
Tokihisa Kaneguchi;
Ken Ozawa;
Toshifumi Yokoyama;
Yasutaka Morikawa;
Fumikatsu Uesawa
Show Abstract
We propose a new criterion for mask birefringence in polarized illumination. Mask birefringence is one of the
critical properties of polarized illumination, because the illumination polarization is disturbed by the birefringence of a
mask substrate. From this point of view, the allowable mask birefringence has already been analyzed. In these analyses,
only the absolute values of birefringence have been specified. As has been pointed out, the mask is a rotation retarder
for the polarized illumination. Therefore, the angle of the fast axis of mask birefringence also affects the state of
polarization.
The new criterion of mask birefringence which we propose here adopts the angle of fast axis as well as the
absolute value of birefringence. This new criterion correlates well with the printed critical dimensions (CDs). To
demonstrate this, printed CDs were calculated as a function of birefringence. A lithography simulator was used to verify
the fit of the new criterion. In this simulation, experimentally measured absolute values of birefringence and the angle
of fast axis were used. The simulation showed that there was poor correlation between printed CDs and the absolute
values of birefringence. On the other hand, the new criterion exhibited a good correlation with the printed CDs. This
difference is attributed to the effect of the angle of fast axis.
Reticle carrier material as ESD protection
Author(s):
Dirk Helmholz;
Michael Lering
Show Abstract
This report addresses the question of material conductivity (electrically isolating/dissipative/conductive) of reticle carrier and the reticle contact points in reticle carriers and its effect in protecting the reticle from field induced electrostatic discharge damage (ESD). Materials with different electrical properties were investigated; tests included measurements of surface resistivity, resistance to ground, charging, field induced and shielding efficiency. The impact of different materials on protecting reticles from ESD is also studied in an experimental setup using ESD sensitive CANARYTM reticles. A recommendation of ESD protection through material choice and its electrical properties is given.
Experimental investigation of photomask with near-field polarization imaging
Author(s):
Tao Chen;
Tom D. Milster;
Seung-Hune Yang
Show Abstract
Near-field induced polarization imaging with a solid immersion lens (SIL) is used to provide high lateral resolution for both native and induced polarization (cross polarized) images. A new technique is used to obtain height information from the near-field induced polarization image. An AltPSM mask sample is studied with this imaging technique, and compared to imaging with an AFM and a PSI interferometer. Topological data from the near-field induced polarization image are within a few nanometer of the AFM result, without contacting surface. In addition, features due to undercutting the Cr are observable in the induced polarization image.
The effect between mask blank flatness and wafer print process window in ArF 6% att. PSM mask
Author(s):
Joseph Tzeng;
Booky Lee;
Jerry Lu;
Makoto Kozuma;
Noah Chen;
Wen Kuang Lin;
Army Chung;
Yow Choung Houng;
Chi Hung Wei
Show Abstract
Photomask blank flatness is more important for wafer lithography so far. In view of economic and capital concern, venders of mask blank always provide several level flatness of blank what mask house request. And the wafer fabricators would request the flatter photomask to fit the next generation requirement. The topography effect of photomask should be a contribution of lithography process window. The effect includes quartz substrate flatness and distortion and the film of Cr and MoSi deposit. Besides, the Mask blanks have several shapes that are flat, concave and convex. Reducing the effect from mask is the main consideration of depth of focus improvement. In this study, we made two masks of different type, 0.5T and 2.5T. Flatness measurement is directly provided by interferometer. To verify the effect between mask blank flatness and wafer printing window. Furthermore, we also check patterned mask effect of flatness. The pattern we use is poly layer of logical 90 nm generation that is more critical among all of lithography process and was exposed by 193nm ArF environment. Primary purpose of the ADI (after develop inspection) performance concern is process window of wafer print. Then, we offer the effected level between mask blank flatness and lithography process window.