Share Email Print
cover

PROCEEDINGS VOLUME 6153

Advances in Resist Technology and Processing XXIII
Editor(s): Qinghuang Lin

*This item is only available on the SPIE Digital Library.


Volume Details

Volume Number: 6153
Date Published: 9 March 2006

Table of Contents
show all abstracts | hide all abstracts
Marching to the beat of Moore's Law
Author(s): Yan Borodovsky
Show Abstract
Self assembly in semiconductor microelectronics: self-aligned sub-lithographic patterning using diblock copolymer thin films
Author(s): C. T. Black; R. Ruiz
Show Abstract
Numeric analyses of the roles of gas phase and liquid phase UV photochemistry in conventional and immersion 193 nm lithography
Author(s): William Hinsberg; Frances A. Houle
Show Abstract
Top coat or no top coat for immersion lithography?
Author(s): N. Stepanenko; Hyun-Woo Kim; S. Kishimura; D. Van Den Heuvel; N. Vandenbroeck; M. Kocsis; P. Foubert; M. Maenhoudt; M. Ercken; F. Van Roey; R. Gronheid; I. Pollentier; D. Vangoidsenhoven; C. Delvaux; C. Baerts; S. O'Brien; W. Fyen; G. Wells
Show Abstract
Evaluation of 193nm immersion resist without topcoat
Author(s): Yayi Wei; N. Stepanenko; A. Laessig; L. Voelkel; M. Sebald
Show Abstract
Selection and evaluation of developer-soluble topcoat for 193nm immersion lithography
Author(s): Yayi Wei; K. Petrillo; S. Brandl; F. Goodwin; P. Benson; R. Housley; U. Okoroanyanwu
Show Abstract
Performance of a dry 193nm resist under wet conditions
Author(s): Munirathna Padmanaban; Andrew Romano; Guanyang Lin; Simon Chiu; Allen Timko; Frank Houlihan; Dalil Rahman; S. Chakrapani; T. Kudo; Ralph R. Dammel; Karen Turnquest; Georgia Rich; Scott D. Schuetter; Timothy A. Shedd; Gregory F. Nellis
Show Abstract
Materials and process parameters study on ArF immersion defectivity
Author(s): Shinichi Kanna; Haruki Inabe; Kei Yamamoto; Toshiaki Fukuhara; Shinji Tarutani; Hiromi Kanda; Wada Kenji; Kunihiko Kodama; Koji Shitabatake
Show Abstract
Defect studies of resist process for 193nm immersion lithography
Author(s): Tomoyuki Ando; Katsumi Ohmori; Satoshi Maemori; Toshikazu Takayama; Keita Ishizuka; Masaaki Yoshida; Tomoyuki Hirano; Jiro Yokoya; Katsushi Nakano; Tomoharu Fujiwara; Soichi Owa
Show Abstract
High-refractive-index fluids for the next-generation ArF immersion lithography
Author(s): Yong Wang; Takashi Miyamatsu; Taiichi Furukawa; Kinji Yamada; Tetsuo Tominaga; Yutaka Makita; Hiroki Nakagawa; Atsushi Nakamura; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa; Katsuhiko Hieda
Show Abstract
New high-index fluids for immersion lithography
Author(s): Elizabeth Costner; J. Christopher Taylor; Stefan Caporale; William Wojtczak; Dean Dewulf; Will Conley; C. Grant Willson
Show Abstract
Amplification of the index of refraction of aqueous immersion fluids with crown ethers: a progress report
Author(s): Juan López-Gejo; Joy T. Kunjappu; Nicholas J. Turro; Will Conley
Show Abstract
Immersion topcoat and resist material improvement study by using immersion scanner
Author(s): Hiroki Nakagawa; Kenji Hoshiko; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa; Katsushi Nakano; Tomoharu Fujiwara; Soichi Owa
Show Abstract
Development of new resist materials for 193-nm dry and immersion lithography
Author(s): Takashi Sasaki; Naoko Shirota; Yoko Takebe; Osamu Yokokoji
Show Abstract
Non-ionic photoacid generators for chemically amplified photoresists: structure effect on resist performance
Author(s): Hitoshi Yamato; Toshikage Asakura; Masaki Ohwa
Show Abstract
Negative-tone polyphenol resist based on chemically amplified polarity change reaction with sub-50-nm resolution capability
Author(s): Kyoko Kojima; Takashi Hattori; Hiroshi Fukuda; Taku Hirayama; Daiju Shiono; Hideo Hada; Junichi Onodera
Show Abstract
Synthesis of high refractive index sulfur containing polymers for 193 nm immersion lithography: a progress report
Author(s): Idriss Blakey; Will Conley; Graeme A. George; David J. T. Hill; Heping Liu; Firas Rasoul; Andrew K. Whittaker
Show Abstract
Reactivity of model compounds of ArF immersion, ArF, and KrF resists with diphenylsulfinyl radical cation, a cage-escape product of photochemistry of triphenylsulfonium salts
Author(s): Yoshinori Matsui; Hidekazu Sugawara; Shou Tsuji; Toshiro Itani; Shu Seki; Takahiro Kozawa; Seiichi Tagawa
Show Abstract
All-organic non-PFOS nonionic photoacid generating compounds with functionalized fluoroorganic sulfonate motif for chemically amplified resists
Author(s): Ramakrishnan Ayothi; Yi Yi; Christopher K. Ober; Steve Putna; Wang Yueh; Heidi Cao
Show Abstract
Silicon containing polymer in applications for 193-nm high-NA lithography processes
Author(s): Sean Burns; Dirk Pfeiffer; Arpan Mahorowala; Karen Petrillo; Alexandera Clancy; Katherina Babich; David Medeiros; Scott Allen; Steven Holmes; Michael Crouse; Colin Brodsky; Victor Pham; Yi-Hsiung Lin; Kaushal Patel; Naftali Lustig; Allen Gabor; Christopher Sheraw; Phillip Brock; Carl Larson
Show Abstract
Spin-on hard mask with dual-BARC property for 50-nm devices
Author(s): Jung Hwan Hah; Yun Sook Chae; Yun-Kyeong Jang; Manhyoung Ryoo; Sang-Jun Choi; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon
Show Abstract
A novel switchable BARC (SBARC) and process to improve pattern collapse and defect control
Author(s): Ching Yu Chang; D. C. Yu; J. H. Chen; John C. H. Lin; Burn J. Lin; James W. Thackeray; Vaishali Vohra; Gerald Wayton; Tomoki Kurihara
Show Abstract
The effects of etch chemistry on the etch rates of ArF BARC products
Author(s): Hong Zhuang; Dave Abdallah; Zhong Xiang; Hengpeng Wu; Jianhui Shan; Ping-Hung Lu; Mark Neisser; Eugene J. Karwacki; Bing Ji; Peter R. Badowski
Show Abstract
Two-layer anti-reflection strategies for implant applications
Author(s): Douglas J. Guerrero; Tamara Smith; Masakazu Kato; Shigeo Kimura; Tomoyuki Enomoto
Show Abstract
Evaluating resist degradation during reactive ion oxide etching using 193 nm model resist formulations
Author(s): M. J. May; B. Mortini; C. Sourd; D. Perret; D. W. Chung; G. Barclay; C. Brochon; G. Hadziioannou
Show Abstract
Reactive ion etching of fluorine containing photoresist
Author(s): Kaushal S. Patel; Victor Pham; Wenjie Li; Mahmoud Khojasteh; Pushkara Rao Varanasi
Show Abstract
Resist process window characterization for the 45-nm node using an interferometric immersion microstepper
Author(s): Anatoly Bourov; Stewart A Robertson; Bruce W. Smith; Michael A. Slocum; Emil C. Piscani
Show Abstract
New 193-nm top antireflective coatings for superior swing reduction
Author(s): Wu-Song Huang; William H. Heath; Ranee Kwong; Wenjie Li; Kaushal Patel; Pushkara Rao Varanasi
Show Abstract
A high productivity low defectivity develop process for 193nm lithography
Author(s): George Mack; Steven Consiglio; Jeffrey Bright; Kenichi Ueda; Tom Winter
Show Abstract
Defect marginality screen for resists patterned in random bright-field layout
Author(s): David Fryer; Vivek Singh; Andrew Muray; Sushil Dhoot; Sam Sivakumar
Show Abstract
Minimizing wafer defectivity during high-temperature baking of organic films in 193nm lithography
Author(s): Mai Randall; Christopher Longstaff; Kenichi Ueda; Jim Nicholson; Thomas Winter
Show Abstract
Effect of top coat and resist thickness on line edge roughness
Author(s): Lovejeet Singh; Itty Matthew; Adam Pawloski; Anna Minvielle
Show Abstract
Mechanistic model of line edge roughness
Author(s): Mark D. Smith
Show Abstract
OPC of resist reflow process
Author(s): Sang-Kon Kim
Show Abstract
Direct determination of photoresist composition changes during UV exposure
Author(s): Frances A. Houle; Vaughn R. Deline; Hoa Truong; Ratnam Sooriyakumaran
Show Abstract
Dissolution fundamentals of 193-nm methacrylate-based photoresists
Author(s): Ashwin Rao; Shuhui Kang; Bryan D. Vogt; Vivek M. Prabhu; Eric K. Lin; Wen-Li Wu; Karen Turnquest; William D. Hinsberg
Show Abstract
Diffusion mechanism of water for immersion lithography
Author(s): Minoru Toriumi; Chie Matsubara; Akihiko Otoguro; Toshiro Itani
Show Abstract
Fundamental parameter extraction for a dry/immersion hybrid photoresist for contact hole applications
Author(s): Stewart A. Robertson; Shintaro Yamada; Joanne M. Leonard
Show Abstract
Fundamentals of the reaction-diffusion process in model EUV photoresists
Author(s): Kristopher A. Lavery; Kwang-Woo Choi; Bryan D. Vogt; Vivek M. Prabhu; Eric K. Lin; Wen-li Wu; Sushil K. Satija; Michael J. Leeson; Heidi B. Cao; George Thompson; Hai Deng; David S. Fryer
Show Abstract
Difference between initial distributions of proton and counter anion in chemically amplified electron-beam resist
Author(s): Takahiro Kozawa; Hiroki Yamamoto; Akinori Saeki; Seiichi Tagawa
Show Abstract
Deconstructing the resist to probe innate material roughness
Author(s): T. H. Fedynyshyn; R. F. Sinta; D. K. Astolfi; A. Cabral; J. Roberts; R. Meagley
Show Abstract
The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists
Author(s): Bryan D. Vogt; Shuhui Kang; Vivek M. Prabhu; Ashwin Rao; Eric K. Lin; Sushil K. Satija; Karen Turnquest; Wen-li Wu
Show Abstract
Study of the effect of amine additives on LWR and LER
Author(s): Francis M. Houlihan; David Rentkiewicz; Guanyang Lin; Dalil Rahman; Douglas Mackenzie; Allen Timko; Takanori Kudo; Clement Anyadiegwu; Muthiah Thiyagarajan; Simon Chiu; Andrew Romano; Ralph R. Dammel; Munirathna Padmanaban
Show Abstract
The transfer of photoresist LER through etch
Author(s): Adam R. Pawloski; Alden Acheta; Scott Bell; Bruno La Fontaine; Tom Wallow; Harry J. Levinson
Show Abstract
Changes of chemical nature of photoresists induced by various plasma treatments and their impact on LWR
Author(s): Hiroichi Kawahira; Nobuyuki N. Matsuzawa; Eriko Matsui; Atsuhiro Ando; Kazi M. A. Salam; Masashi Yoshida; Yuko Yamaguchi; Katsuhisa Kugimiya; Tetsuya Tatsumi; Hiroyuki Nakano; Takeshi Iwai; Makiko Irie
Show Abstract
Vinyl ether resist system for UV-cured nanoimprint lithography
Author(s): Hiroshi Ito; Frances A. Houle; Mark W. Hart; Rick A. DiPietro
Show Abstract
Adhesion between template materials and UV-cured nanoimprint resists
Author(s): Frances A. Houle; Eric Guyer; Dolores C. Miller; Reinhold Dauskardt; Emily Rice; Jeremy Hamilton
Show Abstract
Overcoming pattern collapse on e-beam and EUV lithography
Author(s): A. Jouve; J. Simon; A. Pikon; H. Solak; C. Vannuffel; J.-H. Tortai
Show Abstract
Molecular glass resists for next generation lithography
Author(s): Daniel Bratton; Ramakrishnan Ayothi; Nelson Felix; Heidi Cao; Hai Deng; Christopher K. Ober
Show Abstract
Effects of material design on extreme ultraviolet (EUV) resist outgassing
Author(s): Kim R. Dean; Kenneth E. Gonsalves; Muthiah Thiyagarajan
Show Abstract
Nanolithography in thermally sacrificial polymers using nanoscale thermal probes
Author(s): Yueming Hua; Shubham Saxena; William P. King; Clifford L. Henderson
Show Abstract
Novel low-dielectric constant photodefinable polyimides for low-temperature polymer processing
Author(s): Kazuhiro Yamanaka; Michael Romeo; Kazuhiko Maeda; Clifford L. Henderson
Show Abstract
Development of EUV resists in supercritical CO2 solutions using CO2 compatible salts (CCS)
Author(s): Mark Wagner; James De Young; Chris Harbinson
Show Abstract
Optimization of dual BARC structures for hyper-NA immersion lithography
Author(s): Nobuyuki N. Matsuzawa; Boontarika Thunnakart; Ken Ozawa; Yuko Yamaguchi; Hiroyuki Nakano; Hiroichi Kawahira
Show Abstract
Double exposure technology using silicon containing materials
Author(s): Sungkoo Lee; Jaechang Jung; Sungyoon Cho; Chang-Moon Lim; Cheolkyu Bok; Hyeongsoo Kim; Seungchan Moon; Jinwoong Kim
Show Abstract
Everything you ever wanted to know about why the semiconductor industry needs a high-refractive index photoresist but were afraid to ask: Part I
Author(s): Will Conley; Robert Socha
Show Abstract
The effect of photoresist/topcoat properties on defect formation in immersion lithography
Author(s): G. M. Wallraff; C. E. Larson; G. Breyta; L. Sundberg; D. Miller; D. Gil; K. Petrillo; W. Pierson
Show Abstract
Studies on immersion defects using mimic immersion experiments
Author(s): Tetsuro Hanawa; Toshifumi Suganaga; Takeo Ishibashi; Shinroku Maejima; Koichiro Narimatsu; Kazuyuki Suko; Mamoru Terai; Teruhiko Kumada; Junichi Kitano
Show Abstract
Analysis of 193nm immersion specific defects
Author(s): Akihiko Otoguro; Jeung-Woo Lee; Toshiro Itani; Kiyoshi Fujii; Tomohiro Funakoshi; Tsunehiro Sakai; Kenji Watanabe; Mikio Arakawa; Hitoshi Nakano; Masamichi Kobayashi
Show Abstract
Pattern defect study using cover material film in immersion lithography
Author(s): Daisuke Kawamura; Tomoyuki Takeishi; Kentarou Matsunaga; Eishi Shiobara; Yasunobu Oonishi; Shinichi Ito
Show Abstract
Improvement of watermark defect in immersion lithography: mechanism of watermark defect formation and its reduction by using alkaline-soluble immersion topcoat
Author(s): Hiroki Nakagawa; Atsushi Nakamura; Hiroshi Dougauchi; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa
Show Abstract
Adhesion and removal of micro bubbles for immersion lithography
Author(s): Akira Kawai; Takayoshi Niiyama; Hotaka Endo; Masaki Yamanaka; Atsushi Ishikawa; Kenta Suzuki; Osamu Tamada; Masakazu Sanada
Show Abstract
Trap mechanism of micro bubble in micro concave patterns
Author(s): Akira Kawai; Tomotaka Ariga; Simpei Hori; Masahiko Harumoto; Osamu Tamada; Masakazu Sanada
Show Abstract
Solid defects condensation during watermark formation for immersion lithography
Author(s): Takayoshi Niiyama; Akira Kawai; Simpei Hori; Masahiko Harumoto; Osamu Tamada; Masakazu Sanada
Show Abstract
Application of high-refractive index fluid to KrF-immersion lithography
Author(s): Yuji Yada; Koji Ito; Yoshikazu Yamaguchi; Taiichi Furukawa; Takashi Miyamatsu; Yong Wang; Katsuhiko Hieda; Tsutomu Shimokawa
Show Abstract
Progress of topcoat and resist development for 193nm immersion lithography
Author(s): Katsumi Ohmori; Tomoyuki Ando; Toshikazu Takayama; Keita Ishizuka; Masaki Yoshida; Yoshiyuki Utsumi; Kotaro Endo; Takeshi Iwai
Show Abstract
Top barrier coating materials for immersion lithography and beyond
Author(s): Mitsuhiro Hata; Jin-Young Yoon; Jung-Hwan Hah; Man-Hyoung Ryoo; Sang-Jun Choi; Han-Ku Cho
Show Abstract
Resolution enhanced top anti reflective coating materials for ArF immersion lithography
Author(s): Jae-Chang Jung; Sung-Koo Lee; Keun-Do Ban; Cheolkyu Bok; Hyeong-Soo Kim; Seung-Chan Moon; Jinwoong Kim
Show Abstract
Polymer structure modifications for immersion leaching and watermark control
Author(s): Jae Woo Lee; Seung Keun Oh; Jung Woo Kim; Sang Hyang Lee; Young Ho Jeong; Sang Soo Kim; Myoung Hwan Park; Deogbae Kim; Jaehyun Kim; Geunsu Lee; Seung-Chan Moon
Show Abstract
Low leaching and low LWR photoresist development for 193 nm immersion lithography
Author(s): Nobuo Ando; Youngjoon Lee; Takayuki Miyagawa; Kunishige Edamatsu; Ichiki Takemoto; Satoshi Yamamoto; Yoshinobu Tsuchida; Keiko Yamamoto; Shinji Konishi; Katsushi Nakano; Fujiwara Tomoharu
Show Abstract
Development of fluoropolymer for 193nm immersion lithography
Author(s): Naoko Shirota; Yoko Takebe; Takashi Sasaki; Osamu Yokokoji; Minoru Toriumi; Hiroshi Masuhara
Show Abstract
Novel fluorinated polymers for application in 193-nm lithography and 193-nm immersion lithography
Author(s): Tsuneo Yamashita; Takuji Ishikawa; Tomohiro Yoshida; Takashi Hayami; Hirokazu Aoyama
Show Abstract
The effect of water-contact and evaporation on the roughness of photoresist for immersion lithography
Author(s): Sung Il Ahn; Jae Hyun Kim; Wang-Cheol Zin
Show Abstract
193-nm resist composition using hybrid copolymers of cycloolefin/maleic anhydride (COMA)/methacrylate
Author(s): M. Dalil Rahman; Srivanisan Chakrapani; Clement Anyadiegwu; Guanyang Lin; Allen Timko; Frank Houlihan; David Rentkiewicz; Takanori Kudo; Douglas McKenzie; Ralph Dammel; Munirathna Padmanaban
Show Abstract
Characteristics of low Ea 193-nm chemical amplification resists
Author(s): Toshiyuki Ogata; Yohei Kinoshita; Sanae Furuya; Shogo Matsumaru; Motoki Takahashi; Daiju Shiono; Takahiro Dazai; Hideo Hada; Masamitsu Shirai
Show Abstract
Studies on a cross-linking type positive 193nm photoresist material
Author(s): Liyuan Wang; Xin Guo; Zhanxing Chu; Wenjun Wang
Show Abstract
Synthesis and evaluation of novel resist monomers and copolymers for ArF lithography
Author(s): Masahiko Kitayama; Ichihiro Aratani; Minoru Toriumi
Show Abstract
Adamantane based molecular glass resist for 193 nm lithography
Author(s): Shinji Tanaka; Christopher K. Ober
Show Abstract
Versatility in lithographic performance of advanced 193 nm contact hole resist
Author(s): Takanori Kudo; Guanyang Lin; Dongkwan Lee; Dalil Rahman; Allen Timko; Douglas Mckenzie; Clement Anyadiegwu; Simon Chiu; Frank Houlihan; David Rentkiewicz; Ralph R. Dammel; Munirathna Padmanaban; John Biafore
Show Abstract
Molecular resists based on cholate derivatives for electron-beam lithography
Author(s): Daiju Shiono; Taku Hirayama; Hideo Hada; Junichi Onodera; Tadashi Arai; Atsuko Yamaguchi; Kyoko Kojima; Hiroshi Shiraishi; Hiroshi Fukuda
Show Abstract
Fundamental studies of the properties of photoresists based on resins containing polymer-bound photoacid generators
Author(s): Cheng-Tsung Lee; Nathan D. Jarnagin; Mingxing Wang; Kenneth E. Gonsalves; Jeanette M. Robert; Wang Yueh; Clifford L. Henderson
Show Abstract
Poly(4-(1-hydroxyalkyl)styrene based photoresist materials: design, synthesis, and their lithographic performance
Author(s): Mohammed J. Nasrullah; R. Dhamodharan
Show Abstract
Negative nanomolecular resists based on calix[4]resorcinarene
Author(s): Tae-Hwan Oh; Ramakrishnan Ganesan; Je-Moon Yoon; Jin-Baek Kim
Show Abstract
Synthesis of photobleachable deep UV resists based on single component nonchemically amplified resist system
Author(s): Kyoung-Seon Kim; Su-Min Kim; Ji-Young Park; Jin-Baek Kim
Show Abstract
Newly developed RELACS materials and process for 65 nm nodes
Author(s): Mamoru Terai; Teruhiko Kumada; Takeo Ishibashi; Tetsuro Hanawa; Noboru Satake; Yusuke Takano
Show Abstract
Wet-recess process optimization of a developer-soluble gap-fill material for planarization of trenches in trench-first dual damascene process
Author(s): Carlton Washburn; Nick Brakensiek; Alice Guerrero; Kevin Edwards; Charlyn Stroud; Nicki Chapman
Show Abstract
Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography
Author(s): Makoto Nakajima; Takahiro Sakaguchi; Keisuke Hashimoto; Rikimaru Sakamoto; Takahiro Kishioka; Satoshi Takei; Tomoyuki Enomoto; Yasuyuki Nakajima
Show Abstract
Study of iso/dense bias of BARCs and gap-fill materials on via wafers
Author(s): Runhui Huang
Show Abstract
Implantation blocking characteristics study of organic BARC materials
Author(s): Myoung-Soo Kim; Jae-Wook Seo; Kew-Chan Shim; Seung-Woo Jin; Hak-Joon Kim; Myung-Goon Gil; Yong-Wook Song
Show Abstract
New chemical approach for resist poisoning problem in via first dual-damascene process
Author(s): Hajime Nakao; Satoshi Takei; Tetsuya Shinjo; Yasuyuki Nakajima
Show Abstract
Development of 193-nm wet BARCs for implant applications
Author(s): Jim Meador; Carol Beaman; Joyce Lowes; Carlton Washburn; Ramil Mercado; Mariya Nagatkina; Charlyn Stroud
Show Abstract
New advanced BARC and gap fill materials based on sublimate reduction for 193nm lithography
Author(s): Satoshi Takei; Tetsuya Shinjo; Yasushi Sakaida; Yusuke Horiguchi; Yasuyuki Nakajima
Show Abstract
Reducing bottom anti-reflective coating (BARC) defects: optimizing and decoupling the filtration and dispense process
Author(s): Nickolas L. Brakensiek; Gary Martin; Sean Simmons; Traci Batchelder
Show Abstract
New developer-soluble gap-fill material with fast plasma etch rate
Author(s): Anwei Qin; Daniel M. Sullivan; Runhui Huang
Show Abstract
Fundamental characterization of silicon-containing spin-on hardmask for 193nm photolithography
Author(s): Vishal Sipani; Yoshi Hishiro; Mirzafer Abatchev
Show Abstract
Development of multi-function hard mask to simplify process step
Author(s): Kilyoung Lee; Samyoung Kim; Geunsu Lee; Sungkwon Lee; Junhee Cho; Wonkyu Kim; Cheolkyu Bok; Hyeongsoo Kim; Seungchan Moon; Jinwoong Kim
Show Abstract
Thin bilayer resists approach for 193nm and future photolithography
Author(s): Yoshi Hishiro; Michael Hyatt
Show Abstract
Gas-phase fluorination of resist for improving line-end pullback during etch
Author(s): Richard D. Peters; Patrick K. Montgomery; Phillip J. Stout
Show Abstract
Improved ion implantation masking through photoresist fluorination
Author(s): Patrick K. Montgomery; Richard D. Peters; Cesar Garza; Terry Breeden; Marijean Azrak; Jack Jiang; Kiwoon Kim
Show Abstract
Transistor fabrication for sub-90 nm transistor by using trim technology at ArF light source
Author(s): Jin-Youp Kim; Jeong-Yeol Jang; Jae-Hee Kim; Keeho Kim
Show Abstract
Formulated surface conditioners to enhance the non-collapse window and maintain defect control: a bi-functional approach for sub-100-nm lithography
Author(s): Masakazu Sanada; Minoru Sugiyama; Manuel Jaramillo Jr.; Peng Zhang; Shawn Cassel
Show Abstract
Molecular contamination control technologies for high NA 193nm lithography
Author(s): Akihiro Imai; Takashi Tanahashi; Kazuki Yamana; Toshiro Nakano; Nobuhiro Takahashi; Masaharu Shioguchi; Junichi Kitano
Show Abstract
ArF processing of 90-nm design rule lithography achieved through enhanced thermal processing
Author(s): Markus Kagerer; Daniel Miller; Wayne Chang; Daniel J. Williams
Show Abstract
Process development and resist modification for metal trench layers from 65nm to 45nm nodes
Author(s): Steven Wu; Sho-Shen Lee; Chun-Chi Yu; Benjamin Lin; Cheng Bai Xu; Yasuhiro Suzuki; Stewart Robertson; Tsutomu Tanaka; I-Yuan Wan
Show Abstract
Parameter investigation of PEB sensitivity
Author(s): Seung Keun Oh; Eun Kyung Son; Chan Sik Park; Jung Youl Lee; Jeong Woo Kim; Jae Woo Lee; Deog Bae Kim; Jaehyun Kim; Geunsu Lee; Seung-Chan Moon
Show Abstract
Extending i-line capabilities through variance characterization and tool enhancement
Author(s): Dan Miller; Adrian Salinas; Joel Peterson; David Vickers; Dan Williams
Show Abstract
Thickness dependence of the lithographic performance in 193nm photoresists
Author(s): Jae Hyun Kim; Namuk Choi; Young-Ho Kim; Tae-Sung Kim
Show Abstract
New development application method to improve critical dimension control
Author(s): Chang-Young Hong
Show Abstract
Stability of photo resist coating performance of small dispense nozzle size in photolithographic spin coating process
Author(s): Xiao Li; Tom Lehmann; Warren Greene
Show Abstract
A universal process development methodology for complete removal of residues from 300mm wafer edge bevel
Author(s): Mai Randall; Michael Linnane; Chris Longstaff; Kenichi Ueda; Tom Winter
Show Abstract
Use of direct washing of chemical dispense nozzle for defect control
Author(s): Michael Linnane; George Mack; Christopher Longstaff; Thomas Winter
Show Abstract
The suppression method of powder formation in ArF photoresist
Author(s): Geunsu Lee; Heesung Kim; Eungsok Lee; Myoungsoo Kim; Samyoung Kim; Cheolkyu Bok; Hyeongsoo Kim; Seungchan Moon; Jinwoong Kim
Show Abstract
Correlation between polymer platform of ArF photoresist and defect in the track nozzle of manufacturing process line
Author(s): Ji Young Song; Dong Chul Seo; Seung Duk Cho; Hyun Sang Joo; Kyoung Mun Kim; Hyun Soon Lim; Sang Jin Kim; Joo Hyeon Park; Jae Chang Jung; Sung Koo Lee; Chul Kyu Bok; Seung Chan Moon
Show Abstract
Topside anti-reflective coating process and productivity improvements on KrF lithography
Author(s): Terri Couteau; Michael Carcasi
Show Abstract
Studies of the mechanism for immersion specific defects
Author(s): Takeo Ishibashi; Tetsuro Hanawa; Toshifumi Suganaga; Koichiro Narimatsu; Kazuyuki Suko; Mamoru Terai; Teruhiko Kumada; Tomoyuki Ando
Show Abstract
Defectivity reduction by optimization of 193-nm immersion lithography using an interfaced exposure-track system
Author(s): Michael Carcasi; Shinichi Hatakeyama; Kathleen Nafus; Richard Moerman; Youri van Dommelen; Peter Huisman; Joshua Hooge; Steven Scheer; Philippe Foubert
Show Abstract
Defect reduction by using a new rinse solution for 193-nm conventional and immersion lithography
Author(s): Osamu Miyahara; Takeshi Shimoaoki; Ryoichiro Naito; Kousuke Yoshihara; Junichi Kitano
Show Abstract
193nm immersion process defect generation and reduction mechanism investigation using analytical methods
Author(s): Masashi Enomoto; Shinichi Hatakeyama; Takafumi Niwa; Tadatoshi Tomita; Hideharu Kyoda; Junichi Kitano; Satoru Shimura; Tetsu Kawasaki
Show Abstract
An investigation on defect-generation conditions in immersion lithography
Author(s): Tadatoshi Tomita; Takeshi Shimoaoki; Masashi Enomoto; Hideharu Kyoda; Junichi Kitano; Toshifumi Suganaga
Show Abstract
Copolymer fraction effect on acid catalyzed deprotection reaction kinetics in model 193 nm photoresists
Author(s): Shuhui Kang; Vivek M. Prabhu; Bryan D. Vogt; Eric K. Lin; Wen-Li Wu; Karen Turnquest
Show Abstract
The reaction mechanism of poly[4-hydroxystyrene-co-4-(1,1,1,3,3,3-hexafluoro-2-hydroxypropyl)-styrene]
Author(s): Hiroki Yamamoto; Takahiro Kozawa; Kazumasa Okamoto; Seiichi Tagawa; Tomoyuki Ando; Mitsuru Sato; Hiroji Komano
Show Abstract
Analysis of the effect of mechanical strength of the resist film on pattern collapse behavior using atomic force microscope
Author(s): Osamu Tamada; Tomohiro Goto; Masakazu Sanada; Takahiro Moriuchi; Takayoshi Niiyama; Akira Kawai
Show Abstract
Resist dissolution behavior according to protecting group in polymer
Author(s): Kwanghwyi Im; Jin Jegal; Jungkook Park; Deogbae Kim; Jaehyun Kim
Show Abstract
Thermal effects study of chemically amplified resist
Author(s): Sang-Kon Kim
Show Abstract
Study of cross-linking reactions in negative-type thick-film resists
Author(s): Yoshihisa Sensu; Atsushi Sekiguchi; Yoshiyuki Kono
Show Abstract
Reflow modeling for elongated contact hole shape
Author(s): Ji-Eun Lee; Dai-Gyoung Kim; Kang Baek Kim; Mi-Rim Jung; Hye-Young Kang; Jong-Sun Kim; Joo-Yoo Hong; Hye-Keun Oh; Jun-Tack Park
Show Abstract
Contributions to innate material roughness in resist
Author(s): Jeanette M. Roberts; Robert Meagley; Theodore H. Fedynyshyn; Roger F. Sinta; David K. Astolfi; Russell B. Goodman; Alberto Cabral
Show Abstract
Linewidth roughness reduction at the 55 nm node through combination of classical process optimization and application of surface conditioner solutions
Author(s): Patrick Wong; Wendy Gehoel; Stephan Sinkwitz; Peng Zhang; Manuel Jaramillo Jr.; Madhukar B. Rao; Bridget Horvath; Brenda Ross; Shawn Cassel
Show Abstract
Line-width roughness analysis of EUV resists after development in homogenous CO2 solutions using CO2 compatible salts (CCS) by a three-parameter model
Author(s): Vassilios Constantoudis; Evangelos Gogolides; George P. Patsis; Mark Wagner; James DeYoung; Chris Harbinson
Show Abstract
LWR reduction in ArF resist pattern by resist smoothing process
Author(s): Yuichiro Inatomi; Tetsu Kawasaki; Mitsuaki Iwashita
Show Abstract
Post-etch LER performance of novel surface conditioner solutions
Author(s): P. Zhang; M. Jaramillo; S. Cassel; T. Wallow; A. Acheta; A. R. Pawloski; S. Bell; R. H. Kim
Show Abstract
Pattern noise in e-beam exposed sub-35-nm contacts
Author(s): Wojtek Poppe; Alexander Liddle; Erik Anderson; Andrew Neureuther
Show Abstract
Molecular glass resists for EUV lithography
Author(s): Anuja De Silva; Drew Forman; Christopher K. Ober
Show Abstract
The material design to reduce outgassing in acetal-based chemically amplified resist for EUV lithography
Author(s): Seiya Masuda; Yasutomo Kawanishi; Shuuji Hirano; Sou Kamimura; Kazuyoshi Mizutani; Shoichiro Yasunami; Yasumasa Kawabe
Show Abstract
Optimization of photoacid generator in CA resist for EUVL
Author(s): Takeo Watanabe; Hideo Hada; Hiroo Kinoshita; Yuzuru Tanaka; Hideaki Shiotani; Yasuyuki Fukushima; Hiroji Komano
Show Abstract
Performance comparison of chemically amplified resists under EUV, EB, and KrF exposure
Author(s): Daisuke Shimizu; Nobuji Matsumura; Toshiyuki Kai; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Koichi Fujiwara
Show Abstract
Development of EUV resists in homogenous CO2 solutions using CO2 compatible salts (CCS): a kinetic view of dissolution of conventional resists in supercritical CO2
Author(s): James DeYoung; Mark Wagner; Chris Harbinson; Merrick Miles; Amy Zweber; Ruben Carbonell
Show Abstract
Development of EUV resists in supercritical CO2 solutions using CO2 compatible salts (CCS): Results from a two-level full factorial design of experiments (DOE)
Author(s): Mark Wagner; James DeYoung; Chris Harbinson; Merrick Miles
Show Abstract
Evaluation of most recent chemically amplified resists for high resolution direct write using a Leica SB350 variable shaped beam writer
Author(s): Anatol Schwersenz; Dirk Beyer; Monika Boettcher; Kang-Hoon Choi; Ulrich Denker; Christoph Hohle; Mathias Irmscher; Frank-Michael Kamm; Karl-Heinz Kliem; Johannes Kretz; Holger Sailer; Frank Thrum
Show Abstract
Self-aligned direct write of a double-sided transistor gate on membrane using an evaporated e-beam resist
Author(s): Jacques Beauvais; Prasad Kelkar; Eric Lavallée; Dominique Drouin; Kien Mun Lau
Show Abstract
40-100nm contact-hole processes of ZEP520A e-beam resist on PCM prototyping applications
Author(s): Wei-Su Chen; Yen Chuo; Hong-Hui Hsu; Yi-Chan Chen; Chien-Min Lee; Ming-Jer Kao; Ming-Jinn Tsai
Show Abstract
Monodisperse nanocarriers: novel fabrication of polymeric nanoparticles for bio-nanotechnology
Author(s): Larken E. Euliss; Christopher M. Welch; Benjamin W. Maynor; Jason P. Rolland; Ginger M. Denison; Stephanie E. Gratton; Ji-Young Park; Ashish A. Pandya; Elizabeth L. Enlow; Rudolph L. Juliano; Klaus M. Hahn; Joseph M. DeSimone
Show Abstract
Supercritical CO2 for high-resolution photoresist development
Author(s): Nelson Felix; Kousuke Tsuchiya; Camille Man Yin Luk; Christopher K. Ober
Show Abstract
Monitoring photoresist dissolution in supercritical carbon dioxide using a quartz crystal microbalance
Author(s): Amy E. Zweber; Ruben G. Carbonell
Show Abstract
Study of dynamical formation and shape of microlenses formed by the reflow method
Author(s): S. Audran; B. Faure; B. Mortini; C. Aumont; R. Tiron; C. Zinck; Y. Sanchez; C. Fellous; J. Regolini; J. P. Reynard; G. Schlatter; G. Hadziioannou
Show Abstract
Microlens formation using heavily dyed photoresist in a single step
Author(s): Chris Cox; Curtis Planje; Nick Brakensiek; Zhimin Zhu; Jonathan Mayo
Show Abstract
Faster sensitivity and non-antimonite permanent photoresist for MEMS
Author(s): Koichi Misumi; Koji Saito; Atsushi Yamanouchi; Takahiro Senzaki; Toshiki Okui; Hideo Honma
Show Abstract
Laser-induced oxidation of metallic thin films as a method for creating grayscale photomasks
Author(s): Glenn H. Chapman; Yuqiang Tu; Chinheng Choo; Jun Wang; David K. Poon; Marian Chang
Show Abstract
Chemically amplified thick film i-line positive resist for electroplating and redistribution applications
Author(s): Medhat Toukhy; Salem Mullen; Margareta Paunescu; Chunwei Chen; Stephen Meyer; Georg Pawlowski; Yoshio Murakami; Clifford Hamel
Show Abstract
Highly re-entrant profiles in a thick photosensitive material for nanotechnology applications
Author(s): Warren W. Flack; Ha-Ai Nguyen; Mark Shaw; Manny do Canto
Show Abstract
Acidolysis small molecular phenolic ether used as accelerator in photosensitive diazonaphthaquinone systems
Author(s): Haihua Zhou; Yingquan Zou
Show Abstract
A novel photosensitive material for redistribution and stress buffer reduction on 300mm wafers
Author(s): Warren W. Flack; Ha-Ai Nguyen; Elliott Capsuto
Show Abstract
Development of optimized filter for TARC and developer with the goal of having small pore size and minimizing microbubble reduction
Author(s): Toru Umeda; Shuichi Tsuzuki; Mikal Boucher; Hung Dinh; L. C. Ma; Russell Boten
Show Abstract
A lamp thermoelectricity based integrated bake/chill system for advanced photoresist processing
Author(s): Arthur Tay; Hui-Tong Chua; Xiaodong Wu; Yuheng Wang
Show Abstract
The new bake plate optimized for a PEB process
Author(s): Shigehiro Goto; Keiji Matsuchika; Akihiro Hisai
Show Abstract
Advanced photoresist dispense valve control technology
Author(s): Garrett Standley; Brian Kidd; Kevin Hartman
Show Abstract
Resists for sub-100nm patterning at 193nm exposure
Author(s): N. D. Jarnagin; K. E. Gonsalves; M. X. Wang; J. M. Roberts; W. Yeuh
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray