Share Email Print
cover

PROCEEDINGS VOLUME 6152

Metrology, Inspection, and Process Control for Microlithography XX
Editor(s): Chas N. Archie

*This item is only available on the SPIE Digital Library.


Volume Details

Volume Number: 6152
Date Published: 10 March 2006

Table of Contents
show all abstracts | hide all abstracts
Design-driven metrology: a new paradigm for DFM-enabled process characterization and control, extensibility, and limitations
Author(s): Luigi Capodieci
Show Abstract
Model-based calculation of weighting in OPC model calibration
Author(s): Mohamed Talbi; Amr Abdo; Daniel Fischer; Geng Han; Scott Mansfield; James Oberschmidt; Ramya Viswanathan
Show Abstract
Embedded charge investigation: industry concerns and metrology solutions
Author(s): Eric Solecky; Georgios Vakas; Chas Archie; Ofer Adan; Asaf Dajczman; Roger Cornell; Paul Llanos
Show Abstract
Local CD variation in 65nm node with PSM processes STI topography characterization (I)
Author(s): Yiming Gu; Simon Chang; Gary Zhang; Karen Kirmse; Duncan Rogers; Leif Olsen; John Lewellen
Show Abstract
Global pattern density effects on low-k trench CDs for sub-65-nm technology nodes
Author(s): Ju-Wang Hsu; J. H. Shieh; Kelvin Y. Y. Doong; L. J. Hung; S. C. Lin; C. Y. Ting; S. M. Jang; K. L. Young; M. S. Liang
Show Abstract
Defect metrology challenges at 45-nm technology node and beyond
Author(s): Dilip Patel; Jeffrey Hanrahan; Kyuhong Lim; Milton Godwin; Peter Figliozzi; Dale Sheu
Show Abstract
Yield enhancement methodologies for 90-nm technology and beyond
Author(s): John Allgair; Todd Carey; James Dougan; Tony Etnyre; Nate Langdon; Brooke Murray
Show Abstract
Toward full-chip prediction of yield-limiting contact patterning failure: correlation of simulated image parameters to advanced contact metrology metrics
Author(s): John L. Sturtevant; Dyiann Chou
Show Abstract
A systematic study of missing via mechanism and its solutions
Author(s): Lei Wang; Wei Huang; Qiang Wu
Show Abstract
Advanced DFM applications using design-based metrology on CD SEM
Author(s): G. F. Lorusso; L. Capodieci; D. Stoler; B. Schulz; S. Roling; J. Schramm; C. Tabery; K. Shah; B. Singh; G. Abbott; A. Roberts; A. Azordegan; L. Heinrichs; Z. Kaliblotzky; E. Castel
Show Abstract
Litho-metrology challenges for the 45-nm technology node and beyond
Author(s): John A. Allgair; Benjamin D. Bunday; Mike Bishop; Pete Lipscomb; Ndubuisi G. Orji
Show Abstract
In-chip optical CD measurements for non-volatile memory devices
Author(s): Mauro Vasconi; Stephanie Kremer; M. Polli; Ermes Severgnini; Silvia S. Trovati
Show Abstract
Benchmark comparison of multiple process control strategies for lithographic CD control
Author(s): Wei Kang; John Mao
Show Abstract
Integrated scatterometry in high-volume manufacturing for polysilicon gate etch control
Author(s): Matthew Sendelbach; Andres Munoz; Kenneth A. Bandy; Dan Prager; Merritt Funk
Show Abstract
Improved scatterometry method of critical dimension measurements and its application for control of development process
Author(s): Irina Pundaleva; Dongseok Nam; Hakseung Han; Donggun Lee; Woosung Han
Show Abstract
Application of optical CD metrology based on both spectroscopic ellipsometry and scatterometry for Si-recess monitor
Author(s): Peter C. Y. Huang; Ryan C. J. Chen; Fang-Cheng Chen; Baw-Ching Perng; Jyu-Horng Shieh; S. M. Jang; M. S. Liang
Show Abstract
Simulations of optical microscope images
Author(s): Thomas A. Germer; Egon Marx
Show Abstract
Scatterfield microscopy using back focal plane imaging with an engineered illumination field
Author(s): Heather J. Patrick; Ravikiran Attota; Bryan M. Barnes; Thomas A Germer; Michael T. Stocker; Richard M. Silver; Michael R. Bishop
Show Abstract
Optical critical dimension measurement and illumination analysis using the through-focus focus metric
Author(s): Ravikiran Attota; Richard M. Silver; Michael R. Bishop; Ronald G. Dixson
Show Abstract
Automatic CD-SEM offline recipe creation for OPC qualification and process monitoring in a DRAM pilot-fab environment
Author(s): Uwe Kramer; Thomas Marschner; Dieter Kaiser; Marc Winking; Christian Stief; Stefano Ventola; Dan Lewitzki; Zamir Abraham; Ovadya Menadeva; Sam Shukrun
Show Abstract
Virtual measurements and simulation of interference microscopes
Author(s): Friedel Koerfer; Sandra Scheermesser
Show Abstract
Characterization of line edge roughness using CD-SAXS
Author(s): Ronald L. Jones; Wen-li Wu; Cheng-qing Wang; Eric K. Lin; Kwang-Woo Choi; Bryan J. Rice; George M. Thompson; Steven J. Weigand; Denis T. Keane
Show Abstract
Progress on implementation of a CD-AFM-based reference measurement system
Author(s): Ndubuisi G. Orji; Angela Martinez; Ronald G. Dixson; John Allgair
Show Abstract
Traceable atomic force microscope dimensional metrology at NIST
Author(s): Ronald Dixson; Ndubuisi G. Orji; Joseph Fu; Michael Cresswell; Rich Allen; Will Guthrie
Show Abstract
Accurate in-line CD metrology for nanometer semiconductor manufacturing
Author(s): Baw-Ching Perng; Jyu-Horng Shieh; S.-M. Jang; M.-S. Liang; Renee Huang; Li-Chien Chen; Ruey-Lian Hwang; Joe Hsu; David Fong
Show Abstract
Carbon nanotube probes for three-dimensional critical-dimension metrology
Author(s): B. C. Park; S. J. Ahn; J. Choi; K. Y. Jung; W. Y. Song
Show Abstract
Small feature accuracy challenge for CD-SEM metrology: physical model solution
Author(s): Benjamin Bunday; John Allgair; Ofer Adan; Aviram Tam; Sergey Latinski; Guy Eytan
Show Abstract
Tools to measure CD-SEM performance
Author(s): Jihoon Kim; Kiran Jalhadi; Sachin Deo; Soo-Young Lee; David Joy
Show Abstract
Metrology tool fleet management: a comprehensive discussion of requirements and solutions
Author(s): Eric Solecky
Show Abstract
CD-AFM versus CD-SEM for resist LER and LWR measurements
Author(s): J. Foucher; A. L. Fabre; P. Gautier
Show Abstract
Impact of line width roughness on device performance
Author(s): G. F. Lorusso; L. H. A. Leunissen; C. Gustin; A. Mercha; M. Jurczak; H. M. Marchman; A. Azordegan
Show Abstract
Macro analysis of line edge and line width roughness
Author(s): Jangho Shin; Jinyoung Yoon; Youngjae Jung; SukJoo Lee; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon
Show Abstract
Characterization of across-device linewidth variation (ADLV) for 65-nm logic SRAM using CDSEM and linewidth roughness algorithms
Author(s): W. Chu; C. Radens; B. Dirahoui; I. Grauer; D. Samuels; S. Credendino; A. Nomura; R. Cornell
Show Abstract
The limits of image-based optical metrology
Author(s): R. M. Silver; B. M. Barnes; R. Attota; J. Jun; J. Filliben; J. Soto; M. Stocker; P. Lipscomb; E. Marx; H. J. Patrick; R. Dixson; R. Larrabee
Show Abstract
Multilayer overlay metrology
Author(s): C. P. Ausschnitt; J. Morningstar; W. Muth; J. Schneider; R. J. Yerdon; L. A. Binns; N. P. Smith
Show Abstract
Calibrating optical overlay measurements
Author(s): W. P. Lipscomb III; J. A. Allgair; B. D. Bunday; M. R. Bishop; R. M. Silver; R. Attota; M. D. Stocker
Show Abstract
Metrology tool fleet management: applying FMP tool matching and monitoring concepts to an overlay fleet
Author(s): Jennifer Morningstar; Eric Solecky; Chas Archie; Bill Banke
Show Abstract
In-field overlay uncertainty contributors: a back end study
Author(s): Mike Adel; Aviv Frommer; Elyakim Kassel; Pavel Izikson; Philippe Leray; Bernd Schulz; Rolf Seltmann; Jens Busch
Show Abstract
In-chip overlay metrology
Author(s): Y. S. Ku; C. H. Tung; Y. P. Li; H. L. Pang; N. P. Smith; L. Binns; T. Rigden; G. Reynolds; H. Fink
Show Abstract
Self-interferometric electrical image monitors
Author(s): Juliet Holwill; Andrew R. Neureuther
Show Abstract
Three-dimensional metrology with side-wall measurement using tilt-scanning operation in digital probing AFM
Author(s): Ken Murayama; Satoshi Gonda; Hajime Koyanagi; Tsuneo Terasawa
Show Abstract
In-line TEM sample preparation and wafer return strategy for rapid yield learning
Author(s): N. Bicaïs-Lépinay; F. André; S. Brevers; P. Guyader; C. Trouiller; L. F. Tz. Kwakman; S. Pokrant; D. Verkleij; R. Schampers; L. Ithier; E. Sicurani; C. Wyon
Show Abstract
Novel techniques for in-line acquisition of microstructure profiles
Author(s): H. Marchman
Show Abstract
Comprehensive approach to MuGFET metrology
Author(s): G. F. Lorusso; P. Leray; T. Vandeweyer; M. Ercken; C. Delvaux; I. Pollentier; S. Cheng; N. Collaert; R. Rooyackers; B. Degroote; M. Jurczak; S. Biesemans; O. Richard; H. Bender; A. Azordegan; J. McCormack; S. Shirke; J. Prochazka; T. Long
Show Abstract
Minimizing CD measurement bias through real-time acquisition of 3D feature shapes
Author(s): Johann Foucher; Dmitry Gorelikov; Marc Poulingue; Pascal Fabre; Ganesh Sundaram
Show Abstract
Automated CD-SEM recipe creation: a new paradigm in CD-SEM utilization
Author(s): Benjamin Bunday; William Lipscomb; John Allgair; Kyoungmo Yang; Shunsuke Koshihara; Hidetoshi Morokuma; Lorena Page; Alex Danilevsky
Show Abstract
Estimation of pattern shape based on CD-SEM image by using MPPC method
Author(s): T. Onozuka; Y. Ojima; J. Meessen; B. Rijpers
Show Abstract
Enabling DFM and APC strategies with advanced process metrics
Author(s): Kevin Monahan; Umar Whitney
Show Abstract
Evaluation of OPC quality using automated edge placement error measurement with CD-SEM
Author(s): Cyrus Tabery; Hidetoshi Morokuma; Akiyuki Sugiyama; Lorena Page
Show Abstract
A comprehensive test of optical scatterometry readiness for 65-nm technology production
Author(s): Vladimir A. Ukraintsev
Show Abstract
Decorrelation of fitting parameters by Mueller polarimetry in conical diffraction
Author(s): Antonello De Martino; Tatiana Novikova; Christophe Arnold; Sami BenHatit; Bernard Drévillon
Show Abstract
Dome scatterometry for the measurement of advanced geometry semiconductor devices
Author(s): Christopher J. Raymond; Mike Littau; Darren Forman; Steven G. Hummel
Show Abstract
Influence of semiconductor manufacturing process variation on device parameter measurement for angular scatterometry
Author(s): Shih-Chun Wang; Yi-Sha Ku; Deh-Ming Shyu; Chun-Hung Ko; Nigel Smith
Show Abstract
Development of an automated multiple-target mask CD disposition system to enable new sampling strategy
Author(s): Jian Ma; Jeff Farnsworth; Larry Bassist; Ying Cui; Bobby Mammen; Ramaswamy Padmanaban; Venkatesh Nadamuni; Muralidhar Kamath; Ken Buckmann; Julie Neff; Phil Freiberger
Show Abstract
Phase calibration for attenuating phase-shift masks
Author(s): Michael S. Hibbs; Timothy A. Brunner
Show Abstract
Investigation on polarization monitoring mask: pattern design and experimental verification
Author(s): Chan Hwang; Dong-Woon Park; Jang-Ho Shin; Dong-Seok Nam; Suk-Joo Lee; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon
Show Abstract
Advanced x-ray mask inspection system (AXIS) using scanning electron microscopy for sub-70-nm die-to-database inspections
Author(s): Brent E. Boerger; Mengchen Yu; Robert A. Selzer; Yungsheng Ma; Donald Ronning; Donald Ducharme; Brian J. Grenon; Michael J. Trybendis
Show Abstract
Line edge roughness on photo lithographic masks
Author(s): Torben Heins; Uwe Dersch; Roman Liebe; Jan Richter
Show Abstract
CD-etch depth measurement from advanced phase-shift masks and wafers using optical scatterometry
Author(s): Kyung M. Lee; Sanjay Yedur; Sven Henrichs; Malahat Tavassoli
Show Abstract
Polarization control for enhanced defect detection on advanced memory devices
Author(s): Byoung-Ho Lee; Dong-Chul Ihm; Jeong-Ho Yeo; Yael Gluk; Doron Meshulach
Show Abstract
Product and tool control using integrated auto-macro defect inspection in the photolithography cluster
Author(s): Vinayan C. Menon; Robert L. Isaacson; Matthew C. Nicholls; Stephen J. Lickteig; Thomas Forstner; Anthony R. Barnett; James Mulhall
Show Abstract
Evaluation of damage induced by electron-beam irradiation to MOS gate pattern and method for damage-free inspection
Author(s): Miyako Matsui; Syuntaro Machida; Toshiyuki Mine; Kazuyuki Hozawa; Kikuo Watanabe; Yasushi Goto; Jiro Inoue; Hiroshi Nagaishi
Show Abstract
In-line semi-electrical process diagnosis methodology for integrated process window optimization of 65nm and below technology nodes
Author(s): Ming-Ta Lei; Kok-Hiang Tang; Yung-Chih Wang; Chia-Hsing Huang; Chih-Cherng Jeng; Lu-Kai Wang; Wei Fang; Yan Zhao; Jack Jau; Chin C. Hsia; M. S. Liang
Show Abstract
The use of unpatterned wafer inspection for immersion lithography defectivity studies
Author(s): Frank Holsteyns; Lisa Cheung; Dieter Van Den Heuvel; Gino Marcuccilli; Gavin Simpson; Roland Brun; Andy Steinbach; Wim Fyen; Diziana Vangoidsenhoven; Paul Mertens; Mireille Maenhoudt
Show Abstract
Inline CD metrology with combined use of scatterometry and CD-SEM
Author(s): Masafumi Asano; Takahiro Ikeda; Toru Koike; Hideaki Abe
Show Abstract
Scatterometry measurements of line end shortening structures for focus-exposure monitoring
Author(s): Kelvin Hung; Yung Feng Cheng; Jie Wei Sun; Benjamin Szu-Min Lin; Steven Fu; Thaddeus G. Dziura; Marcelo Cusacovich; Walter D. Mieher
Show Abstract
Back end of line metrology control applications using scatterometry
Author(s): Linda Towidjaja; Christopher Raymond; Mike Littau; Darren Forman; Steven G. Hummel
Show Abstract
Compensating measured intra-wafer ring oscillator stage delay with intra-wafer exposure dose corrections
Author(s): Staf Verhaegen; Axel Nackaerts; Mircea Dusa; Rene Carpaij; Geert Vandenberghe; Jo Finders
Show Abstract
Characterization of critical dimension uniformity through in-situ detection of aerial images in a scanner
Author(s): Stefan Hunsche; Michael J. Gassner; Yu Cao; Hsin Chang; Jeng-Horng Chen
Show Abstract
Correlation of scatterometry sensitivities to variation in device parameters
Author(s): Chun-Hung Ko; Yi-sha Ku; Nigel Smith
Show Abstract
Integrated aerial image sensor (AIS): modeling and assembly
Author(s): Jing Xue; Kurt Moen; Costas J. Spanos
Show Abstract
Improvement of alignment and overlay accuracy on amorphous carbon layers
Author(s): Young-Sun Hwang; Eung-kil Kang; Ki-lyoung Lee; Keun-Do Ban; Cheol-Kyu Bok; Chang-Moon Lim; Hyeong-Soo Kim; Seung-Chan Moon
Show Abstract
nDSE-based overlay alignment: enabling technology for nanometrology and fabrication
Author(s): Jun Gao; Carl Picciotto; Wei Wu; Inkyu Park; William M. Tong
Show Abstract
Long-range nanopositioning and nanomeasuring machine for application to micro- and nanotechnology
Author(s): Gerd Jäger; Tino Hausotte; Hans-Joachim Büchner; Eberhard Manske; Ingomar Schmidt; Rostyslav Mastylo
Show Abstract
CD variations correction by local transmission control of photomasks done with a novel laser-based process
Author(s): Eitan Zait; Vladimir Dmitriev; Sergey Oshemkov; Guy Ben-Zvi; Dany Michaelis
Show Abstract
Verification of the system of defect inspection on patterned wafers using sub-200nm wavelength light
Author(s): Tetsuo Takahashi; Yoko Miyazaki; Toshihiko Tanaka; Tsuneo Terasawa; Naoya Takeuchi
Show Abstract
Electron beam based modification of lithographic materials and the impact on critical dimensional metrology
Author(s): H. Marchman; G. F. Lorusso; D. Soltz; L. Grella; Z. Luo; J. D. Byers; J. Varner; S. Vedula; R. Kuppa; A. R. Azordegan; G. Storms; L. H. Leunissen
Show Abstract
ArF scanner performance improvement by using track integrated CD optimization
Author(s): Jacky Huang; Shinn-Sheng Yu; Chih-Ming Ke; Timothy Wu; Yu-Hsi Wang; Tsai-Sheng Gau; Dennis Wang; Allen Li; Wenge Yang; Araki Kaoru
Show Abstract
An advanced AFM sensor for high-aspect ratio pattern profile in-line measurement
Author(s): Masahiro Watanabe; Shuichi Baba; Toshihiko Nakata; Toru Kurenuma; Hiroshi Kuroda; Takenori Hiroki
Show Abstract
Lithography rework reduction and improved process control using AIM targets on aluminum layers in the high-volume production of 110-nm DRAM devices
Author(s): Detlef Hofmann; Frank Rabe; Yosef Avrahamov; Christian Sparka
Show Abstract
In-line monitoring of advanced copper CMP processes with picosecond ultrasonic metrology
Author(s): Ming Hsun Hsieh; J. H. Yeh; Mingsheng Tsai; Chan Lon Yang; John Tan; Sean Patrick Leary
Show Abstract
Bias-free measurement of LER/LWR with low damage by CD-SEM
Author(s): Atsuko Yamaguchi; Robert Steffen; Hiroki Kawada; Takashi Iizumi
Show Abstract
Study of critical dimension and overlay measurement methodology using SEM image analysis for process control
Author(s): Tae Yong Lee; Byoung Ho Lee; Soo Bok Chin; Young Sun Cho; Jun Sik Hong; Jong Seo Hong; Chang Lyong Song
Show Abstract
Printability study with polarisation capable AIMS™ fab 193i to study polarisation effects
Author(s): Axel Zibold; Ulrich Stroessner; Andrew Ridley; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch; Eric Poortinga; Rainer Schmid; Joost Bekaert; Vicky Philipsen; Lieve Van Look; Peter Leunissen
Show Abstract
Image-based nanoscale dimensional metrology
Author(s): An-Shun Liu; Yi-Sha Ku; Nigel Smith
Show Abstract
The study to enhance the accuracy of FIB repair on mask pattern of DRAM
Author(s): Yongkyoo Choi; Heecheon Kim; Sangchul Kim; Oscar Han
Show Abstract
Improved profile measurement accuracy via feed-forward spectroscopic ellipsometry
Author(s): Robert M. Peters; Suresh Lakkapragada
Show Abstract
Statistical analysis of CD-SEM measurement and process control in the indistinguishable multiprocess patterns
Author(s): Duck-Sun Yang; Myung-Ho Jung; Young-Mi Lee; Cha-Won Koh; Gi-Sung Yeo; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon
Show Abstract
Contact-area metrology of magnetic tunneling junction structures
Author(s): Tom Zhong; Daniel Liu; Amit Moran; Michael Levkovitch; Michael Har-Zvi; Bob Burkhardt
Show Abstract
An advanced study for defect disposition through 193-nm aerial imaging
Author(s): Arndt C. Dürr; Axel M. Zibold; Klaus Böhm
Show Abstract
Characterizing optical proximity effect difference among exposure tools
Author(s): Jongkyun Hong; Jeonkyu Lee; Eunsuk Kang; Hyunjo Yang; Donggyu Yim; Jinwoong Kim
Show Abstract
EUV wavefront metrology at EUVA
Author(s): Chidane Ouchi; Seima Kato; Masanobu Hasegawa; Takayuki Hasegawa; Hideo Yokota; Katsumi Sugisaki; Masashi Okada; Katsuhiko Murakami; Jun Saito; Masahito Niibe; Mitsuo Takeda
Show Abstract
Probabilistic calibration of a simple resist model for simulation-based scoring of mask defects
Author(s): Gerard T. Luk-Pat; Raghava V. Kondepudy; Robert Du; Ray E. Morgan
Show Abstract
Advanced CDSEM matching methodology for OPC litho-cell-based matching verification
Author(s): Ofer Adan; Hugo Cramer; Erik Van Brederode; Robert Schreutelkamp; Ilan Englard
Show Abstract
Critical dimension AFM tip characterization and image reconstruction applied to the 45-nm node
Author(s): Gregory Dahlen; Marc Osborn; Hao-Chih Liu; Rohit Jain; William Foreman; Jason R. Osborne
Show Abstract
Bossung curves: an old technique with a new twist for sub-90-nm nodes
Author(s): Terrence E. Zavecz
Show Abstract
Application of scatterometry to measure organic material profile
Author(s): Sunyoung Koo; Keundo Ban; Chang-moon Lim; Cheolkyu Bok; Seung-Chan Moon; Jinwoong Kim
Show Abstract
Characterization and applications of an in-scanner aerial image detection system
Author(s): S. Hunsche; M. J. Gassner; J. A. Schefske; E. R. Kent; A. Acheta
Show Abstract
An in-line image quality monitoring system for imaging device fabrication using automated macro-inspection
Author(s): Tohru Sasaki; Kunihiko Hikichi; Dai Sugimoto; Nozomu Izumi; Mitsuru Uda; Atsushi Kohayase; Hiroshi Yamashita
Show Abstract
Imaging simulations of optimized overlay marks with deep sub-resolution features
Author(s): Daniel Kandel; Michael E. Adel; Aviv Frommer; Vladimir Levinski; Alexandra Rapoport; Richard M. Silver
Show Abstract
Carbon nanotube AFM probes for microlithography process control
Author(s): Hao-Chih Liu; David Fong; Gregory A. Dahlen; Marc Osborn; Sean Hand; Jason R. Osborne
Show Abstract
Spectroscopic polarized scatterometry applied to single-line profiling
Author(s): Jean-Louis Stehlé; Jean-Philippe Piel; Jose Campillo; Dorian Zahorski; Hugues Giovannini
Show Abstract
Modeling of substrate current measurement and charge transfer in insulators
Author(s): Yeong-Uk Ko; Keizo Yamada; Takeo Ushiki; Robert Newcomb
Show Abstract
An integrated approach to the determination of a manufacturable process window in advanced microlithography
Author(s): Marcelo Cusacovich; John C. Robinson; Shaunee Cheng; Greet Storms; Philippe Leray; Gian F. Lorusso
Show Abstract
New OPC verification method using die-to-database inspection
Author(s): Hyunjo Yang; Jaeseung Choi; Byungug Cho; Jongkyun Hong; Jookyoung Song; Donggyu Yim; Jinwoong Kim; Masahiro Yamamoto
Show Abstract
New measuring technique of complex index of immersion liquids
Author(s): Jean-Louis Stehlé; Jean-Philippe Piel; Jose Campillo-Carreto
Show Abstract
Using in-line AFM to monitor STI profile in 65-nm technology development
Author(s): Ming Hsun Hsieh; J. H. Yeh; Mingsheng Tsai; Chan Lon Yang
Show Abstract
Diffraction signature analysis methods for improving scatterometry precision
Author(s): Mike Littau; Darren Forman; Josh Bruce; Christopher J. Raymond; Steven G. Hummel
Show Abstract
Integrated projecting optics tester for inspection of immersion ArF scanner
Author(s): Toru Fujii; Kosuke Suzuki; Yasushi Mizuno; Naonori Kita
Show Abstract
Integrated electrostatic microsensors for the development of modeling techniques of defects in the actuation of large micro-electromechanical systems (MEMS)
Author(s): Timothy Reissman; Ephrahim Garcia; Nicolae Lobontiu; Yoonsu Nam
Show Abstract
Overlay improvement by using new framework of grid compensation for matching
Author(s): Ayako Sukegawa; Shinji Wakamoto; Shinichi Nakajima; Masaharu Kawakubo; Nobutaka Magome
Show Abstract
Optimization of an integrated and automated macro inspection system for the utilization of wafer color variation detection in a photolithography cluster
Author(s): Stephen J. Lickteig; Thomas W. Forstner; Anthony R. Barnett; David S. Dixon; Vinayan C. Menon; Robert L. Isaacson; Matthew C. Nicholls; Yonqiang Liu; Pinar Kinikoglu
Show Abstract
Optical measurements of critical dimensions at several stages of the mask fabrication process
Author(s): John C. Lam; Alexander Gray
Show Abstract
Aerial image sensor: in-situ scanner aberration monitor
Author(s): Jacek K. Tyminski; Tsuneyuki Hagiwara; Naoto Kondo; Hiroshi Irihama
Show Abstract
Correlation of wafer backside defects to photolithography hot spots using advanced macro inspection
Author(s): Alan Carlson; Tuan Le
Show Abstract
High-performance imprint lithography and novel metrology methods using multifunctional perfluoropolyethers
Author(s): Ginger Denison Rothrock; Benjamin Maynor; Jason P. Rolland; Joseph M. DeSimone
Show Abstract
An integrated solution for photomask manufacturing, handling, and storage at 65 nm and below
Author(s): Jörg Schwitzgebel; Guangming Xiao; Barry Rockwell; Sammy Nozaki; Ali Darvish; Chris Wu
Show Abstract
Defining the role of SEM metrology for advanced process control
Author(s): A. Nikitin; A. Sicignano; D. Yeremin; M. Sandy; T. Goldburt
Show Abstract
Metrology delay time reduction in lithography via small-lot wafer transport
Author(s): Vinay K. Shah; Eric A. Englhardt; Sushant Koshti; Helen R. Armer
Show Abstract
Increased yield and tool life by reduction of DUV photo contamination using parts-per-trillion pure purge gases
Author(s): Cristian Landoni; Marco Succi; Larry Rabellino
Show Abstract
High-throughput contact critical dimension and gray level value measurement
Author(s): Hong Xiao; Wei Fang; Yan Zhao; Mark Huang; Kai Wang; Darren Wong; Jack Jau
Show Abstract
Real-time on-line monitoring of process water for low concentrations of bacteria
Author(s): John A. Adams; David McCarty; Kristina Crousore
Show Abstract
Mask inspection method using the electron beam inspection system based on projection electron microscopy
Author(s): Atsushi Onishi; Ichirota Nagahama; Yuichiro Yamazaki; Nobuharu Noji; Toru Kaga; Kenji Terao
Show Abstract
3D isolated and periodic grooves measurement simulations the semiconductor circuits by scatterometry using the FDTD methods and the time shortening calculation method
Author(s): Hirokimi Shirasaki
Show Abstract
Lens-cementing technology used in optical system of DUV wavelength region: selection of optical cement and degradation evaluation by DUV irradiation
Author(s): Takashi Takahashi; Susumu Saito; Toshiki Okumura; Etsuya Suzuki; Tatsuya Kojima; Shinsuke Motomiya; Hidesuke Maruyama; Hitoshi Suzuki; Koji Machida; Toru Tojo
Show Abstract
Swing curve measurement and simulation for high NA lithography
Author(s): J. Bauer; U. Haak; K. Schulz; G. Old; A. Kraft
Show Abstract
An investigation of the removal of 1-Methyl-2-Pyrrolidinone (NMP)
Author(s): Andrew J. Dallas; Lefei Ding; Jon Joriman; Brian Hoang; Kevin Seguin; Dustin Zastera
Show Abstract
Köhler illumination for high-resolution optical metrology
Author(s): Yeung Joon Sohn; Brian M. Barnes; Lowell Howard; Richard M. Silver; Ravikiran Attota; Michael T. Stocker
Show Abstract
Critical dimension variations of I-line processes due to swing effects
Author(s): C. Berger; R. Schiwon; S. Trepte; M. Friedrich; M. Kubis; J. Horst; A. G. Grandpierre
Show Abstract
Detection signal analysis of actinic inspection of EUV mask blanks using dark-field imaging
Author(s): Toshihiko Tanaka; Yoshihiro Tezuka; Tsuneo Terasawa; Toshihisa Tomie
Show Abstract
Comparison of I-line and DUV high-energy implant litho processes
Author(s): A. G. Grandpierre; C. Berger; U. P. Schroeder; R. Schiwon; M. Kubis
Show Abstract
Overlay improvement by nonlinear error correction and nonlinear error control by APC
Author(s): Dongsub Choi; Andreas Jahnke; Karl Schumacher; Max Hoepfl
Show Abstract
Super-resolving optical microscope by means of two-photon entanglement
Author(s): Naoki Fukutake
Show Abstract
Influence of electron incident angle distribution on CD-SEM linewidth measurements
Author(s): Maki Tanaka; Chie Shishido; Hiroki Kawada
Show Abstract
Faster root cause analysis with integrated SEM-FIB application
Author(s): S. K. Wee; D. Cheung; D. Chua; S. L. Ng; S. Keisari
Show Abstract
Open-loop measurement of data sampling point for SPM
Author(s): Yueyu Wang; Xuezeng Zhao
Show Abstract
Feedback model evaluation of high-mix product manufacturing
Author(s): Dion King; Mingjen Cheng; Aho Lu; Zhibiao Mao; Curtis Liang
Show Abstract
Image resolution monitoring technique for CD-SEM
Author(s): Mayuka Oosaki; Chie Shishido; Hiroki Kawada; Robert Steffen
Show Abstract
ArF photo resist pattern sample preparation method using FIB without protective coating
Author(s): Hirohisa Okushima; Toshihiko Onozuka; Yasushi Kuroda; Toshie Yaguchi; Kaoru Umemura; Ryuichiro Tamochi; Kenji Watanabe; Norio Hasegawa; Isao Kawata; Bart Rijpers
Show Abstract
Real-time aerial-images-based mask inspection, die-to-wafer image inspection
Author(s): Akira Takada; Toru Tojo
Show Abstract
Spatial analysis of line-edge roughness through scaling and fractal concepts using AFM techniques
Author(s): Ning Li; Xuezeng Zhao; Weijie Wang; Hongbo Li
Show Abstract
Improving scanner productivity and control through innovative connectivity application
Author(s): Yuuki Ishii; Shinji Wakamoto; Atsuhiko Kato; Brad Eichelberger
Show Abstract
Study of ADI (after develop inspection) using electron beam
Author(s): Misako Saito; Teruyuki Hayashi; Kaoru Fujihara; Kazuha Saito; Joseph Lin; Ryotaro Midorikawa
Show Abstract
Leakage monitoring and control with an advanced e-beam inspection system
Author(s): Hermes Liu; J. H. Yeh; Chan Lon Yang; S. C. Lei; J. Y. Kao; Y. D. Yang; Mingsheng Tsai; S. F. Tzou; Wei-Yih Wu; Hong-Chi Wu; Hong Xiao; Jack Jau
Show Abstract
High-resistance W-plug monitoring with an advance e-beam inspection system
Author(s): Hermes Liu; J. H. Yeh; Chan Lon Yang; S. C. Lei; J. Y. Kao; Y. D. Yang; Mingsheng Tsai; S. F. Tzou; Wei-Yih Wu; Hong-Chi Wu; Hong Xiao; Jack Jau
Show Abstract
The effect of transmission reduction by reticle haze formation
Author(s): Sung-Jin Kim; Jai-Sun Kyoung; Jin-Back Park; Young-Hoon Kim; Seung-Wook Park; Il-Sin An; Hye-Keun Oh
Show Abstract
AIM technology for nonvolatile memories microelectronics devices
Author(s): Pier Luigi Rigolli; Laura Rozzoni; Catia Turco; Umberto Iessi; Marco Polli; Elyakim Kassel; Pavel Izikson; Yosef Avrahamov
Show Abstract
Effect of grating pitch variation on scatterometry measurements
Author(s): Darren Forman; Mike Littau; Christopher J. Raymond; Steven G. Hummel
Show Abstract
Satellite spot defect reduction on 193-nm contact hole lithography using photo cell monitor methodology
Author(s): Caroline Boulenger; Jean-Luc Caze; Mihaela Mihet
Show Abstract
Robust defect detection method using reference image averaging for high-throughput SEM wafer pattern inspection system
Author(s): Hirohito Okuda; Takashi Hiroi
Show Abstract
Error factor in bottom CD measurement for contact hole using CD-SEM
Author(s): Hideaki Abe; Yuichiro Yamazaki
Show Abstract
A novel approach to characterize trench depth and profile using the 3D tilt capability of a critical dimension-scanning electron microscope at 65nm technology mode
Author(s): R. Srivastava; P. Yelehanka; H. A. Kek; S. L. Ng; V. Srinivasan; R. Peltinov
Show Abstract
Landing energy influence on CD-SEM measurement precision and accuracy
Author(s): Anne-Lise Fabre; Johann Foucher; M. Poulingue; P. Fabre; Ganesh Sundaram
Show Abstract
65-nm photolithography process window qualification study with advanced e-beam metrology and inspection systems
Author(s): Ruei Hung Hsu; Benjamin Szu-Min Lin; Wei-Yih Wu; Hong Xiao; Jack Jau
Show Abstract
Bias reduction in roughness measurement through SEM noise removal
Author(s): R. Katz; C. D. Chase; R. Kris; R. Peltinov; J. Villarrubia; B. Bunday
Show Abstract
Low-pressure drop airborne molecular contaminant filtration using open-channel networks
Author(s): Andrew J. Dallas; Lefei Ding; Jon Joriman; Dustin Zastera; Kevin Seguin; James Empson
Show Abstract
Development of the automatic recipe generation system for CD-SEM using design data
Author(s): Ryoichi Matsuoka; Atsushi Miyamoto; Wataru Nagatomo; Hidetoshi Morokuma; Takumichi Sutani
Show Abstract
From speculation to specification: a discussion on how to define the tolerance of LER/LWR and its measurement methodology
Author(s): Atsuko Yamaguchi; Robert Steffen; Hiroki Kawada; Takashi Iizumi; Aritoshi Sugimoto
Show Abstract
Modeling of linewidth measurement in SEMs using advanced Monte Carlo software
Author(s): S. Babin; S. Borisov; A. Ivanchikov; I. Ruzavin
Show Abstract
Application of nano-tips to cold field emission CD-SEM
Author(s): András E. Vladár; Zsolt Radi; Michael T. Postek; Premsagar P. Kavuri
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray