Share Email Print
cover

PROCEEDINGS VOLUME 5751

Emerging Lithographic Technologies IX
Editor(s): R. Scott Mackay

*This item is only available on the SPIE Digital Library.


Volume Details

Volume Number: 5751
Date Published: 6 May 2005

Table of Contents
show all abstracts | hide all abstracts
Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography
Author(s): S. E. Steen; S. J. McNab; L. Sekaric; I. Babich; J. Patel; J. Bucchignano; M. Rooks; D. M. Fried; A. W. Topol; J. R. Brancaccio; R. Yu; J. M. Hergenrother; J. P. Doyle; R. Nunes; R. G. Viswanathan; S. Purushothaman; M. B. Rothwell
Show Abstract
Electron beam direct write lithography flexibility for ASIC manufacturing: an opportunity for cost reduction
Author(s): L. Pain; M. Jurdit; J. Todeschini; S. Manakli; B. Icard; B. Minghetti; G. Bervin; A. Beverina; F. Leverd; M. Broekaart; P. Gouraud; V. De Jonghe; Ph. Brun; S. Denorme; F. Boeuf; V. Wang; D. Henry
Show Abstract
Nanoimprint lithography: the path toward high-tech, low-cost devices
Author(s): William M. Tong; Scott D. Hector; Gun-Young Jung; Wei Wu; James Ellenson; Kenneth Kramer; Timothy Hostetler; Susan K. Richards; R. Stanley Williams
Show Abstract
EUV microexposures at the ALS using the 0.3-NA MET projection optics
Author(s): Patrick Naulleau; Kenneth A. Goldberg; Erik Anderson; Jason P. Cain; Paul Denham; Brian Hoef; Keith Jackson; Anne-Sophie Morlens; Seno Rekawa; Kim Dean
Show Abstract
One small step: world's first integrated EUVL process line
Author(s): Jeanette M. Roberts; Terence Bacuita; Robert L. Bristol; Heidi B. Cao; Manish Chandhok; Sang H. Lee; Eric M. Panning; Melissa Shell; Guojing Zhang; Bryan J. Rice
Show Abstract
High-resolution EUV imaging tools for resist exposure and aerial image monitoring
Author(s): M. Booth; O. Brisco; A. Brunton; J. Cashmore; P Elbourn; G. Elliner; M. Gower; J. Greuters; P. Grunewald; R. Gutierrez; T. Hill; J. Hirsch; L. Kling; N. McEntee; S. Mundair; P. Richards; V. Truffert; I. Wallhead; M. Whitfield; R. Hudyma
Show Abstract
Development of the ASML EUV alpha demo tool
Author(s): Hans Meiling; Vadim Banine; Noreen Harned; Brian Blum; Peter Kuerz; Henk Meijer
Show Abstract
Lithographic performance of high-numerical-aperture (NA=0.3) EUV small-field exposure tool (HINA)
Author(s): H. Oizumi; Y. Tanaka; F. Kumasaka; I. Nishiyama; H. Kondo; M. Shiraishi; T. Oshino; K. Sugisaki; K. Murakami
Show Abstract
Comparison of EUV interferometry methods in EUVA project
Author(s): Seima Kato; Chidane Ouchi; Masanobu Hasegawa; Akiyoshi Suzuki; Takayuki Hasegawa; Katsumi Sugisaki; Masashi Okada; Yucong Zhu; Katsuhiko Murakami; Jun Saito; Masahito Niibe; Mitsuo Takeda
Show Abstract
Oxidation resistance of Ru-capped EUV multilayers
Author(s): Sasa Bajt; Zu Rong Dai; Erik J. Nelson; Mark A. Wall; Jennifer Alameda; Nhan Nguyen; Sherry Baker; Jeffrey C. Robinson; John S. Taylor; Miles Clift; Andy Aquila; Eric M. Gullikson; N. V. Ginger Edwards
Show Abstract
Investigation of plasma-induced erosion of multilayer condenser optics
Author(s): Richard J. Anderson; Dean A. Buchenauer; K. A. Williams; W. M. Clift; L. E. Klebanoff; N. V. Edwards; O. R. Wood II; S. Wurm
Show Abstract
Substrate smoothing for high-temperature condenser operation in EUVL source environments
Author(s): Regina Soufli; Sherry L. Baker; Susan Ratti; Jeff C. Robinson; Sasa Bajt; Jennifer B. Alameda; Eberhard Spiller; John S. Taylor; Eric M. Gullikson; Franklin J. Dollar; Andrew L. Aquila; Robert L. Bristol
Show Abstract
Low thermal expansion substrate material for EUVL components application
Author(s): Kousuke Nakajima; Toshihide Nakajima; Yoshiyuki Owari
Show Abstract
Development of low damage mask making process on EUV mask with thin CrN buffer layer
Author(s): Mitsuhiro Kureishi; Ryo Ohkubo; Morio Hosoya; Tsutomu Shoki; Noriyuki Sakaya; Hideo Kobayashi; Osamu Nozawa; Yoh-ichi Usui; Osamu Nagarekawa
Show Abstract
Progress towards the development of a commercial tool and process for EUVL mask blanks
Author(s): Andy Ma; Patrick Kearney; Dave Krick; Rajul Randive; Ira Reiss; Paul Mirkarimi; Eberhard Spiller
Show Abstract
EUV mask blank readiness for 45-nm HP 2009 manufacturing
Author(s): Phil Seidel D.D.S.
Show Abstract
Recent results on EUV mask blank multilayers and absorbers
Author(s): Holger Seitz; Frank Sobel; Markus Renno; Thomas Leutbecher; Nathalie Olschewski; Thorsten Reichardt; Ronny Walter; Hans Becker; Ute Buttgereit; Guenter Hess; Konrad Knapp; Christian Wies; Rainer Lebert
Show Abstract
Simulation of fluid flow in the step and flash imprint lithography process
Author(s): Shravanthi Reddy; Roger T. Bonnecaze
Show Abstract
Direct imprinting of dielectric materials for dual damascene processing
Author(s): Michael D. Stewart; Jeffery T. Wetzel; Gerard M. Schmid; Frank Palmieri; Ecron Thompson; Eui Kyoon Kim; David Wang; Ken Sotodeh; Kane Jen; Stephen C. Johnson; Jianjun Hao; Michael D. Dickey; Yukio Nishimura; Richard M. Laine; Douglas J. Resnick; C. Grant Willson
Show Abstract
Development of an etch-definable lift-off process for use with step and flash imprint lithography
Author(s): Ngoc V. Le; Kathleen A. Gehoski; William J. Dauksher; Jeffrey H. Baker; Doug J. Resnick; Laura Dues
Show Abstract
Step and repeat UV-nanoimprint lithography using a large area stamp
Author(s): Jun-ho Jeong; Young-suk Sim; Hyonkee Sohn; Eung-sug Lee
Show Abstract
EUV sources for EUV lithography in alpha-, beta-, and high volume chip manufacturing: an update on GDPP and LPP technology
Author(s): U. Stamm; J. Kleinschmidt; K. Gabel; G. Hergenhan; C. Ziener; G. Schriever; I. Ahmad; D. Bolshukhin; J. Brudermann; R. de Bruijn; T. D. Chin; A. Geier; S. Gotze; A. Keller; V. Korobotchko; B. Mader; J. Ringling; T. Brauner
Show Abstract
EUV source system development update: advancing along the path to HVM
Author(s): D. W. Myers; I. V. Fomenkov; B. A. M. Hansson; B. C. Klene; D. C. Brandt
Show Abstract
Integrating Philips’ extreme UV source in the alpha-tools
Author(s): Joseph Pankert; Rolf Apetz; Klaus Bergmann; Guenther Derra; Maurice Janssen; Jeroen Jonkers; Jurgen Klein; Thomas Kruecken; Andreas List; Michael Loeken; Christof Metzmacher; Willi Neff; Sven Probst; Ralph Prummer; Oliver Rosier; Stefan Seiwert; Guido Siemons; Dominik Vaudrevange; Dirk Wagemann; Achim Weber; Peter Zink; Oliver Zitzen
Show Abstract
Performance of kilowatt-class laser modules in scaling up laser produced plasma (LPP) EUV source
Author(s): Samir Ellwi; Andrew Comley; Nick Hay; Ian Henderson; Michael Brownell
Show Abstract
High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL
Author(s): Chiew-Seng Koay; Simi George; Kazutoshi Takenoshita; Robert Bernath; Etsuo Fujiwara; Martin Richardson; Vivek Bakshi
Show Abstract
Characterization of flare on Intel’s EUV MET
Author(s): Sang Hun Lee; Manish Chandhok; Jeanette Roberts; Bryan J. Rice
Show Abstract
Lithographic measurement of EUV flare in the 0.3-NA micro exposure tool optic at the Advanced Laser Source
Author(s): Jason P. Cain; Patrick Naulleau; Costas J. Spanos
Show Abstract
EUV focus sensor: design and modeling
Author(s): Kenneth A. Goldberg; Maureen E. Teyssier; J. Alexander Liddle
Show Abstract
Flare and lens aberration requirements for EUV lithographic tools
Author(s): Sang Hun Lee; Yashesh Shroff; Manish Chandhok
Show Abstract
Layout compensation for EUV flare
Author(s): Franklin M. Schellenberg; James Word; Olivier Toublan
Show Abstract
Zone-plate-array lithography (ZPAL): optical maskless lithography for cost-effective patterning
Author(s): Rajesh Menon; Amil Patel; David Chao; Michael Walsh; Henry I. Smith
Show Abstract
Arrayed microcolumns for high throughput lithography
Author(s): Ho Seob Kim; Dae-Wook Kim; Seung Joon Ahn; Young Chul Kim; Yong Jang; Hyeng Woo Kim; Sang Kook Choi; Dae Yong Kim
Show Abstract
Maskless EUV lithography via optically addressed modulator
Author(s): Malcolm W. McGeoch
Show Abstract
Proof-of-concept tool development for projection mask-less lithography (PML2)
Author(s): Hans-Joachim Doering; Thomas Elster; Joachim Heinitz; Olaf Fortagne; Christoph Brandstaetter; Ernst Haugeneder; Stefan Eder-Kapl; Gertraud Lammer; Hans Loeschner; Klaus Reimer; Joerg Eichholz; Juergen Saniter
Show Abstract
Complexity reduction for C4 compression for implementation in maskless lithography datapath
Author(s): Vito Dai; Avideh Zakhor
Show Abstract
Mask fabrication towards sub-10 nm imprint lithography
Author(s): Jian Gu; Chun-Ping Jen; Qihuo Wei; Chiafu Chou; Frederic Zenhausern
Show Abstract
Fabrication of a 3D nano-imprint template with a conformal dry vapor deposited electron beam resist
Author(s): Jacques Beauvais; Eric Lavallee; Andrew Zanzal; Dominique Drouin; Kien Mun Lau; Teodor Veres; Bo Cui
Show Abstract
High durable mold fabricated with hot-embossing a sol-gel derived organically modified silicate film
Author(s): Eri Ito; Kenjiro Hasui; Masahiro Tomiki; Naomichi Okamoto
Show Abstract
Perfluoropolyethers as novel materials for soft lithography
Author(s): Jason P. Rolland; Eric C. Hagberg; Kenneth R. Carter; Joseph M. DeSimone
Show Abstract
Pattern fidelity in nanoimprinted films using CD-SAXS
Author(s): Ronald L. Jones; Christopher L. Soles; Eric K. Lin; Walter Hu; Ronald M. Reano; Stella W. Pang; Steven J. Weigand; Denis T. Keane; John P. Quintana
Show Abstract
EUV mask blank activities at LETI: defect detection at 80 nm
Author(s): J. Hue; E. Quesnel; V. Muffato; M. Vabre; S. Favier
Show Abstract
Comparison of EUV mask architectures by process window analysis
Author(s): Siegfried Schwarzl; Frank-Michael Kamm; Stefan Hirscher; Klaus Lowack; Wolf-Dieter Domke; Markus Bender; Stefan Wurm; Adam R. Pawloski; Bruno La Fontaine; Christian Holfeld; Uwe Dersch; Florian Letzkus; Joerg Butschke
Show Abstract
Approximation of three dimensional mask effects with two dimensional features
Author(s): Min Bai; Lawrence S. Melvin III; Qiliang Yan; James P. Shiely; Bradley J. Falch; Chong-Cheng Fu; Ruoping Wang
Show Abstract
A 3D substrate and buried defect simulator for EUV mask blanks
Author(s): Michael C. Lam; Andrew R. Neureuther
Show Abstract
Simulation analysis of defect repair methods for EUVL Mo/Si multilayer mask blanks
Author(s): Takeo Hashimoto; Iwao Nishiyama
Show Abstract
Nikon EPL tool: the latest development status and results
Author(s): Takaharu Miura; Shintaro Kawata; Kazunari Hada; Yukio Kakizaki; Masaya Miyazaki; Kazuaki Suzuki; Noriyuki Hirayanagi; Atsushi Yamada; Junji Ikeda; Takehisa Yahiro; Jin Udagawa; Hidekazu Takekoshi; Takaaki Umemoto; Yukiharu Ohkubo; Toshimasa Shimoda; Toru Tanida; Yoichi Watanabe; Kaoru Ohmori; Futoshi Mori; Shigeru Takemoto; Takeshi Yoshioka; Kenji Morita
Show Abstract
The improvement of the overlay accuracy using the reticle distortion correction for EPL technologies
Author(s): Kaoru Koike; Hiroshi Sakaue; Hiroshi Arimoto; Akira Tamura; Takashi Susa; Kojiro Ito
Show Abstract
Device based evaluation of electron projection lithography
Author(s): Carmelo Romeo; Pietro Cantu; Daniel Henry; Hidekazu Takekoshi; Noriyuki Hirayanagi; Kazuaki Suzuki; Martin McCallum; Hiroshi Fujita; Tadahiko Takikawa; Morihisa Hoga
Show Abstract
EPL performance in 65-nm node metallization technology and beyond
Author(s): F. Koba; T. Tsuchida; H. Sakaue; K. Koike; J. Yamamoto; N. Iriki; H. Yamashita; S. Kageyama; T. Nasuno; E. Soda; K. Takeda; H. Kobayashi; F. Shoji; H. Okamura; Y. Matsubara; H. Arimoto
Show Abstract
Preliminary study on systematic optimization of EPL mask infrastructure
Author(s): Nobuyuki Iriki; Jiro Yamamoto; Hiroshi Arimoto
Show Abstract
Resist sensitivity and thickness-based beam count optimization for parallel low energy E-beam exposure systems
Author(s): Jacques Beauvais; Eric Lavallee; Dominique Drouin; Andrew Zanzal; Prasad Kelkar
Show Abstract
BEOL process technology based on proximity electron lithography: demonstration of the via-chain yield comparable with ArF lithography
Author(s): S. Nohdo; S. Omori; K. Iwase; M. Yoshizawa; T. Motohashi; K. Oguni; K. Nakayama; H. Egawa; T. Takeda; T. Morikawa; S. Nohama; H. Nakano; T. Kitagawa; S. Moriya; H. Kawahira
Show Abstract
Optimal zone plates for x-ray lithography
Author(s): R. Zheng; L. Jiang; M. Feldman
Show Abstract
Ion beam imprinting system
Author(s): Ye Chen; Lili Ji; Bret P. van den Akker; Qing Ji; Ka-Ngo Leung; Wigbert J. Siekhaus
Show Abstract
Plasma pinch EUV source with particle injection
Author(s): Malcolm W. McGeoch
Show Abstract
Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources
Author(s): Kazutoshi Takenoshita; Chiew-Seng Koay; Somsak Teerawattansook; Martin Richardson; Vivek Bakshi
Show Abstract
The effect of debris on collector optics, its mitigation and repair: next-step a gaseous Sn EUV DPP source
Author(s): Brian E. Jurczyk; Darren A. Alman; Erik L. Antonsen; Michael A. Jaworski; Michael J. Williams; David N. Ruzic; Tim P. Spila; Ginger Edwards; Stefan Wurm; Obert R. Wood; Robert L. Bristol
Show Abstract
Properties of EUV and particle generations from laser-irradiated solid- and low-density tin targets
Author(s): Shinsuke Fujioka; Hiroaki Nishimura; Tomoharu Okuno; Yezheng Tao; Nobuyoshi Ueda; Tsuyoshi Ando; Hiroto Kurayama; Yuzuri Yasuda; Shigeaki Uchida; Yoshinori Shimada; Michiteru Yamaura; Qincui Gu; Keiji Nagai; Takayoshi Norimatsu; Hiroyuki Furukawa; Atsushi Sunahara; Young-G. Kang; Masakatsu Murakami; Katsunobu Nishihara; Noriaki Miyanaga; Yasukazu Izawa
Show Abstract
Radiation-hydrodynamics, spectral, and atomic physics modeling of laser-produced plasma EUVL light sources
Author(s): J. J. MacFarlane; C. L. Rettig; P. Wang; I. E. Golovkin; P. R. Woodruff
Show Abstract
Process control of photoresist undercut for lift-off patterns below 100 nm
Author(s): Chao-Peng Chen; Jei-Wei Chang; Rina Kaji; Hromichi Kawasaki
Show Abstract
E-beam direct-write lithography for the 45nm node using the novel STEAG HamaTech single substrate coat-bake-develop ModuTrack
Author(s): L. Berger; W. Dieckmann; C. Krauss; P. Dress; J. Waldorf; C. Y. Cheng; S. L. Wei; W. S. Chen; M. J. Kao; M. J. Tsai
Show Abstract
Vibration non-sensitive lithographic system for writing individualized holograms for data storage and security applications
Author(s): Robert C. Thomann; Matthias Gerspach; Steffen Noehte
Show Abstract
Modeling of the influence of the defect position on the reflected intensity in EUV mask
Author(s): Maxime Besacier; Patrick Schiavone; Vincent Farys; Rafik Smaali
Show Abstract
Characterization of electrostatically chucked EUVL mask blanks
Author(s): Rebekah K. Ligman; Emily Y. Shu; Pei-yang Yan
Show Abstract
Actinic inspection of multilayer defects on EUV masks
Author(s): Anton Barty; Yanwei Liu; Eric Gullikson; John S. Taylor; Obert Wood
Show Abstract
A dual-mode actinic EUV mask inspection tool
Author(s): Yanwei Liu; Anton Barty; Eric Gullikson; John S. Taylor; J. Alexander Liddle; Obert Wood
Show Abstract
Line width variation with absorber thickness in extreme ultraviolet lithography
Author(s): Young-Doo Jeon; Min-Ki Choi; Eun-Jin Kim; Jong-Sun Kim; Hye-Keun Oh
Show Abstract
Defect printability and defect inspection simulations of patterned EUVL mask using rigorous coupled-wave analysis
Author(s): Seong-Sue Kim; Roman Chalyck; Sang-Gyun Woo; Han-Ku Cho
Show Abstract
NGL data conversion system
Author(s): Masahiro Shoji; Nobuyasu Horiuchi
Show Abstract
Applications of an electron-based EUV source: table-top grazing incidence reflectometer and imaging with a Schwarzschild objective
Author(s): U. Hinze; A. Egbert; B. Chichkov
Show Abstract
Influence of asymmetry of diffracted light on printability in EUV lithography
Author(s): Minoru Sugawara; Iwao Nishiyama; Mikio Takai
Show Abstract
Evaluation of pattern fidelity in EUVL using a high-numerical-aperture small-field EUV exposure tool (HiNA)
Author(s): Yuusuke Tanaka; Hiroaki Oizumi; Takeo Hashimoto; Fumiaki Kumasaka; Iwao Nishiyama; Tsukasa Abe; Hiroshi Mohri; Naoya Hayashi
Show Abstract
Resist-based measurement of the contrast transfer function in a 0.3-NA EUV microfield optic
Author(s): Jason P. Cain; Patrick Naulleau; Costas J. Spanos
Show Abstract
Status of EUV reflectometry at PTB
Author(s): Frank Scholze; Christian Laubis; Christian Buchholz; Andreas Fischer; Sven Ploeger; Frank Scholz; Heike Wagner; Gerhard Ulm
Show Abstract
Laser and optical developments of a modular laser-plasma source for EUV lithography
Author(s): G. Cheymol; Ph. Cormont; D. Farcage; A. Montmerle-Bonnefois; P.-Y. Thro; J.-M. Weulersse; M. Schmidt; O. Sublemontier; B. Barthod; I. Gaurand; J. Skrzypczak
Show Abstract
Radiation transport modeling for Xe and Sn-doped droplet laser-plasma sources
Author(s): Moza Al-Rabban; Christian Keyser; Simi George; Howard Scott; Vivek Bakshi; Martin Richardson
Show Abstract
EUV spectroscopy of mass-limited Sn-doped laser micro-plasmas
Author(s): Simi George; Chiew-Seng Koay; Kazutoshi Takenoshita; Robert Bernath; Moza Al-Rabban; Christian Keyser; Vivek Bakshi; Howard Scott; Martin Richardson
Show Abstract
Estimations on high energy ions and neutral particles from LPP EUV light sources
Author(s): H. Furukawa; T. Kawamura; T. Nishikawa; A. Sasaki; K. Fujima; S. Fujioka; H. Nishimura; K. Nishihara; N. Miyanaga; Y. Izawa; C. Yamanaka
Show Abstract
Dynamics of a laser produced plasma for soft x-ray production
Author(s): Joshua B. Spencer; Darren A. Alman; David N. Ruzic; Brian E. Jurczyk
Show Abstract
Ultrashort electron-based EUV and hard x-ray source
Author(s): Ulf Hinze; Boris Chichkov
Show Abstract
Evaluation of tin-foil targets for debris mitigation in laser generated EUV source
Author(s): Michiteru Yamaura; Shigeaki Uchida; Susumu Takemoto; Yoshinori Shimada; Hiroaki Nishimura; Shinsuke Fujioka; Keiji Nagai; Takayoshi Norimatsu; Katsunobu Nishihara; Noriaki Miyanaga; Yasukazu Izawa; Chiyoe Yamanaka
Show Abstract
Laser-produced-plasma light source for EUV lithography
Author(s): Georg Soumagne; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Hiroshi Komori; Yuichi Takabayashi; Tatsuya Ariga; Yoshifumi Ueno; Yasunori Wada; Akira Endo; Koichi Toyoda
Show Abstract
Development status of gas discharge produced plasma Z-pinch EUV sources for use in beta-tools and high volume chip manufacturing tools
Author(s): U. Stamm; J. Kleinschmidt; K. Gabel; G. Hergenhan; C. Ziener; I. Ahmad; D. Bolshukhin; V. Korobotchko; A. Keller; A. Geier; J. Ringling; C. D. Tran; B. Mader; R. de Bruijn; S. Gotze; J. Brudermann; G. Schriever
Show Abstract
Development of laser deposition package for modeling of EUV sources for microlithography
Author(s): Volodymyr Makhin; Bruno S. Bauer; Irvin R. Lindemuth; Bryan J. Rice
Show Abstract
Secondary RF plasma system for mitigation of EUV source debris and advanced fuels
Author(s): Michael A. Jaworski; Michael J. Williams; Erik L. Antonsen; Brian E. Jurczyk; David N. Ruzic; Robert Bristol
Show Abstract
Magnetic field ion mitigation for EUV light sources
Author(s): Hiroshi Komori; Yousuke Imai; Georg Soumagne; Tamotsu Abe; Takashi Suganuma; Akira Endo
Show Abstract
Target fabrication of low-density and nanoporous tin oxide as laser targets to generate extreme ultraviolet
Author(s): Keiji Nagai; Q-c. Gu; T. Norimatsu; H. Nishimura; S. Fujioka; Y-z. Tao; T. Okuno; K. Nishihara; N. Miyanaga; Y. Izawa
Show Abstract
Development in gas-discharge drive lasers for LPP EUV sources
Author(s): V. B. Fleurov; P. C. Oh; T. D. Steiger; I. F. Fomenkov; W. N. Partlo
Show Abstract
Liquid metal micro-droplet generator for laser produced plasma target delivery used in an extreme ultra-violet source
Author(s): J. Martin Algots; Oscar Hemberg; Alexander Bykanov
Show Abstract
LPP EUV conversion efficiency optimization
Author(s): J. R. Hoffman; A. N. Bykanov; O. V. Khodykin; A. I. Ershov; I. V. Fomenkov; W. N. Partlo; D. W. Myers
Show Abstract
Model of neutralization of highly ionized Xe generated by laser-produced plasma
Author(s): Iwao Nishiyama; Hiroshi Komori; Georg Soumagne
Show Abstract
Protection of collector optics in an LPP based EUV source
Author(s): C. L. Rettig; O. V. Khodykin; J. R. Hoffman; W. F. Marx; N. R. Bowering; E. Vargas; A. I. Ershov; I. V. Fomenkov; W. N. Partlo
Show Abstract
13.5 nm emission from composite targets containing tin
Author(s): Patrick Hayden; Anthony Cummings; Lynn Gaynor; Nicola Murphy; Gerard O'Sullivan; Paul Sheridan; Emma Sokell; John White; Padraig Dunne
Show Abstract
High-power and high-repetition-rate EUV source based on Xe discharge-produced plasma
Author(s): Yusuke Teramoto; Hiroto Sato; Kazunori Bessho; Gohta Niimi; Takahiro Shirai; Daiki Yamatani; Tetsu Takemura; Toshio Yokota; Khokan C. Paul; Kiyoyuki Kabuki; Koji Miyauchi; Mitsuru Ikeuchi; Kazuaki Hotta; Masaki Yoshioka; Koichi Toyoda
Show Abstract
Modeling of the atomic processes in the laser-plasma EUV sources
Author(s): A. Sasaki; K. Nishihara; F. Koike; K. Kagawa; H. Tanuma; A. Sunahara; K. Gamada; T. Nishikawa
Show Abstract
Surface engineering for resolution enhancement in nanoimprint lithography
Author(s): G. Y. Jung; W. Wu; Z. Li; S. Y. Wang; William M. Tong; R. Stanley Williams
Show Abstract
Alignment issues in a modular hot embossing system
Author(s): M. Wissen; T. Glinsner; N. Bogdanski; H.-C. Scheer; G. Gruetzner
Show Abstract
S-FIL technology: cost of ownership case study
Author(s): Sunil Murthy; Michael Falcon; S. V. Sreenivasan; Daren Dance
Show Abstract
Development of thermosets for thermal nanoimprint lithography at decreased temperatures
Author(s): Freimut Reuther; Mike Kubenz; Christine Schuster; Marion Fink; Marko Vogler; Gabi Gruetzner; Juergen Grimm; Andi Kaeppel
Show Abstract
Indium tin oxide template development for step and flash imprint lithography
Author(s): Kathleen A. Gehoski; Douglas J. Resnick; William J. Dauksher; Kevin J. Nordquist; Eric Ainley; Mark McCord; Mark Raphaelian; Harald Hess
Show Abstract
Fabrication of nanometer sized features on non-flat substrates using a nano-imprint lithography process
Author(s): Mike Miller; Gary Doyle; Nick Stacey; Frank Xu; S. V. Sreenivasan; Mike Watts; Dwayne L. LaBrake
Show Abstract
Maskless origination of microstructures with optical functions on large areas
Author(s): Jorg Mick; Andreas Gombert; Benedikt Blasi; Claas Muller
Show Abstract
Study of EB-tree
Author(s): Kazuo Tawarayama; Shunko Magoshi; Shinji Sato; Masayuki Hatano
Show Abstract
Design and fabrication of tilting and piston micromirrors for maskless lithography
Author(s): Yijian Chen; Chi Hui Chu; Yashesh Shroff; Jen-Shiang Wang; William G. Oldham
Show Abstract
Optical rasterization algorithms for contrast devices utilizing different physical modulation principles in optical maskless lithography
Author(s): Azat Latypov; Ronald Albright; Nabila BabaAli; Wenceslao A. Cebuhar; Jason D. Hintersteiner; Elizabeth Stone
Show Abstract
Maskless micromachining with high-energy focused ion beams
Author(s): B. Rout; R. D. Greco; A. D. Dymnikov; J. R. Reinhardt; J. Peeples; M. Kamal; M. Lentz; G. A. Glass
Show Abstract
High-precision optical heterodyne interferometric dilatometer for determining absolute CTE of EUVL materials
Author(s): Yoshimasa Takeichi; Iwao Nishiyama; Naofumi Yamada
Show Abstract
Reflectance change of Si- and Ru-capped Mo/Si multilayer mirrors caused by intense EUV irradiation
Author(s): Yukinobu Kakutani; Masahito Niibe; Hiromitsu Takase; Shigeru Terashima; Hiroyuki Kondo; Shuichi Matsunari; Takashi Aoki; Yoshio Gomei; Yasuaki Fukuda
Show Abstract
Characterization of capped multilayer mirrors using XPS, AES, and SIMS
Author(s): Hiromitsu Takase; Yoshio Gomei; Shigeru Terashima; Hiroyuki Kondo; Takashi Aoki; Shuichi Matsunari; Masahito Niibe; Yukinobu Kakutani
Show Abstract
Critical dimension sensitivity to post-exposure bake temperature variations in EUV photoresists
Author(s): Jason P. Cain; Patrick Naulleau; Costas J. Spanos
Show Abstract
Modeling of EUV photoresists with a resist point spread function
Author(s): Jason P. Cain; Patrick Naulleau; Costas J. Spanos
Show Abstract
Erosion and degradation of EUV lithography collector mirrors under particle bombardment
Author(s): Jean P. Allain; Ahmed Hassanein; Martin Nieto; Vladimir Titov; Perry Plotkin; Edward Hinson; Bryan J. Rice; Robert Bristol; Daniel Rokusek; Wayne Lytle; Brent J. Heuser; Monica M. C. Allain; Hyunsu Ju; Christopher Chrobak
Show Abstract
UIUC collector erosion and optical lifetime project results: time dependent exposures
Author(s): Darren A. Alman; Huatan Qiu; Keith C. Thompson; Erik L. Antonsen; Joshua B. Spencer; Matthew R. Hendricks; Brian E. Jurczyk; David N. Ruzic; Timothy Spila; Ginger Edwards; Stefan Wurm; Obert Wood; Robert Bristol
Show Abstract
Evaluating advanced fuel candidates in surface cleaning of optics by plasma exposure (SCOPE)
Author(s): M. J. Neumann; H. Shin; H. Qiu; E. Ritz; R. A. DeFrees; M. R. Hendricks; D. A. Alman; B. E. Jurczyk; D. N. Ruzic; R. Bristol
Show Abstract
Apparatus for contamination control development in EUVA
Author(s): Takashi Aoki; Hiroyuki Kondo; Shuichi Matsunari; Hiromitsu Takase; Yoshio Gomei; Shigeru Terashima
Show Abstract
Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer
Author(s): H. Oizumi; H. Yamanashi; I. Nishiyama; K. Hashimoto; S. Ohsono; A. Masuda; A. Izumi; H. Matsumura
Show Abstract
Off-synchrotron at-wavelength EUV metrology
Author(s): Andre Egbert; Stefan Becker; Boris N. Chichkov
Show Abstract
EUV component and system characterization at NIST for the support of extreme-ultraviolet lithography
Author(s): S. Grantham; S. B. Hill; C. Tarrio; R. E. Vest; T. B. Lucatorto
Show Abstract
XCEED: XTREME commercial EUV exposure diagnostic experiment
Author(s): Erik L. Antonsen; Keith C. Thompson; Matthew R. Hendricks; Darren A. Alman; Brian E. Jurczyk; David N. Ruzic; Tran Duc Chinh; Ginger Edwards; Stefan Wurm; Obert Wood; Robert Bristol
Show Abstract
High-resolution residual layer thickness metrology using x-ray reflectivity
Author(s): Hae-Jeong Lee; Christopher L. Soles; Hyun Wook Ro; Daniel R. Hines; Ronald L. Jones; Eric K. Lin; Wen-li Wu
Show Abstract
Characterization of collector optic material samples before and after exposure in LPP and DPP EUV sources
Author(s): Huatan Qiu; Darren A. Alman; Keith C. Thompson; Matthew D. Coventry; Joshua B. Spencer; Matthew R. Hendricks; Erik L. Antonsen; Brian E. Jurczyk; David N. Ruzic; Tim P. Spila; Ginger Edwards; Stefan Wurm; Obert Wood; Robert Bristol
Show Abstract
Modeling the defect inspection sensitivity of a confocal microscope
Author(s): E. M. Gullikson; E. Tejnil; K.-Y. Tsai; A. R. Stivers; H. Kusunose
Show Abstract
Two-wave pattern shift aberration monitor for centrally obscured optical systems
Author(s): Jason P. Cain; Gregory McIntyre; Patrick Naulleau; Adam Pawloski; Bruno La Fontaine; Obert Wood II; Costas J. Spanos; Andrew R. Neureuther
Show Abstract
High-throughput hybrid optical maskless lithography: all-optical 32-nm node imaging
Author(s): M. Fritze; B. Tyrrell; T. Fedynyshyn; M. Rothschild; P. Brooker
Show Abstract
Exploring the fundamental limit of CD control: a model for shot noise in lithography
Author(s): Ming L. Yu; Allan Sagle; Benny Buller
Show Abstract
High-temperature multilayers
Author(s): Sergiy Yulin; Nicolas Benoit; Torsten Feigl; Norbert Kaiser
Show Abstract
Characterization of prototype optical surfaces and coatings for the EUV reticle imaging microscope
Author(s): H. Glatzel; J. Daniel; K. Khajehnouri; T. Roff; S. Sporer; S. Wong; M. Kriese; Y. Platonov; J. Rodriguez
Show Abstract
Debris mitigation and cleaning strategies for Sn-based sources for EUV lithography
Author(s): D. J. W. Klunder; M. M. J. W. van Herpen; V. Y. Banine; K. Gielissen
Show Abstract
Multilayer coatings for the EUVL process development tool
Author(s): E. Louis; E. Zoethout; R. W. E. van de Kruijs; I. Nedelcu; A. E. Yakshin; S. Alonso van der Westen; T. Tsarfati; F. Bijkerk; H. Enkisch; S. Muellender
Show Abstract
Full-chip lithography simulation and design analysis: how OPC is changing IC design
Author(s): Chris Spence
Show Abstract
Lithographic technologies that haven’t (yet) made it: lessons learned
Author(s): R. Fabian Pease
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray