Share Email Print
cover

PROCEEDINGS VOLUME 5446

Photomask and Next-Generation Lithography Mask Technology XI
Editor(s): Hiroyoshi Tanabe

*This item is only available on the SPIE Digital Library.


Volume Details

Volume Number: 5446
Date Published: 20 August 2004

Table of Contents
show all abstracts | hide all abstracts
Mask R&D activities at the Advanced Mask Technology Center
Author(s): Markus Dilger; Jan Hendrik Peters
Show Abstract
New approach for quartz dry etching using hardmask for sub-90-nm photomask technology
Author(s): Sungmin Huh; Ki-Sung Yoon; Il-Yong Jang; Jung-Hyun Hwang; In-Kyun Shin; Sung-Woon Choi; Woo-Sung Han
Show Abstract
Global CD uniformity improvement using dose modulation and pattern correction of pattern density-dependent and position-dependent errors
Author(s): Chia-Jen Chen; Hsin-Chang Lee; Lee-Chih Yeh; Kai-Chung Liu; Ta-Cheng Lien; Yi-Chun Chuo; Hung-Chang Hsieh; Burn J. Lin
Show Abstract
Investigation of Cr defect in high Cr load logic mask
Author(s): Ho-Yong Jung; Sung Jin Choi; Mun-Sik Kim; Dong Wook Lee; Junsik Lee; Oscar Han
Show Abstract
Mask patterning process using the negative tone chemically amplified resist TOK OEBR-CAN024
Author(s): Mathias Irmscher; Dirk Beyer; Joerg Butschke; Peter Hudek; Corinna Koepernik; Jason Plumhoff; Emmanuel Rausa; Mitsuru Sato; Peter Voehringer
Show Abstract
Chemical characteristics of negative-tone chemically amplified resist for advanced mask making
Author(s): Kazumasa Takeshi; Naoko Ito; Daisuke Inokuchi; Yasushi Nishiyama; Yuichi Fukushima; Yasuhiro Okumoto
Show Abstract
Patterning strategy for low-K1 lithography
Author(s): David H. Hwang; Wen-Hao Cheng
Show Abstract
0.13/0.15-µm production reticle process window qualification procedure for 200mm manufacturing fab
Author(s): Zih-Wen Chang; Chen-Ming Wu; Mabel Mo; Chin-Chung Shieh; D.S. Cheng; Chun-Chien Chen; Richard Y. Yang; David W. Randall; Wen-Cheng Yu
Show Abstract
Improving photomask surface properties through a combination of dry and wet cleaning steps
Author(s): Florence O. Eschbach; Daniel Tanzil; Michael Kovalchick; Uwe U. Dietze; Min Liu; Fei Xu
Show Abstract
Root cause analysis for crystal growth at ArF excimer laser lithography
Author(s): Hiroyuki Ishii; Atsushi Tobita; Yusuke Shoji; Hiroko Tanaka; Akihiko Naito; Hiroyuki Miyashita
Show Abstract
Evaluation, reduction, and monitoring of progressive defects on 193-nm reticles for low-k1 process
Author(s): Chia Hwa Shiao; Chien-Chung Tsai; Tony Hsu; Steve Tuan; Doris Chang; Richard Chen; Frank Hsieh
Show Abstract
Investigation of sub-pellicle defect formation at KrF lithography
Author(s): Junsik Lee; Dong Wook Lee; Mun-Sik Kim; Ho-Yong Jung; Oscar Han
Show Abstract
Achieving 65-nm design rule dry etch performance: a study of CD bias, uniformity, and linearity on binary chrome photomasks
Author(s): Jason Plumhoff; Chris Constantine; Brad H. Reelfs
Show Abstract
Quartz etch optimization
Author(s): Banqiu Wu
Show Abstract
Investigations on microloading effect: a parallel approach to PGSD (proximity gap suction development)
Author(s): Daniel Courboin; Jong Woo Choi; S. H. Jung; Seung Hee Baek; Lee-Ju Kim; Chang Nam Ahn; Hong-Seok Kim
Show Abstract
Improvement of develop loading effect in the FEP-171 process
Author(s): Tae-Joong Ha; Young-Mo Lee; Bo Kyung Choi; Yongkyoo Choi; Oscar Han
Show Abstract
Spin stream develop process for ZEP resist
Author(s): Jaecheon Shin; Tae-Joong Ha; Bo-Kyung Choi; Oscar Han
Show Abstract
The influence of spatio-temporal variation of temperature distribution in a polymer solution on a flat substrate on formation of polymer film's thickness distribution during the drying process, based on results of simulation of the modified model
Author(s): Hiroyuki Kagami
Show Abstract
Analysis of mask CD error by dose modulation for fogging effect
Author(s): Hojune Lee; Seung-Hune Yang; Byung-Gook Kim; Sung-Yong Moon; Sung-Woon Choi; Hee-Sun Yoon; Woo-Sung Han
Show Abstract
Global CD uniformity improvement for CAR masks by adaptive post-exposure bake with CD measurement feedback
Author(s): Lothar Berger; Werner Saule; Peter Dress; Thomas M. Gairing; C.-J. Chen; Hsin-Chang Lee; Hung-Chang Hsieh
Show Abstract
A trial to quantify and classify process non-uniformity into baking and development
Author(s): Hideo Kobayashi; Tomohiro Shirane; Atsuo Imai; Keiji Tsukuda; Shoji Yamamoto
Show Abstract
Comparative study of two negative CAR resists: EN-024M and NEB 31
Author(s): Ki-Ho Baik; Robert Dean; Homer Y. Lem; Stephen P. Osborne; Mark A. Mueller; Damon M. Cole
Show Abstract
Alternating aperture phase shift mask process using e-beam lithography for the second level
Author(s): Corinna Koepernik; Joerg Butschke; Dirk Beyer; Mathias Irmscher; Bernd Leibold; Emmanuel Rausa; Rainer Plontke; Jason Plumhoff; Peter Voehringer
Show Abstract
Imaging properties of a leading-edge DUV laser generated photomask
Author(s): Curt A. Jackson; Peter D. Buck; Sarah Cohen; Vishal Garg; Jason Hickethier; Charles H. Howard; Robert M. Kiefer; Matt J. Lamantia; John C. Manfredo; James G. Tsou
Show Abstract
Decrease of chrome residue on MoSiON in embedded attenuated-PSM processing
Author(s): Yong-Dae Kim; Dae-Woo Kim; Dong-Seuk Lee; Pil-Jin Jang; Hyuk-Joo Kwon; Hyun-Jun Cho; Jin-Min Kim; Sang-Soo Choi
Show Abstract
Surface organic compound contamination as a significant factor in substrate transmittance reduction in the VUV region
Author(s): Kyoko Sakai; Yo Sakata; Yuichi Fukushima; Yasuhiro Okumoto
Show Abstract
Progressive architecture of mask supply chain and integrated operation system supporting extreme-QTAT device manufacturing
Author(s): Masayoshi Mori; Isao Miyazaki; Ken Fujimoto; Kunihiro Hosono
Show Abstract
257-nm wavelength mask inspection for 65-nm node reticles
Author(s): Ryoji Yoshikawa; Hiroyuki Tanizaki; Tomohide Watanabe; Hiromu Inoue; Riki Ogawa; Satoshi Endo; Masami Ikeda; Yoichiro Takahashi; Hidehiro Watanabe
Show Abstract
Optical mask inspection strategy for 65-nm node and beyond
Author(s): Dong-Hoon Paul Chung; Katsumi Ohira; Nobuyuki Yoshioka; Kenichi Matsumura; Toru Tojo; Masao Otaki
Show Abstract
The judgment criteria of halftone pinhole defects
Author(s): Kyong Mun Shin; Dae-Woo Kim; Jung-Kwan Lee; Dong-Hyuk Lee; Jin-Min Kim; Sang-Soo Choi
Show Abstract
An analysis of in-process pattern inspection benefit-to-cost relationship
Author(s): Larry S. Zurbrick
Show Abstract
Evaluation of mask quality control methods addressing progressive haze issues
Author(s): Kaustuve Bhattacharyya; Christopher M. Aquino; William Waters Volk; Norihiko Takatsu; Takao Konishi; Yoshinori Nagaoka
Show Abstract
FIB mask repair technology for electron projection lithography
Author(s): Yoh Yamamoto; Masakatsu Hasuda; Hiroyuki Suzuki; Makoto Sato; Osamu Takaoka; Hiroshi Matsumura; Noboru Matsumoto; Kouji Iwasaki; Ryoji Hagiwara; Katsumi Suzuki; Yutaka Ikku; Kazuo Aita; Takashi Kaito; Tatsuya Adachi; Anto Yasaka; Jiro Yamamoto; Teruo Iwasaki; Masaki Yamabe
Show Abstract
Photomask clear defects repair using ultrafast laser technology
Author(s): Guy Ben-Zvi; Nikolay Guletsky; Vladimir J. Dmitriev; Sergey V. Oshemkov; Eitan Zait
Show Abstract
Photomask quality assessment solution for 90-nm technology node
Author(s): Katsumi Ohira; Dong Hoon Paul Chung; Yoshioka Nobuyuki; Motonari Tateno; Kenichi Matsumura; Jiunn-Hung Chen; Gerard T. Luk-Pat; Norio Fukui; Yoshio Tanaka
Show Abstract
Correlation of inspection methods in characterizing nanomachined photomask repairs
Author(s): Jeffrey E. Csuy; Ron R. Bozak; Lee Terrill; Roy White; Naoki Nishida
Show Abstract
Nano-scale dimensional focused ion beam repair of quartz defects on 90 nm node alternating aperture phase shift masks
Author(s): Tod E. Robinson; Anthony Graupera; Troy B. Morrison; Marcus Ramstein
Show Abstract
Improvement of unified mask data formats for EB writers
Author(s): Toshio Suzuki; Junji Hirumi; Nobuyuki Yoshioka; Yutaka Hojyo; Yuichi Kawase; Shigehiro Hara; Koki Kuriyama; Morihisa Hoga; Satoshi W. Watanabe; Hidemichi Kawase; Tomoko Kamimoto; Kokoro Kato
Show Abstract
An agile mask data preparation and writer dispatching approach
Author(s): Chih-tung Hsu; Y. S. Chen; S. C. Hsin; Laurent C. Tuo; Steffen F. Schulze
Show Abstract
OASIS-based unification of mask data representation
Author(s): Emile Y. Sahouria; Steffen F. Schulze
Show Abstract
The guideline of reticle data management
Author(s): Norihiko Miyazaki; N. Iriki; M. Homma; T. Sato; M. Mori; Tadashi Imoriya; Toshio Onodera; T. Matsuda; Hidehiro Higashino; K. Okuda; Iwao Higashikawa; Nobuyuki Yoshioka
Show Abstract
Model-based interpretation filtering for complex two-dimensional layout features
Author(s): Lawrence S. Melvin III; James P. Shiely; Christopher M. Cork; Michael L. Rieger
Show Abstract
Study of mask corner rounding effects on lithographic patterning for 90-nm technology node and beyond
Author(s): Shuo-Yen Chou; Jaw-Jung Shin; King-Chang Shu; Jan-Wen You; Lin-Hung Shiu; Bin-Chang Chang; Tsai-Sheng Gau; Burn J. Lin
Show Abstract
Contact hole reticle optimization by using interference mapping lithography (IML)
Author(s): Robert John Socha; Douglas J. Van Den Broeke; Stephen D. Hsu; J. Fung Chen; Thomas L. Laidig; Noel P. Corcoran; Uwe Hollerbach; Kurt E. Wampler; Xuelong Shi; Willard E. Conley
Show Abstract
Nanofabrication of nanopattern and microdevices using contact/proximity lithography
Author(s): Yung-Chiang Ting; Shyi-Long Shy; Ming-Chun Lee; Bau-Tong Dai
Show Abstract
Application of CPL with Interference Mapping Lithography to generate random contact reticle designs for the 65-nm node
Author(s): Douglas J. Van Den Broeke; Thomas L. Laidig; J. Fung Chen; Kurt E. Wampler; Stephen D. Hsu; Xuelong Shi; Robert John Socha; Mircea V. Dusa; Noel P. Corcoran
Show Abstract
Photomask with interior nonprinting phase-shifting window for printing small post structures
Author(s): Yung-Tin Chen
Show Abstract
Optimization of the chromium-shielding attenuated phase shift mask for 157-nm lithography
Author(s): Eiji Kurose; Kunio Watanabe; Toshifumi Suganaga; Toshiro Itani; Kiyoshi Fujii
Show Abstract
The study of phase angle effects to wafer process window using 193-nm EAPSM in a 300-mm wafer manufacturing environment
Author(s): William Y. Chou; Shih Ming Yen; J. K. Wu; W. B. Shieh; Mars Chuang; George Fan; Chin Chih Tseng; Gregory P. Hughes; Susan S. MacDonald; Carrie Holiday; Gong Chen
Show Abstract
CPL mask technology for sub-100-nm contact hole imaging
Author(s): Bryan S. Kasprowicz; Willard E. Conley; Lloyd C. Litt; Douglas J. Van Den Broeke; Patrick K. Montgomery; Robert John Socha; Wei Wu; Kevin D. Lucas; Bernard J. Roman; J. Fung Chen; Kurt E. Wampler; Thomas L. Laidig; Christopher J. Progler; Michael E. Hathorn
Show Abstract
Analysis of dose modulation method for fogging effect correction at 50-KeV e-beam system
Author(s): Sung-Hoon Jang; Seung-Hune Yang; Byoung-Sup Ahn; Won-Tai Ki; Ji-Hyeon Choi; Sung-Woon Choi; Woo-Sung Han
Show Abstract
High-alignment-accuracy EB writing of phase shift image for 65-nm node masks
Author(s): Norio Kimura; Tadashi Komagata; Yasutoshi Nakagawa; Nobuo Gotoh; Kazumitsu Tanaka
Show Abstract
The study of high-speed electron beam deflection technology for VSB writers
Author(s): Junji Hirumi; Nobuyuki Yoshioka; Hiromichi Hoshi; Hiroyoshi Ando; Seiichi Tsuchiya; Morihisa Hoga
Show Abstract
Unique advanced homodyne laser interferometer system provides a cost-effective and simple position feedback solution for precision motion control applications
Author(s): Steve E. Jones; Mark Chapman; Lucy Attwood
Show Abstract
Actinic aerial image measurement for qualification of defect on 157-nm photomask
Author(s): Takashi Yasui; Iwao Higashikawa; Peter Kuschnerus; Wolfgang Degel; Klaus Boehm; Axel M. Zibold; Yuji Kobiyama; Jan-Peter Urbach; Christof M. Schilz; Silvio Teuber Semmler
Show Abstract
Application of atomic force microscope to 65-nm node photomasks
Author(s): Yoshiyuki Tanaka; Yasutoshi Itou; Nobuyuki Yoshioka; Katsuhiro Matsuyama; Dean J. Dawson
Show Abstract
Mask CD measurements with an atomic force microscope
Author(s): Yuuichi Yoshida; Shiho Sasaki; Tsukasa Abe; Hiroshi Mohri; Naoya Hayashi
Show Abstract
Method for measuring reticles with pellicles mounted
Author(s): Christopher A. Lee; Andrew W. Kulawiec; Mark J. Tronolone; Yoshihiro Nakamura; Takayuki Murakami
Show Abstract
Study of an image stitching method for linewidth measurement
Author(s): Wei Chu; Xuezeng Zhao; Joseph Fu; Theodore V. Vorburger
Show Abstract
Statistical properties analysis of dynamic speckles produced by a weak random phase screen under illumination of a Gaussian light
Author(s): Lili Zhou; Xuezeng Zhao; Weijie Wang
Show Abstract
Investigation of several materials as buffer layer candidates of EUVL mask
Author(s): Dongwan Kim; Yuusuke Tanaka; Hiromasa Yamanashi; Iwao Nishiyama
Show Abstract
Shadowing effect minimization in EUV mask by modeling
Author(s): Maxime Besacier; Patrick Schiavone
Show Abstract
Visible-light inspection of EUVL multilayer mask blanks
Author(s): Takeo Hashimoto; Hiromasa Yamanashi; Shinji Miyagaki; Iwao Nishiyama
Show Abstract
Actinic detection and screening of multilayer defects on EUV mask blanks using dark-field imaging
Author(s): Yoshihiro Tezuka; Masaaki Ito; Tsuneo Terasawa; Toshihisa Tomie
Show Abstract
Evaluation of image placement of EPL stencil masks
Author(s): Satoshi Yusa; Mikio Ishikawa; Yoshinori Kinase; Tadahiko Takikawa; Hiroshi Fujita; Hisatake Sano; Morihisa Houga; Naoya Hayashi
Show Abstract
Structure and data processing for PEL mask compatible with image placement accuracy in the 65-nm node and beyond
Author(s): Kohichi Nakayama; Kensuke Tsuchiya; Shinji Omori; Hidetoshi Ohnuma
Show Abstract
Development of a LEEPL mask: flatness and IP measurements
Author(s): Yuuki Aritsuka; Minoru Kitada; Masaru Kurosawa; Tadahiko Takikawa; Hiroshi Fujita; Hisatake Sano; Morihisa Hoga; Naoya Hayashi
Show Abstract
LEEPL data conversion system
Author(s): Masahiro Shoji; Nobuyasu Horiuchi
Show Abstract
EPL data conversion system
Author(s): Masahiro Shoji; Nobuyasu Horiuchi
Show Abstract
New placement algorithm of contact layer patterns for NGL stencil complementary masks
Author(s): Kokoro Kato; Kuninori Nishizawa; Tadao Inoue
Show Abstract
The masks fabricated by UV LIGA for excimer laser ablation and x-ray lithography
Author(s): Jingqiu Liang; Zichun Le; Weibiao Wang; Liangqiang Peng; Weihua Lan; Anjie Ming; Jian Ye; Bisheng Quan; Jinsong Yao; Ming Xuan; Lijun Wang
Show Abstract
A photomask defect evaluation system
Author(s): Eiji Yamanaka; Shingo Kanamitsu; Takashi Hirano; Satoshi Tanaka; Takahiro Ikeda; Osamu Ikenaga; Tsukasa Kawashima; Syogo Narukawa; Hideaki Kobayashi
Show Abstract
Results from a new die-to-database reticle inspection platform
Author(s): William H. Broadbent; James N. Wiley; Zain K. Saidin; Sterling G. Watson; David S. Alles; Larry S. Zurbrick; Chris A. Mack
Show Abstract
Investigation of quartz defect printability at the 65-nm node
Author(s): Eric R. Poortinga; Darren Taylor
Show Abstract
Demonstration of damage-free mask repair using electron beam-induced processes
Author(s): Ted Liang; Alan R. Stivers; Michael Penn; Dan Bald; Chetan Sethi; Volker Boegli; Michael Budach; Klaus Edinger; Petra Spies
Show Abstract
Advanced photomask repair technology for 65-nm lithography (1)
Author(s): Yasutoshi Itou; Yoshiyuki Tanaka; Nobuyuki Yoshioka; Yasuhiko Sugiyama; Ryoji Hagiwara; Haruo Takahashi; Osamu Takaoka; Junichi Tashiro; Katsumi Suzuki; Mamoru Okabe; Syuichi Kikuchi; Atsushi Uemoto; Anto Yasaka; Tatsuya Adachi; Naoki Nishida; Toshiya Ozawa
Show Abstract
Full-chip manufacturing reliability check implementation for 90-nm and 65-nm nodes using CPL and DDL
Author(s): Michael Hsu; Thomas L. Laidig; Kurt E. Wampler; Stephen D. Hsu; Xuelong Shi; J. Fung Chen; Douglas J. Van Den Broeke; Frank Hsieh
Show Abstract
Extension of photolithography
Author(s): Masaomi Kameyama; Martin McCallum
Show Abstract
Eigen-decomposition-based models for model OPC
Author(s): Xuelong Shi; Thomas L. Laidig; J. Fung Chen; Douglas J. Van Den Broeke; Stephen D. Hsu; Michael Hsu; Kurt E. Wampler; Uwe Hollerbach
Show Abstract
Tolerance-based process proximity correction (PPC) verification methodology
Author(s): Kohji Hashimoto; Hiroharu Fujise; Shigeki Nojima; Takeshi Ito; Takahiro Ikeda
Show Abstract
Double dipole lithography for 65-nm node and beyond: a technology readiness review
Author(s): Stephen D. Hsu; Mark Eurlings; Eric Hendrickx; Douglas J. Van Den Broeke; Tsann-Bim Chiou; J. Fung Chen; Thomas L. Laidig; Xuelong Shi; Jo Finders
Show Abstract
Performance data on new tunable attenuating PSM for 193-nm and 157-nm lithography
Author(s): Hans W. Becker; Frank Schmidt; Frank Sobel; Markus Renno; Ute Buttgereit; Jay Chey; Marie Angelopoulos; Konrad Knapp; Gunter Hess
Show Abstract
Advanced mask pattern correction method of alternating PSM: improvement of line width uniformity in the shifter length direction
Author(s): Masamichi Yoshida; Ken Ozawa; Kazuhisa Ogawa; Hidetoshi Ohnuma
Show Abstract
Study of alternating phase shift mask structures for ArF lithography
Author(s): Yosuke Kojima; Toshio Konishi; Jun Sasaki; Keishi Tanaka; Toru Komizo; Motohiko Morita; Masanori Shirasaki; Takashi Ohshima; Hiroyuki Takahashi; Kazuaki Chiba; Masao Otaki; Yoshimitsu Okuda
Show Abstract
CPL reticle technology for advanced device applications
Author(s): Willard E. Conley; Douglas J. Van Den Broeke; Robert John Socha; Wei Wu; Lloyd C. Litt; Kevin D. Lucas; Bernard J. Roman; Richard D. Peters; Colita Parker; J. Fung Chen; Kurt E. Wampler; Thomas L. Laidig; Erika Schaefer; Jan-Pieter Kuijten; Arjan Verhappen; Stephan van de Goor; Martin Chaplin; Bryan S. Kasprowicz; Christopher J. Progler; Emilien Robert; Philippe Thony; Michael E. Hathorn
Show Abstract
Through-pitch low-k1 contact hole imaging with CPL technology
Author(s): Vincent Wiaux; Joost Bekaert; J. Fung Chen; Stephen D. Hsu; Kurt G. Ronse; Robert John Socha; Geert Vandenberghe; Douglas J. Van Den Broeke
Show Abstract
Pattern accuracy and throughput optimization for an SLM-based 248-nm DUV laser mask pattern generator
Author(s): Henrik Sjoberg; Jean-Michel Chauvet; Jan Harkesjo; Peter Hogfeldt; Andrzej Karawajczyk; Johan Karlsson; Lars Kjellberg; Jonas Mahlen; Angela Beyerl; Jukka Vedenpaa; Robin Goodoree; Mans Bjuggren; Johan Aman
Show Abstract
DUV ALTA system aerial image enhancement for improved pattern fidelity: phase II
Author(s): Michael E. Ungureit; Samuel C. Howells; T. Chabreck; J. Hubbard; Asher Klatchko; Peter Y. Pirogovsky; Robin L. Teitzel; Andrew Berwick; B. Skyborg; Paul C. Allen; Cris G. Morgante; Michael White
Show Abstract
Recent CD accuracy improvements for HL-7000M
Author(s): Zhigang Wang; Hidetoshi Satoh; Hiroyuki Ito; Yasunari Sohda; Hiroya Ohta; Hajime Kawano; Yasuhiro Kadowaki; Kazui Mizuno; Takashi Matsuzaka
Show Abstract
Mask metrology 2D application for measurement of OPC features and corner roundness
Author(s): Roman Kris; Ovadya Menadeva; Aviram Tam; Ram Peltinov; Liraz Segal; Nadav Wertsman; Naftali Shcolnik; Gidon Gottlib; Arcadiy Vilenkin
Show Abstract
Photomask ADI, AEI, and QA measurements using normal incidence optical-CD metrology
Author(s): Ebru Apak; T. P. Sarathy; William A. McGahan; Pablo I. Rovira; Ray J. Hoobler
Show Abstract
OPC development: variable CD-SEM bias through feature shape, feature density, and material composition
Author(s): Kirk Miller; Katsuhiro Matsuyama; Ingo Schmitz; Dean J. Dawson
Show Abstract
Aerial image measurement technique for automated reticle defect disposition (ARDD) in wafer fabs
Author(s): Axel M. Zibold; Rainer M. Schmid; B. Stegemann; Thomas Scheruebl; Wolfgang Harnisch; Yuji Kobiyama
Show Abstract
Linearity improvement for CD metrology with deep UV microscope
Author(s): Takeshi Yamane; Takashi Hirano
Show Abstract
EUVL mask challenges and how International SEMATECH is addressing them
Author(s): Scott Daniel Hector; Kevin Kemp
Show Abstract
High-speed actinic EUV mask blank inspection with dark-field imaging
Author(s): Tsuneo Terasawa; Yoshihiro Tezuka; Masaaki Ito; Toshihisa Tomie
Show Abstract
Low-thermal expansion material for EUVL photomask substrate application
Author(s): Kousuke Nakajima; Nobuo Kawasaki; Toshihide Nakajima
Show Abstract
Ru-capped EUVL ML mask blank performance
Author(s): Pei-Yang Yan; Guojing Zhang; Eberhard Spiller; Paul B. Mirkarimi
Show Abstract
Study of mask process development for EUVL
Author(s): Tsukasa Abe; Masaharu Nishiguchi; Tsuyoshi Amano; Toshiaki Motonaga; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Yuusuke Tanaka; Hiromasa Yamanashi; Iwao Nishiyama
Show Abstract
Status and issues of EPL
Author(s): Masaki Yamabe
Show Abstract
Stencil mask defect inspection system and advanced application
Author(s): Satoru Maruyama; Nakahiro Harada; Jiro Yamamoto; Naoyuki Nakamura
Show Abstract
Proximity-effect correction software for EPL using the pattern classify method
Author(s): Shigeki Mori; Akio Sato; Kyoji Nakajo; Masanori Shoji; Naomi Shimada; Hirokazu Sambayashi; Kenzo Goto; Fumio Murai; Hiroshi Fukuda
Show Abstract
Feedforward correction of mask image placement for proximity electron lithography
Author(s): Shinji Omori; Shinichiro Nohdo; Tomonori Motohashi; Tetsuya Kitagawa; Takashi Susa; Kenta Yotsui; Kojiro Itoh; Akira Tamura
Show Abstract
A novel approach to the mask inspection for proximity electron lithography based on electron beam imaging
Author(s): Kazuya Iwase; Shinji Omori; Shoji Nohama; Kenta Yotsui; Gaku Suzuki; Yushin Sasaki; Kojiro Itoh; Akira Tamura; Satoru Maruyama; Shigeru Moriya; Tetsuya Kitagawa
Show Abstract
CD uniformity improvement by loading effect correction (LEC) function for 90-nm reticle
Author(s): Colbert Lu; Torey Huang; Shone Lee
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray