Proceedings Volume 5377

Optical Microlithography XVII

cover
Proceedings Volume 5377

Optical Microlithography XVII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 28 May 2004
Contents: 22 Sessions, 196 Papers, 0 Presentations
Conference: Microlithography 2004 2004
Volume Number: 5377

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • High-NA and Polarization
  • 157-nm Lithography
  • Image Quality and Characterization
  • Resolution Enhancement Technology for low k1 I
  • Immersion Lithography
  • Resolution Enhancement Technology for low k1 II
  • Modeling and Simulation for Immersion
  • Flare, Scatter, and Stray Light
  • Chromeless Phase Lithography
  • CD Control and Performance
  • Image and Process Models
  • Process and OPC Convergence
  • Advanced Producation Exposure Systems
  • Advanced Exposure Systems and Components
  • Joint Session: Contamination Issues in Lithography
  • Poster Session
  • Masks
  • Advanced Exposure Systems and Components
  • Masks
  • OPC
  • Resolution Enhancement Techniques
  • CD Control and Performance
  • Resolution Enhancement Techniques
  • Modeling, Simulation, and Analysis
  • Immersion Lithography
  • 157-nm Lithography
  • Exposure Tools, Subsystems, and Materials
  • Image Quality Assessment
  • Exposure Tools, Subsystems, and Materials
  • Chromeless Phase Lithography
  • Exposure Tools, Subsystems, and Materials
  • Image Quality Assessment
  • Flare, Scatter, and Stray Light
  • Image Quality Assessment
  • Poster Session
  • Masks
  • Modeling, Simulation, and Analysis
  • Immersion Lithography
  • Advanced Exposure Systems and Components
  • Masks
  • Exposure Tools, Subsystems, and Materials
  • Modeling, Simulation, and Analysis
  • Exposure Tools, Subsystems, and Materials
  • High-NA and Polarization
High-NA and Polarization
icon_mobile_dropdown
Optical lithography in the sub-50-nm regime
Donis G. Flagello, Bill Arnold, Steve Hansen, et al.
The use of immersion technology will extend the lifetime of 193nm and 157nm lithography by enabling numerical apertures (NA) much greater than 1.0. A definition of effective k1 is derived to assist in comparison of various technologies with differing optical characteristics. The ultimate limits of NA are explored by analysis of polarization effects at the reticle and imaging effects at the wafer. The effect of Hertzian or micro-polarization due to the size of the reticle structures is examined through rigorous simulation. For the regime of interest, 20nm to 50nm imaging, it is found that dense features on the reticle will polarize the light into the TE component upwards of 15%. Below this regime, the light becomes polarized in the TM direction. Additionally, oblique incidence on the reticle, resulting from large system NAs and 4x reduction, will cause PSM phase errors. The use of polarization in the illuminator for imaging will result in substantial gains in exposure latitude and MEF when the NA~1.3 with 45nm lines at 193nm. The end-of-line pullback for 2-dimensional patterns is reduced by the use of TE polarization in the illuminator. The overall polarization effects increase with decreasing k1. The lower limit of optical lithography can be extended by using source-mask optimization and double exposure to go below the classical resolution limit, i.e., k1<0.25.
Lithography of choice for the 45 nm node: new medium, new wavelength, or new beam
Fumikatsu Uesawa, Mikio Katsumata, Kazuhisa Ogawa, et al.
In order to clarify the direction of the lithography for the 45 nm node, the feasibilities of various lithographic techniques for gate, metal, and contact layers are studied by using experimental data and aerial image simulations. The focus and exposure budget have been determined from the actual data and the realistic estimation such as the focus distributions across a wafer measured by the phase shift focus monitor (PSFM), the focus and exposure reproducibility of the latest exposure tools, and the anticipated 45 nm device topography, etc. 193 nm lithography with a numerical aperture (NA) of 0.93 achieves the half pitch of 70 nm (hp70) by using an attenuated phase shift mask (att-PSM) and annular illumination. 193 nm immersion lithography has the possibility to achieve the hp60 without an alternative PSM (alt-PSM). For a gate layer, 50-nm/130-nm line-and-space (L/S) patterns as well as 50 nm isolated lines can be fabricated by an alt-PSM. Although specific aberrations degrade the critical dimension (CD) variation of an alt-PSM, ±2.6 nm CD uniformity (CDU) is demonstrated by choosing the well-controlled projection lens and using a high flatness wafer. For a contact layers, printing 90 nm contacts is very critical by optical lithography even if the aggressive resolution enhancement technique (RET) is used. Especially for dense contact, the mask error factor (MEF) increases to around 10 and practical process margin is not available at all. On the other hand, low-energy electron-beam proximity-projection lithography (LEEPL) can fabricate 80 nm contact with large process margin. As a lithography tool for the contact layers of the 45 nm node devices, LEEPL is expected to replace 193 nm lithography.
Immersion lithography and its impact on semiconductor manufacturing
ArF lithography is approaching its limit past the 90-nm node. F2 lithography using 157-nm light seems to be a natural extension to the next node. However, several key problems in F2 lithography are still insurmountable. The thin-film pellicle material cannot withstand more than 10 exposures. The hard pellicle technology is far from manufacture-worthy. Ditto for the F2 resist systems. Despite great progresses made, the CaF2 material still suffers from quality and quantity problems. On the other hand, ArF lithography using water immersion between the front lens element and the photoresist, effectively reduces the 193-nm wavelength to 135 nm and opens up rooms for improvement in resolution and depth of focus (DOF). This paper gives a systematic examination of immersion lithography. It analyses and evaluates the diffraction DOF, required DOF, and available DOF in a dry and an immersion system. It also analyses the effects of polarization to dry and immersion imaging. These phenomena are included in simulations to study the imaging of critical layers such as Poly, Contact, and Metal layers for the 65-nm, 45-nm, and 32-nm nodes using 193-nm and 157-nm, dry and immersion systems. The imaging feasibility of 157-nm immersion to the 22-nm node is briefly studied. In additions to the imaging comparison, the impacts and challenges to employ these lithography systems will also be covered.
Benefiting from polarization effects on high-NA imaging
The onset of lithographic technology involving extreme numerical aperture (NA) values introduces critical technical issues that are now receiving particular attention. Projection lithography with NA values above 0.90 is necessary for future generation devices. The introduction of immersion lithography enables even larger angles, resulting in NA values of 1.2 and above. The imaging effects from oblique angles, electric field polarization, optical interference, optical reflection, and aberration can be significant. This paper addresses polarization considerations at critical locations in the optical path of a projection system, namely in the illuminator, at the mask, and in the photoresist. Several issues are addressed including TE and azimuthal polarized illumination, wire grid polarization effects for real thin film mask materials, and multilayer resist AR coatings for high NA and polarization.
Improvement of deteriorated resolution caused by polarization phenomenon with TARC process
Kouichirou Tsujita, Isao Mita
As the feature size becomes smaller, the diffracted light angles get larger, which makes polarization phenomenon severer. As the diffracted light angel becomes larger, the contrast of optical image by p-polarization becomes worse than that by s-polarization. So, it is desirable for better resolution that more s-polarization can be absorbed in resist film than p-polarization. As one of the approaches, we investigated the way of controlling the property of an overcoat on resist called TopARC, or TARC. The ratio of dose in resist film by s-polarization to total dose was calculated by simulation and the optical property and thickness of TARC was optimized to make the ratio of s-polarization largest. Experimentally the alternating PSM was used to make the 2 diffracted lights pass through only the outmost area of pupil, which makes the polarization phenomenon most prominent. ArF scanner with 0.75NA was used. The experimental data showed that the exposure latitude was improved by TARC process optimized to compensate polarization phenomenon.
157-nm Lithography
icon_mobile_dropdown
Optical path and image performance monitoring of a full-field 157-nm scanner
Greg Wells, Jan Hermans, Robert Watso, et al.
Designing and operating exposure tools with a stable imaging performance becomes increasingly challenging as the exposure wavelength is decreased to improve resolution capabilities. At a wavelength of 157 nm, light is highly absorbed by most materials. In addition, the high photon energies readily induce photochemical degradation of the majority of organic materials. As a result many of the materials in the optical path of 157 nm exposure tools have been replaced and more stringent controls on the purge gas quality have been introduced. As the recipient of the first full field 157 nm scanners to be installed in the field, IMEC has implemented a tool-monitoring program to assess the performance of the exposure tool. The assessment includes characterization of the baseline operating conditions of the scanner and the evaluation of any potential trends in performance related to environmental interactions. This paper describes the techniques used to monitor the tool and reports on the results obtained during the initial months of tool operation.
Assessing the impact of intrinsic birefringence on 157-nm lithography
Birefringence can be represented using the matrix generated by multiplying together Jones matrices for the separate lens elements. Conventional vector imaging methods, which use orthogonal electric field components in resist combined to yield the intensity, can be extended to handle this matrix representation of the optical pupil. The mean amplitude ratio of the off-diagonal elements in the matrix pupil is shown to correspond quite well to the birefringence-induced CD error.
157-nm lithography with extremely high numerical aperture lens for 45-nm technology node
The potential for extending the numerical aperture (NA) in order to develop devices beyond the 45-nm node has been investigated using a 157-nm microstepper exposure tool at 0.90NA (third generation) and verifying the resolution limit of several different resolution enhancement techniques. It was observed that with 157-nm lithography at 0.90NA a 60-nm line and space (L/S) and a 50-nm isolated line could be formed by using an attenuated phase shifting mask (Att-PSM), and that a 50-nm L/S and a 35-nm isolated line could be formed by using an alternating phase shifting mask (Alt-PSM). The influence of the flare for the same pattern sizes was more severe for the L/S pattern rather than isolated line. However, it was the most difficult to image an isolated line with an Att-PSM, which was limited with a tolerance to the flare of less than 1%. Furthermore, the requirement of more than 0.93 for lens NA was confirmed in order to fabricate half pitch 65-nm node device with Att-PSM and half pitch 45-nm node device with Alt-PSM. Results obtained in the pattern formation of 45-nm node with an Alt-PSM confirmed that a 35-nm line could be formed down to 140-nm pitch, a 40-nm line could be formed down to 135-nm pitch, and a 45-nm line could be formed down to 100-nm pitch. It has been demonstrated that 157-nm lithography could find application to half-pitch 65-nm and 45-nm node devices.
Initial assessment of the lithographic impact of the use of hard pellicles: an overview
Peter De Bisschop, Michael K. Kocsis, Richard Bruls, et al.
We have made an experimental study of the use of Hard Pellicles in Optical Lithography. The goal of this work was to verify whether Hard Pellicles could be a viable alternative when soft (organic) pellicle material is not available, as is currently the case in 157 nm lithography. In our study we have compared scanner performance and lithographic results obtained with Hard Pellicles vs. the results without. Most of this work was actually done on a 193 nm scanner; only recently we started a pellicle-purging investigation on a 157 nm scanner. This part of the work is still ongoing. The results obtained so far are positive - we basically found no difference between the with-Hard-Pellicle results vs. the without-Hard-Pellicle results - and have not yielded any lithographic show stopper for their use in production. This paper presents a brief overview of the currently available results.
Production of novel materials for 157-nm and 193-nm soft pellicles
Paul A. Zimmerman, Chris van Peski, Daniel Miller, et al.
The introduction of 157nm lithography has raised many issues, not the least of which is the requirement of a new material for soft pellicle. At 157nm, the incident energy of 7.9 eV is enough to break any single organic bond. This makes the design of a soft pellicle material quite a challenge. Additionally, previous work in the industry has shown that improving transparency does not necessarily translate into longer pellicle lifetimes. Based on extensive investigation of how existing materials are degrading in the VUV, these new polymer systems have been produced. This study shares detailed structural information about several novel materials developed for use as soft pellicles. Additionally, data is shown for material properties including transmission and lifetime of films under 157 nm and 193 nm exposures.
Photo-induced changes in 157-nm optical coatings
The photo-induced degradation of 157-nm anti-reflective (AR) coatings, and the role of water vapor in the ambient, have been studied with in-situ spectroscopic ellipsometry, atomic force microscopy (AFM), and x-ray photoelectron spectroscopy. Using ellipsometric techniques, we find that MgF2 thin films develop a surface roughness layer under laser irradiation at an incident dose of ~0.1 MJ/cm2. These thin film changes occur well before any changes in 157-nm transmission are observed. The findings are confirmed by ex-situ post-irradiation AFM measurements. LaF3 does not exhibit this effect. Addition of ppm-levels of moisture suppresses surface roughness formation, suggesting that the surface roughness growth may be a precursor to the transmission degradation of full AR stacks that had been observed earlier.
Image Quality and Characterization
icon_mobile_dropdown
Impact of resist blur on MEF, OPC, and CD control
This paper will consider the basic concepts of resist blur in a chemically amplified resist process, and the implications of this blur to lithography. In particular, use of a double Gaussian form for the resist blur will be explored. A simple lithographic model utilizing a double Gaussian resist blur was developed and applied to the rapid calculation of lithographic CDs. A typical gate patterning problem was modeled, both with and without assist features, using several different resist blur functions. The OPC treatment was found to be profoundly affected by the resist blur, especially the long-range component. The MEF of small pitch patterns was a sensitive indicator of the short-range blur. The rapid modeling capability allowed large Monte Carlo simulations to explore CD variation at different pitches, pointing out pitches that were particularly vulnerable to CD variation.
Determination of resist parameters using the extended Nijboer-Zernike theory
Peter Dirksen, Joseph Braat, Augustus J. E. M. Janssen, et al.
This study presents an experimental method to determine the resist parameters that are at the origin of a general blurring of the projected aerial image. The resist model includes the effects of diffusion in the horizontal plane and a second cause for image blur that originates from a stochastic variation of the focus parameter. The used mathematical framework is the so-called Extended Nijboer-Zernike (ENZ) theory. The experimental procedure to extract the model parameters is demonstrated for several 193 nm resists under various conditions of post exposure baking temperature and baking time. The advantage of our approach is a clear separation between the optical parameters, such as feature size, projection lens aberrations and the illuminator setting on the one hand and process parameters introducing blur on the other.
New paradigm in lens metrology for lithographic scanner: evaluation and exploration
Kafai Lai, Gregg M. Gallatin, Mark A. van de Kerkhof, et al.
A new paradigm of lens metrology, which is an on-board in-situ interferometer on a scanner, is evaluated. We called this system as Inline PMI and is based on a shearing type interferometer. Wavefront gradient data is measured and used to reconstruct a full high resolution wavefront. The system was evaluated based on short term and long term stabilities, sensitivity towards system parameters, correlation studies with PMI, a resist-based lens metrology tool and lithographic tests to establish accuracy, and model compliance test against lens model prediction. The lens was detuned with Z7-tilt and Z9 offset to extend the dynamic range of the tests. The metrology demonstrated good repeatability, accuracy and stability as well insensitivity toward environmental parameters and good compliance with lens model predictions. In addition, because of the high resolution nature of the inline PMI system high spatial frequency wavefront content can be recovered. With a derived transfer function we can recover approximately up a spatial frequency of 30 to 40 cycles/pupil diameter. This fills the gap in the power spectrum obtained by low order Zernike terms and traditional high frequency flare measurement from techniques such as disappearing pads. Inline PMI may thus enables a more complete analysis of flare in lithography, which is critical to evaluating double exposure techniques as well as bright field masks with widely varying pattern density. Overall, this on-board interferometry shows good technical performance and fast turnaround time, both of which are essential requirement in low k1-imaging in a manufacturing environment.
Correction of 157-nm lens based on phase ring aberration extraction method
Jeff Meute, Georgia K. Rich, Will Conley, et al.
Early manufacture and use of 157nm high NA lenses has presented significant challenges including: intrinsic birefringence correction, control of optical surface contamination, and the use of relatively unproven materials, coatings, and metrology. Many of these issues were addressed during the manufacture and use of International SEMATECH’s 0.85NA lens. Most significantly, we were the first to employ 157nm phase measurement interferometry (PMI) and birefringence modeling software for lens optimization. These efforts yielded significant wavefront improvement and produced one of the best wavefront-corrected 157nm lenses to date. After applying the best practices to the manufacture of the lens, we still had to overcome the difficulties of integrating the lens into the tool platform at International SEMATECH instead of at the supplier facility. After lens integration, alignment, and field optimization were complete, conventional lithography and phase ring aberration extraction techniques were used to characterize system performance. These techniques suggested a wavefront error of approximately 0.05 waves RMS--much larger than the 0.03 waves RMS predicted by 157nm PMI. In-situ wavefront correction was planned for in the early stages of this project to mitigate risks introduced by the use of development materials and techniques and field integration of the lens. In this publication, we document the development and use of a phase ring aberration extraction method for characterizing imaging performance and a technique for correcting aberrations with the addition of an optical compensation plate. Imaging results before and after the lens correction are presented and differences between actual and predicted results are discussed.
Initial experimental verification: characterizing tool illumination and PSM performance with phase shifting masks
Experimental verification of three phase shifting mask patterns designed to characterize projection printing tool illumination and phase shifting mask performance is presented. Both patterns printed in photoresist and AIMS aerial images of a four-phase reticle appear to validate scientific principles. Experimental results are compared to simulation for all three monitors, initial analysis is offered, and ideas for future work are discussed. It is concluded that impressive mask making suggests the usefulness of the phase shifting mask as a precision instrument for characterizing optical lithography. The linear phase grating (LPG), a four-phase chromeless pattern designed to monitor the illumination in a particular quadrant of the pupil, behaves as expected when the smallest features are roughly larger than a wavelength. The linear phase ring (LPR), designed to monitor light in a particular circular region within the pupil, agrees well with simulation although signal strength is low. Future redesigns promise a maximum signal of 22% of the clear field intensity for monitoring quadrupoles. The third pattern, the interferometric probe monitor for phase shifting mask performance (IPM-PSM), measures the phase and transmission imbalance between shifted regions of an alternating phase shifting mask. Although potentially limited by low signal strength, the IPM-PSM behaves generally as expected.
In-situ aberration monitoring using phase wheel targets
Aberration metrology is critical to the manufacture of quality lithography lenses in order to meet strict optical requirements. Additionally, it is becoming increasingly important to be able to measure and monitor lens performance in an IC production environment on a regular basis. The lithographer needs to understand the influence of aberrations on imaging and any changes that may occur in the aberration performance of the lens between assembly and application, and over the course of using an exposure tool. This paper will present a new method for the detection of lens aberrations that may be employed during standard lithography operation. The approach allows for the detection of specific aberration types and trends, as well as levels of aberration, though visual inspection of high resolution images of resist patterns and fitting of the aberrated wavefront. The approach consists of a test target made up of a 180-degree phase pattern array in a “phase wheel” configuration. The circular phase regions in the phase wheel are arranged so that their response to lens aberration is interrelated and the regions respond uniquely to specific aberrations, depending on their location within the target. This test method offers an advantage because of the sensitivity to particular aberration types, the unique response of multiple zones of the test target to aberrations, and the ease with which aberrations can be distinguished. The method of lens aberration detection is based on the identification of the deviations that occur between the images printed with the phase wheel target and images that would be produced in the absence of aberration. This is carried out through the use of lithography simulation, where simulated images can be produced without aberration and with various levels of lens aberration. Comparisons of printed resist images to simulated resist images are made while the values of the coefficients for the primary Zernike aberrations are varied.
Resolution Enhancement Technology for low k1 I
icon_mobile_dropdown
Study of OPC for AAPSM reticles using various mask fabrication techniques
Gregory P. Hughes, Denny Kamaruddin, Kent H. Nakagawa, et al.
AAPSM masks require OPC correction through pitch in order to print a linear dark line response vs the design CDs. The masks also require correction for the clear intensity imbalance caused by the phased etched Qz wall edge. The clear intensity can be balanced by two approaches;(or a combination of the two) data biasing or wet undercut etching of the Qz etched opening. IC manufacturers would like to use one OPC model that will work for any mask fabrication approach. This paper shows that there is no OPC difference observed in either the aerial image or the printed image of several OPC learning patterns. The study includes CD through pitch for dense (1:1) L/S Patterns and Isolated Line CD vs line-space ratio. The images were analyzed for the dark line linearity, the clear CD balance though pitch, and the clear CD balance with focus (phase error effects -PES).
Hard phase-shifting masks for the 65-nm node: a performance comparison
Rainer Pforr, Mario Hennig, Roderick Koehle, et al.
The lithographic potential of various mask types for the printing of 65nm features has been investigated by simulation and experimentation. As key parameters process window, mask error enhancement factor, balancing performance, and phase and CD error susceptibility have been analyzed. Alternating chromeless phase-shifting masks (PSM) show the smallest mask error enhancement factor (MEEF), but the largest phase and CD error sensitivity. Alternating PSM have a larger MEEF but require less tight mask specifications. Double edge chromeless PSM combine small MEEF value with relaxed phase and CD control specifications when an appropriate illumination is chosen. Good intra-field CD control and sufficient large process window for 65nm pattern can be obtained for this mask type. The impact of aberrations and pupil imperfections on the CD control has been investigated. The mask processes will be discussed and mask performance data introduced.
Contact hole reticle optimization by using interference mapping lithography (IML)
Robert John Socha, Douglas J. Van Den Broeke, Stephen D. Hsu, et al.
The theory of interference mapping lithography (IML) is presented for low k1 (k1<0.4) contact hole imaging. IML with a coherent source is shown to be analogous to methods used in creating a Fresnel lens. With IML for a partially coherent source, the interference map is calculated by using the first eigenfunction of the transmission cross coefficient (TCC). From this interference map, clear 0° AFs and for clear 180° AFs are placed in the optimal location. Thus, IML is a method to place AFs via a model. From the interference map, a method for creating a CPL mask is demonstrated. Using IML, techniques to optimize a binary mask or a CPL mask are presented for maximizing the exposure latitude (EL) or depth of focus (DOF). These techniques are verified with simulation. Using IML for maximum EL, a CPL mask with 100nm (k1=0.39) contacts was created and exposed on an ASML /1100 ArF scanner using NA of 0.75 and Quasar illumination (σin=0.72, σout=0.92, span angle=20°). Measurements on the exposed wafers show that IML CPL results in printing 100nm contacts through pitch (200nm minimum pitch to isolated) with 0.45μm DOF at 10% EL.
Method to improve the resolution of contact holes
Various methods of printing small contact holes are discussed. Although the resolution capability is one key object for printing small contacts, it does not always reflect the process window. This paper compares resolution as well as process windows for several contact printing techniques. It shows the huge benefit of ring-type contacts with respect to process window even when compared to Bessel like contacts.
Contact hole formation by multiple exposure technique in ultralow k1 lithography
Hiroko Nakamura, Yasunobu Onishi, Kazuya Sato, et al.
The double line and space (L&S) formation method with L&S masks and dipole illumination was found to have high capability to fabricate about 0.3-k1 contact hole (C/H) pattern. The procedure was as follows. The first L&S pattern was formed and was hardened to avoid the dissolution and mixing during the second resist coating. The second L&S pattern perpendicular to the first one was formed on the first resist pattern. The common space area of the two patterns became 1:1 C/H pattern. Simulation results showed that the double L&S formation method has much wider lithography latitude than other methods, such as single exposure of a C/H mask with quadrupole illumination, single exposure of a vortex mask with conventional illumination, and double exposure of L&S masks with dipole illumination to a single layer resist. 75-nm (0.30-k1) 1:1 C/H pattern was fabricated. 80-nm (0.32-k1) 1:1 C/H pattern had 280 nm and 600 nm depth of focus (DOF) in each resist layer. Moreover, a new method, in which a C/H mask replaces the L&S masks, is proposed to achieve cost reduction and the same high performance as the L&S masks.
Immersion Lithography
icon_mobile_dropdown
Feasibility of immersion lithography
Feasibility of ArF (193nm) immersion lithography is reported based on our recent experimental and theoretical studies. Local fill method of water, edge shot, high NA projection optics, focus sensing, water supply, polarization effect, polarized illumination and resist are investigated. Although we recognize there are some remaining engineering risks, we have judged that ArF immersion lithography is basically feasible and is a very promising method that can reach the half pitch required for the 45nm node. On this basis we have planned our development schedule of immersion exposure tools.
Approaching the numerical aperture of water immersion lithography at 193-nm
As immersion nanolithography gains acceptance for next generation device applications, experimental data becomes increasingly important. The behavior of resist materials, fluids, coatings, sources, and optical components in the presence of a water immersion media presents conditions unique compared to convention “dry” lithography. Several groups have initiated fundamental studies into the imaging, fluids, contamination, and integration issues involved with water immersion lithography at 193nm. This paper will present the status and results of the next stage of the development efforts carried out at RIT. The status of two systems are presented; a small field projection microstepper utilizing a 1.05 catadioptric immersion objective lens and a 0.50 to 1.26NA interferometric immersion exposure system based on a compact Talbot prism lens design. Results of the fundamental resolution limits of resist materials and of imaging optics are presented. Additionally, an exploration into the benefits of increasing the refractive index of water is addressed through the use of sulfate and phosphate additives. The potential of KrF, 248nm immersion lithography is also presented with experimental resist imaging results.
Extending optical lithography with immersion
Bob Streefkerk, Jan Baselmans, Wendy Gehoel-van Ansem, et al.
As the semiconductor industry looks to the future to extend manufacturing beyond 100nm, ASML have developed a new implementation of an old optical method for lithography. Immersion lithography can support the aggressive industry roadmap and offers the ability to manufacture semiconductor devices at a low k1. In order to make immersion lithography a production worthy technology a number of challenges have to be overcome. This paper provides the results of our feasibility study on immersion lithography. We show through experimental and theoretical evaluation that we can overcome the critical concerns related to immersion lithography. We show results from liquid containment tests focussing on its effects on the scan speed of the system and the formation of micro-bubbles in the fluid. We present fluid-to-resist compatibility tests on resolution, using a custom-built interference setup. Ultimate resolution is tested using a home build 2 beam interference setup. ASML built a prototype full field scanning exposure system based on the dual stage TWINSCAN platform. It features a full field 0.75 NA refractive projection lens. We present experimental data on imaging and overlay.
Deep-UV immersion interferometric lithography
Alex K. Raub, Andrew Frauenglass, Steven R. J. Brueck, et al.
Liquid immersion lithography (LIL) can extend the resolution of optical lithography well beyond today’s capabilities. The half-pitch limit is given by the well-known formula P=λ/(4/NA), where λ is the optical wavelength and NA=nsin(θ) is the numerical aperture of the exposure device with n the refractive index of the exposure medium. Through the use of exposure media such as purified water (n of 1.44 at 193 nm), it is possible to reduce minimum pitches by a factor of as much as 44% - a full technology node. Beyond this simple observation, there is a good deal of work necessary to fully understand the impact of LIL on a lithography processes. This paper will address issues con-cerning resist chemistry and the impact of water immersion on the imaging capabilities of different resist formulations. All resists were evaluated by imaging dense line-space structures at a 65-nm half-pitch both in air and with water im-mersion. Studies of dense 65-nm lines made by immersion imaging in HPLC grade water with controlled variations in resist components were performed. Significant differences were observed and will be discussed.
ArF immersion lithography: critical optical issues
Tokuyuki Honda, Yasuhiro Kishikawa, Toshinobu Tokita, et al.
We present selected results of our feasibility study on ArF Immersion lithography from the viewpoint of the exposure-tool development. First, we show that utilizing finite bubble lifetime in degassed water can eliminate air bubbles that are generated by wafer scanning. Second, it is shown that thermal fluctuation of immersion liquid as well as vectorial diffraction effect from the mask is not significant in terms of imaging performance. Third, we demonstrate resist imaging of 60-nm and 45-nm line-and-space patterns in interferometric exposure experiments with an ArF laser at the power level of the actual exposure tools. Fourth, the increase of the depth of focus is confirmed using an alpha exposure tool of ArF immersion. All these results indicate that the ArF immersion lithography is promising for 65-nm half-pitch node and beyond.
Polarization effects in immersion lithography
OPC tools are already equipped with the most advanced models for image formation, capable of thin-film modeling, vector diffraction modeling and polarization modeling. Accurate simulation of immersion lithography, even in the context of OPC, does not pose any particular difficulty. In this paper we use the optical simulator of Calibre to study source polarization and its impact in process latitude and in proximity and linearity curves. More than 10nm difference in both curves is observed vs. source polarization at an immersion NA>1, projected to print the 45nm node. Simulation of large and arbitrary layout snippets confirm these results and demonstrate the feasibility of using advanced models in the context of OPC. Also, dry and water-immersion lithography are compared at the same NA<1 and the main differences in imaging are highlighted. The depth-of-focus increase in immersion is confirmed both in the ambient medium and also in the available DOF in resist. The DOF simulation results correlate closely with recent experimental work from other researchers.
Resolution Enhancement Technology for low k1 II
icon_mobile_dropdown
Predictive modeling of advanced illumination pupils used as imaging enhancement for low k1 applications
Tilmann Heil, Paul Graupner, Reiner Garreis, et al.
The specific properties of the illumination system are of increasing importance for the realization of low-k1 applications in modern lithography. In this paper, we present numerical investigations of optical imaging performance using real illuminator pupils in contrast to conventional simulations based on an idealized tophat pupil assumption. We study the impact of non-idealized radial and azimuthal intensity distributions as well as the consequence of local in-homogeneities in the pupil. Furthermore, we discuss the effect of scanning, and details of the numerical implementation. We quantify the imaging impact of the different illumination pupils by computing the through pitch, and through focus behavior of several low-k1 applications. We demonstrate that the tophat assumption often does not provide sufficiently accurate results. In particular, for annular and multi-pole settings, the real radial, and azimuthal intensity distribution have to be taken in to account. Accordingly, we introduce a simple heuristic model describing the real illumination pupil. Using this smooth pupil model, we demonstrate a significantly improved imaging performance prediction accuracy. Local pupil inhomogeneities have a minor impact. For coherent, and conventional settings, finally, we find that a modified tophat assumption gives already sufficiently accurate results, and can be applied for predictive simulations.
Optical extensions towards the 45-nm node
Eric Hendrickx, Philippe Monnoyer, Lieve Van Look, et al.
To realize gates for the next technology node, one approach is to combine high NA ArF lithography and resolution enhancement techniques with a CD shrink. We have made an experimental one-on-one comparison of different optical enhancement techniques to explore the pitch range of the different optical extension techniques. Using an ASML PAS 5500/1100 0.75 NA ArF scanner, lines have been printed at a range of pitches starting at 160 nm pitch (k1 = 0.31). Several mask types have been used, including traditional binary masks and attenuated phase shift-masks for single exposure patterning. For double exposure patterning, alternating phase-shift masks and double dipole binary masks have been selected. We have utilized different types of off-axis illumination including annular, quadrupole (QUASAR and cQuad), and dipole illumination. Sub-resolution assist features have been applied to improve process capability of semi-dense through isolated lines. Sigma settings have been selected to either enhance the printability of the densest pitch, or to provide good through-pitch pattern printability. At each illumination condition and mask type, we have identified the resolution limit, process capability, and forbidden pitches based on process windows and mask error factors (MEF) at different pitches. Finally, to improve the depth of focus, we compare our 0.75 NA ArF imaging data to these of a 0.75 NA ArF immersion scanner and extrapolate to a 0.85 NA ArF immersion system to establish the possible pitch range for the next technology node.
Illumination source mapping and optimization with resist based process metrics for low k1 imaging
Guohong Zhang, Steve Hansen
Pattern specific illuminator optimization is a key component in developing low k1 lithography solutions that utilize off-axis illumination schemes. Aerial image metrics such as NILS (normalized image log slope) have been used in the past to select the optimal illuminator source shape that yields the largest process margin such as DOF. A more practical and process orientated approach is presented in this paper with resist also included in the optimization scheme. Here pupil fill calculation is based on the actual process metrics such as DOF at certain exposure latitude, mask error enhancement factor (MEEF), mask bias (OPC), and CD uniformity (ACLV). A comparison is made with the conventional aerial image based approach. Examples are given to illustrate the advantages of the resist simulation based optimization scheme and its potential application in global process optimization by using a common, universal set of process metrics. This makes it possible to search for the optimal scanner optics settings through simulation techniques over a parameter space with many degrees of freedom, which is difficult to explore simply with limited empirical data collection. As a result, resist based illumination source optimization dramatically reduces the process development cycle, particularly for low k1 critical patterns.
Gray assist bar OPC
Assist bar Optical Proximity Correction (OPC) has been demonstrated to increase across pitch performance and depth-of-focus of semi-dense to isolated lines. As the sub-resolution assist feature (SRAF) or assist bar's size increases, so does its desired lithographic effect, as well as its undesired printability. In other words, when large assist features are required at isolated pitches, the assist features may print. A frequency-preserving assist bar solution is the most preferred one, but difficult to realize for opaque assist features due to printability. The concept of frequency-preserving Gray Assist Bar OPC has been introduced as a method to extend imaging performance for small features across a wide rage of duty ratios. In this paper, we will present the experimental validation of this concept. The Gray Assist Bar mask was manufactured using a two-level lithography process, and the optical properties have been characterized using a Woollam VUV VASE system. Additional metrology was performed using an AFM (SNP9000) and CD SEM (KLA8250XR). Exposures on a 0.75NA 193nm scanner clearly show the expected effects. The use of the Gray Assist Bar features reduces the through pitch critical dimension (CD) variations significantly and can hence be regarded as an "Optical Proximity Correction". The isofocal inflection point of aerial images is shifted in cases with Gray Assist Bars, resulting in flatter bossung curves and a larger depth of focus (DOF) for the various features through pitch at their target size. This results in larger overlapping process windows. The Gray Assist Bars has also shown a very low printability, even with aggressive off-axis illumination (OAI) settings.
Modeling and Simulation for Immersion
icon_mobile_dropdown
Image simulation in immersion lithography using Debye integral and scattering matrix method
Seong-Sue Kim, Sang-Gyun Woo, Han-Ku Cho, et al.
Three dimensional image theory developed by Flagello et al is applied to the modeling of immersion lithography, which is characterized by the combination of vector image theory, Debye integral theorem, and the response of layered structure. Using this formulation, the behavior of contrast and DOF against numerical aperture(NA) are investigated, which are related with polarization and multilayer interference. It is shown that the contrast for TM wave decreases significantly with NA up to half of the contrast for TE wave when NA is 1.3. Noticeably, in this result, an inflection point is observed, which does not exist in the contrast curve of aqua image. We try to explain this phenomenon using the difference in the coupling property of TE and TM wave. In addition, DOF of immersion and dry lithography are compared to show that immersion lithography has larger DOF than dry lithography, and the ratio of DOF in immersion lithography to DOF in dry lithography is plotted to show that it increases considerably with NA, contrary to the result of Rayleigh’s equation, in which the ratio must be a constant.
Optical coupling of lens, liquid and resist in immersion lithography: rigorous model and assessment
MoSong Cheng, Benjamin C. P. Ho, Richard Yamaguchi, et al.
This paper develops a rigorous and rapid model for the simulation of 3D optical imaging in resist in Liquid Immersion Lithography (LIL) by using full vector, Fourier Optics approach. The wave exiting the lens is decomposed as a set of vector plane waves that are incident upon resist stack. The transmitted and reflected waves in resist are calculated by solving the simplified boundary conditions in matrix form. Then the field in resist is constructed by Fourier transform. The partially coherent illumination is modeled by discretizing the source into many point sources, calculating the fields due to every source and then adding the light intensities together. Based on this model, this paper compares the performance of 193nm dry and water immersion lithography. Water LIL has better Depth-of-Focus (DOF) and contrast, but exaggerates the polarization effect. The paper further evaluates the impacts of extreme NA, polarization, and partial coherence on the image quality in 193nm water LIL with binary mask by simulating the latent images of 70nm equal line/space. The profiles and contrast of TE and TM images are compared, assuming 0.85 NA and 0.7 σ. The optimum σ is observed from contrast curves, and the process window is obtained. The major concerns of LIL are small DOF and image degradation due to TM waves. Possible solutions are discussed.
Simulation of the coupled thermal optical effects for liquid immersion micro-/nano-lithography
Immersion lithography has been proposed as a method for improving optical microlithography resolution to 45 nm and below via the insertion of a high refractive index liquid between the final lens surface and the wafer. Because the liquid will act as a lens component during the imaging process, it must maintain a high, uniform optical quality. One potential source of optical degradation involves changes in the liquid’s index of refraction caused by changing temperatures during the exposure process. Two-dimensional computational fluid dynamics models from previous studies have investigated the thermal and fluid effects of the exposure process on the liquid temperature associated with a single die exposure. Here, the global heating of the wafer from multiple die exposures has been included to better represent the “worst case” liquid heating that will occur as an entire wafer is processed. The temperature distributions predicted by these simulations were used as the basis for rigorous optical models to predict effects on imaging. This paper presents the results for the fluid flow, thermal distribution, and imaging simulations. Both aligned and opposing flow directions were investigated for a range of inlet pressures that are consistent with either passive systems or active systems using filling jets.
Exploring the capabilities of immersion lithography through simulation
Immersion lithography has recently emerged as the leading candidate for extending 193nm lithography to the 45nm lithography node and beyond. By immersing the wafer in a high index fluid, lens designs with numerical apertures (NAs) approaching the refractive index of the fluid are possible. While such a high numerical aperture is normally accompanied by an extreme decrease in the depth of focus at the resolution limit, an advantage of the immersion approach to increasing the numerical aperture is that the depth of focus is increased by at least a factor of the refractive index, mitigating some of the DOF loss due to the higher NA and smaller feature. Though this technique for resolution enhancement is receiving significant attention, useful experimental data on the subtle effects of such high NA imaging is one to two years away. Thus, simulation is expected to bridge the gap in immersion lithography research. In this paper, the fundamental imaging physics of immersion lithography will be described. The impact of resolution and depth of focus will be explored, as well as the subtle though significant influence of hyper NAs on polarization related thin film effects and the definition of intensity. With a rigorous model in place, the use of immersion lithography for extending 193nm towards its ultimate limits will be explored.
Flare, Scatter, and Stray Light
icon_mobile_dropdown
Model-based OPC/DRC considering local flare effects
Hiroki Futatsuya, Teruyoshi Yao, Morimi Osawa, et al.
Local flare is caused by scattered light from lens surfaces, and it causes the printed line width to vary or degrades printing accuracy. Consequently, local flare must be taken into account when manufacturing IC devices that use lithography generations of less than 90 nm. In particular, an OPC (Optical Proximity Correction) tool with the ability to compensate local flare effects is required to maintain a high degree of printing accuracy. For model-based OPC to work properly, the predicted line width or shape given by a simulator should show good agreement with experimental results. Local flare intensity is calculated from the optical intensity in the absence of local flare, in order to take diffraction effects into account. An aerial image considering local flare effects is given simply by the sum of optical intensity and local flare intensity. To account for local flare effects in a practical manner, the local flare intensity is converted into a variation in the threshold for OPC/DRC (Design Rules Checking) that predicts the desired shape. This paper describes the impact of local flare, the simulation model including local flare effects, and its results. The simulation results show good agreement with the experimental results, indicating that effective OPC/DRC using this method is possible.
Investigation of stray light characteristic by multiple Gaussian modeling and its OPC application
Ho-Chul Kim, Dong-Seok Nam, Gi-Sung Yeo, et al.
Stray light is analyzed by scattering range. For the short range, stray light distributes as 1/r4 and comes from aberration. For the mid range and the long range, in the assumption of Gaussian distribution, characteristic scattering length of specific tools is estimated. EOR is proposed which contains information of layer geometry and scattering range characteristic of flare. To minimize CD errors from OPC, flare level and EOR should be considered in the OPC procedure.
Process effects in flare measurement
Pary Baluswamy, Linda Somerville
Flare has become a significant problem for low K1 lithography. Several authors have reported measurement of flare in projection lenses. Most of the work is based on the Flagello-Kirk method using resist clearing dose. To measure the flare reliably and accurately using this method the contribution of the process needs to be understood. In this paper we present data looking at the influence of such effects on the measured flare.
Scattering in liquid immersion lithography
We have measured the intrinsic scattering of water with an eye toward its potential impact on immersion lithography. Quantitative measurements of the elastic Rayleigh scatter agree well with theory and show a loss of 0.001 cm-1. Qualitative measurements of the inelastic Raman scattering show a strong peak at 206 nm, consistent with the O-H stretch present in water. Both are expected to contribute flare of < 10-6 of the incident intensity. We have also examined the possibility for bubbles in the immersion liquid, and in particular those which form near the resist surface. We have measured scattering from single bubbles and estimate that bubbles as small as 5 μm should be detectable in this fashion. In addition, we have measured the potential for bubbles due to laser induced resist outgassing by direct imaging. In 2500 resist images (~235 mm2 of surface), we have seen only one bubble candidate which, due to its persistence in the water, we do not believe represents a true outgassing-induced bubble. Finally, using a technique borrowed from biology, rapid cryofixation/freeze fracture, we have examined nanobubbles which form spontaneously on hydrophobic surfaces and found that degassing the water prevents their formation.
Study of air-bubble-induced light scattering effect on image quality in 193-nm immersion lithography
As an emerging technique, immersion lithography offers the capability of reducing critical dimensions by increasing numerical aperture (NA) due to the higher refractive indices of immersion liquids than that of air. Among the candidates for immersion liquids, water appears to be an excellent choice due to its high transparency at a wavelength of 193 nm, as well as its immediate availability and low processing cost. However, in the process of forming a water fluid layer between the resist and lens surfaces, air bubbles are often created due to the high surface tension of water. The presence of air bubbles in the immersion layer will degrade the image quality because of the inhomogeneity induced light scattering in the optical path. Therefore, it is essential to understand the air bubble induced light scattering effect on image quality. Analysis by geometrical optics indicates that the total reflection of light causes the enhancement of scattering in the region where the scattering angle is less than the critical scattering angle, which is 92 degrees at 193 nm. Based on Mie theory, numerical evaluation of scattering due to air bubbles, polystyrene spheres and PMMA spheres was conducted for TE, TM or unpolarized incident light. Comparison of the scattering patterns shows that the polystyrene spheres and air bubbles resemble each other with respect to scattering properties. Hence polystyrene spheres are used to mimic air bubbles in studies of lithographic imaging of “bubbles” in immersion water. In direct interference lithography, it is found that polystyrene spheres (2 μm in diameter) 0.3 mm away from the resist surface would not image, while for interferometric lithography at 0.5NA, this distance is estimated to be 1.3 mm. Surprisingly, polystyrene spheres in diameter of 0.5 μm (which is 5 times larger than the interferometric line-width) will not image. It is proposed that “bubbles” are repelled from contact with the resist film by surface tension. The scatter of exposure light can be characterized as “flare”. This work shows that microbubbles are not a technical barrier to immersion lithography.
Chromeless Phase Lithography
icon_mobile_dropdown
The application of CPL reticle technology for the 0.045-mm node
Each generation of semiconductor device technology drive new and interesting resolution enhancement technology (RET’s). The race to smaller and smaller geometry’s has forced device manufacturers to k1’s approaching 0.40. The authors have been investigating the use of Chromeless phase-shifting masks (CLM) exposed with ArF, high numerical aperture (NA), and off-axis illumination (OAI) has been shown to produce production worthy sub-100nm resist patterns with acceptable overlapped process window across feature pitch. There have been a number of authors who have investigated CLM in the past but the technology has never received mainstream attention due to constraints such as wet quartz etch during mask fabrication, limited approach to optical proximity correction (OPC), and exposure tool limitations such as on-axis illumination and too low of NA. With novel binary halftone OPC and a capable modern mask making process, it has become possible to achieve global and local pattern optimization of the phase shifter for a given layout especially for patterning features with dimension at sub-half-exposure wavelength. The authors have built a number of test structures that require superior 2D control for SRAM gate structures. In this paper the authors will focus on image process integration for the 65nm node. Emphasis on pattern layout, mask fabrication and image processing will be discussed. Furthermore, the authors will discuss defect printing, inspection and repair, mask error enhancement factor (MEEF) of 2D structures coupled with phase error, layout, and mask fabrication specifications.
RET integration of CPL technology for random logic
Stephen D. Hsu, Douglas J. Van Den Broeke, J. Fung Chen, et al.
As IC fabrication processes are maturing for the 130nm node, IC devices manufacturers are focusing on 90nm device manufacturing at ever-lower k1 values. Driven by cost savings, many integrated device manufacturers (IDMs) and foundries are working toward patterning critical mask layers of 90nm designs using high numerical aperture KrF exposure tools. The goal of this study is to find out whether KrF can be successfully used instead of ArF for fabricating 90nm devices. This exercise will help to gain learning for the upcoming 65nm node, where the early manufacturing phase will also be carried out at a similar k1 of near 0.3 using ArF. For high volume wafer production, the cost and throughput are in favor of using a single exposure PSM technique vs. the two masks and double exposure technique required for alternating phase shift masks (altPSM). The high mask cost of altPSM also discourages its use for low volume manufacturing. The two leading candidates candidates for 90nm node using KrF are: 6% attenuated PSM and CPL Technology. In this work, we present a methodology on how to use transmission tuning to achieve the best process latitude for patterning poly gate layer. First, we analyze the diffraction patterns from 6% attPSM and CPL mask features and identify the optimum transmission for various pitches. Next we describe how CPL mask can be used as a variable transmission attenuated mask to produce the best through pitch imaging performance and show a practical implementation method for applying to real device designs. Then we demonstrate how to integrate the optimized transmission tuning into the data process and OPC flow for generating CPL mask. Finally, we provide an example experimental result on a real device pattern.
157-nm chromeless phase lithography with extremely high numerical aperture
Chromeless Phase Lithography is known as an effective resolution enhancement technique for isolated line patterns. We fabricated a chromeless phase lithography mask for 157-nm lithography, and evaluated the lithographic performance using a 0.90 numerical aperture 157-nm microstepper. To obtain the best resolution, illumination condition was optimized to conventional illumination with 0.7 partial coherence (σ) using lithography simulation. In the exposure experiment, 30-nm-wide isolated line, 30-nm-wide 140-nm-pitch line-and-space, and 30-nm-wide static random access memory (SRAM) gate patterns were resolved. Further lithography simulation results indicated that the resolution limit of 24-nm would be obtained by eliminating the image degradation factors such as the aberration, flare, and central obscuration.
New double exposure technique using alternating phase-shifting mask with reversed phase
Shoji Hotta, Katsuya Hayano, Kazuyuki Kakuta, et al.
We propose a new double exposure technique to obtain a balanced intensity profile through focus using an alternating phase-shifting mask (alt-PSM) with a reversed phase. To cancel the intensity imbalance caused by the mask topography and phase error, an additional alt-PSM which has a reversed phase is prepared and exposed at the same position on a wafer. In practical application, two alt-PSMs with reversed phase relative to each other are placed along the scan direction (y-direction) in a 4X-reticle. The imbalanced images are added in a complementary manner by repeating exposure at a half dose and step at a half pitch along the y-direction. The throughput loss can be minimized by using a chip layout with two alt-PSMs in one reticle. The impact of position error between two exposures on lithography performance is discussed, and a 20-nm position error is shown to be tolerable for 80-nm L/S patterns. Both theoretical discussions and experimental data show that even a no Cr-undercut design and a 10° phase error are acceptable. Also, this double exposure technique can lower the risk of defect printing. Such large tolerance regarding the topographical design, phase error, and phase defects is the key to the application of alt-PSM technology in low k1 lithography beyond the 65-nm node.
CD Control and Performance
icon_mobile_dropdown
Evaluation of the critical dimension control requirements in the ITRS using statistical simulation and error budgets
To evaluate the ability to achieve the CD control requirements listed in the International Technology Roadmap for Semiconductors (ITRS) and to set error budget targets for focus, dose, PEB temperature uniformity, and mask CD control, statistical lithography simulation was used. A statistical model of total CD control, including the effects of intrafield and interfield error sources, was developed. The exposure tool settings such as wavelength, NA and partial coherence, focus and dose error budgets, lens aberration levels, mask type and pattern pitch values were determined for each node. Monte Carlo simulation was used to predict the CD error due to intrafield dose and focus errors. The contribution to CD error due to the mask was determined using mask CD control values in the ITRS and a calculated MEEF value at various defocus settings. The contribution to CD error due to PEB temperature variations, across wafer dose variations, and variation of aberrations and flare within the exposure field was also simulated. To meet ITRS CD control targets for 130-nm and 90-nm nodes, an alternating PSM mask is required along with a larger CD printed in resist than indicated in the ITRS. Meeting ITRS CD control requirements for 65-nm node and beyond not possible using assumptions detailed here, even with a near ideal APSM. The simulations predicted that if a relaxed pitch and a larger CD in resist were used at the 32nm node, 193nm immersion lithography in combination with a nearly ideal alternating PSM might provide CD control that is comparable to that obtainable using extreme ultraviolet lithography (EUVL).
Accurate gate CD control through the full-chip area using the dual model in the model-based OPC
Sub-wavelength lithography has made the OPC (Optical Proximity Correction) technology one of the most precious commodities for the fabrication of semiconductor devices. Highly accurate gate CD (Critical Dimension) control and design rule shrinkage have become possible through the development of the OPC technology. Nevertheless, the device specifications require a more accurate gate CD control than the current OPC tools can cope with. For the model-based OPC to meet this tight CD specification, the model calibration process is very important. Current model-based OPC tools use their OPC models which usually cover the full-chip area with one universal model calibrated by comparing the empirical CD with the simulated CD of specially designed test patterns. Despite its safety, a single model for the full-chip OPC is not accurate for 2-dimensional patterns, and does not take into account the long-range effects of the patterning process such as flare noise or macro loading effect which is closely related to pattern density. In this work, we suggest a novel idea that applies the dual model to a single OPC process. We have found out that the CD trends of the patterns in the core and peripheral region of a memory chip differ from each other so that it is difficult to apply the same model for both regions. For the 110nm DRAM devices with 248nm lithography, we can reduce the gate CD variation up to 40% using the dual model OPC compared with the single model OPC. Since the dual model OPC uses two different models for a correction process, it should be carefully applied not to lose the conformity between the empirical process condition and the physical parameters of the models. The proposed dual model calibrated by the conservative modeling process reduces the gate CD variation by 50% compared with the single model OPC for a 90-nm DRAM device with 193nm lithography.
Characterization of ACLV for advanced technology nodes using scatterometer-based lens fingerprinting technique
Gate CD control is crucial to transistor fabrication for advanced technology nodes at and beyond 65 nm. ACLV (across chip linewidth variation) has been identified as a major contributor to overall CD budget for low k1 lithography. In this paper, we present a detailed characterization of ACLV performance on the latest ASML scanner using Texas Instruments proprietary scatterometer based lens fingerprinting technique (ScatterLith). We are able to decompose a complex ACLV signature including patterns placed in both vertical and horizontal directions and trace the CD errors back to various scanner components such as lens aberrations, illumination source shape, dynamic image field, and scan synchronization. Lithography simulation plays an important role in bringing together the wafer and tool metrology for direct correlation and providing a quantitative understanding of pattern sensitivity to lens and illuminator errors for a particular process setup. A new ACLV characterization methodology is enabled by combining wafer metrology ScattereLith, scanner metrology and lithography simulation. Implementation of this methodology improves tool-to-tool matching and control on ACLV and V-H bias across multiple scanners to meet tight yield and speed requirements for advanced chip manufacturing.
Monte-Carlo-based analysis of local CD variation and application to establish realistic process and tool error budgets
James W. Blatchford, Cathy Fruga
'Local' critical dimension (CD) variations, defined in this paper as those that impact transistor gate lengths within a localized 2.5 mm X 2.5 mm area of a semiconductor device, are of most critical interest to circuit performance, as these errors determine critical path delays. However, these errors are difficult to quantify in the fab and historically have been neglected by the lithography community. We combine an empirically anchored response surface model with a Monte Carlo engine to examine in detail the variation in local CD error across a typical lens field and as a function of various process parameters. This methodology allows for the correct statistical treatment of systematic and random errors, and enables the separation of in-die and die-to-die CD variations (as the former impact yield much more than the latter). We demonstrate that local CD variation defines the space of allowable process errors to a much greater extent than across-chip linewidth variation (ACLV) or die-to-die variation, and we use the output of the model to establish control limits for tool parameters for a candidate 90-nm-node alternating phase-shift gate process.
Image and Process Models
icon_mobile_dropdown
Fast calculation of images for high numerical aperture lithography
Alan E. Rosenbluth, Gregg M. Gallatin, Ronald L. Gordon, et al.
Many hitherto small effects will become numerically significant in lithography at 70nm and below. The simple assumptions of scalar imaging and uniformly-polarized sources will no longer be tenable. Contrast losses in the resist (e.g. by diffusion) will become appreciable. In addition, the elements of 157nm lenses will be intrinsically polarizing due to spatial dispersion in CaF2, and in general lenses will exhibit residual polarization aberrations. We show here that these effects can be accounted for in a fast "sum-of-coherent-systems" (SOCS) algorithm that is suitable for model-based optical proximity correction (MBOPC). First, we cast the classic equations of vector image formation in a new form that explicitly distinguishes scalar and vector field terms. Lens birefringence is then added to the model; in doing so we take into account the classic phenomenon of double refraction, wherein a given ray splits into two rays each time it passes through an element. In principle, each incident ray then gives rise to an extended family of rays in the exit pupil. However, we show that this coherent set of rays can be merged into a single plane-wave component of the image, allowing a Jones matrix pupil to be defined. Once the vector imaging equations are modified to accommodate customized polarization distributions in the source as well as matrix pupils in the lens, we show that tractable SOCS kernels can be obtained under a generalization of the thin-mask approximation. Such models can be extended to include non-optical effects like resist blur, along with empirical modeling terms. We also discuss computational efficiencies that can be achieved when calculating SOCS kernels, for example by iteratively refining kernels calculated from a reduced basis, and by exploiting system symmetry (radial, dipole, or quadrupole).
Graphical methods to help understand partially coherent imaging
Douglas S. Goodman
For partially coherent imaging in the scalar wave approximation, the image irradiance is related bilinearly to the object amplitude transmittance through a multi-dimensional integral. The relationship between the object and its image is difficult to comprehend. For objects that vary in one direction, there are diagrams that that aid in understanding the imaging equation. These diagram apply to the cutoff spatial frequency of the image irradiance, the spatial frequency components of the object that contribute to the image, the angular distribution of the illumination, and more. In some cases, back-of-the-envelope image calculations can be done with the graphical method. This tool is useful in a variety of cases of microscopy, projection, and optical testing.
Toward automatic mask and source optimization for optical lithography
The application of resolution enhancement techniques pushes optical projection lithography close to its theoretical limit with a k1-factor of 0.25. For the imaging close to this limit the interaction between the mask and the shape of the illumination aperture gains increasing importance. By jointly optimizing the mask and the source low k1 images can be printed with process latitudes not achievable otherwise. This paper proposes a new optimization procedure for mask and source geometries in optical projection lithography. A general merit function is introduced, that evaluates the imaging performance of specific patterns over a certain focus range. It also takes certain technological aspects, that are defined by the manufacturability and inspectability criteria for the mask, into account. Automatic optimization of the mask and illumination parameters with a genetic algorithm identifies optimum imaging conditions without any additional a-priori knowledge about lithographic processes. Several examples demonstrate the potential of the proposed concept.
A superfast 3D lithography simulator and its application for ULSI printability analysis
Zhengrong Zhu, Andrzej J. Strojwas
Printability challenges are already one of the key limits of new technology generations and the situation will become even worse with the delay in the introduction of 157nm lithography. To optimize the set-up of RET and verify their efficiency within a photo process window, it has become necessary to run detailed lithography simulations. While some 1-D test patterns can be simulated using the 2-D software tools, patterns such as line-ends, corners and metal islands should be evaluated by 3-dimensional simulators. Such a simulator can be calibrated using a small number of in-line measurements and then employed to analyze the “difficult to print patterns”. However, until recently the computational efficiency of such a 3-D tools has not been sufficient for practical applications. Since a lot of features under study are axial-symmetric, symmetry of the 3-D structures can be used to reduce computational complexity. To address this issue, we have developed a method to simulate three-dimensional axial-symmetrical structures. This method is based on the observation that scattering in and out of axial symmetrical structure can be classified into four kinds of scattering waves and each kind of scattering wave can be computed separately. Based on this observation, we have greatly reduced the run time and memory usage of the rigorous waveguide method without any loss of accuracy. According to our experiments, memory usage was reduced to one-forth and run time was shortened to 3~5% of the original simulation. (20-30 times speed up) In this paper, we will discuss applications of the new symmetrical simulator METROPOLE-3D to lithography simulation and layout analysis. We will discuss the fast calibration of METROPOLE-3D simulator. The simulated CD data was compared with experimental FEM wafer data to calibrate the parameters used in PEB and photoresist development. We are now able to analyze a lot of axial symmetrical dense and isolated 2-D structures with unprecedented speed. In this paper, wee will present a full lithography analysis example from a real product manufactured in the state-of-the-art lithography process.
Neural-network-based approach to resist modeling and OPC
Franz X Zach
Resist modeling based on aerial image parameters is an attractive approach to account for resist effects in optical proximity correction. The goal of this work is to introduce neural networks as a means to tackle this problem. We first discuss some of the issues associated with resist modeling based on a fixed, predetermined set of aerial image parameters such as the maximum aerial image intensity. This methodology is found to encounter difficulties if used in conjunction with resolution enhancement techniques such as sub resolution assist features. More specifically we find that layouts characterized by identical values in the aerial image parameters used for modeling experimentally do not always require the same resist correction. As a result modeling errors are introduced that can only be resolved by searching for additional parameters. We have made an attempt to develop an alternate methodology with higher flexibility within the generic framework of a mapping technique. The model uses aerial images taken at a predefined set of sampling points as input parameters. A neural network is used to model the resist effects, taking advantage of the nonlinear non local capabilities of such a system. Using the well defined training methodologies available for neural networks resist models can be calibrated in a fashion similar to standard fitting routines. We first optimize the structure of the neural network based on simulations data derived from a lumped parameter model. A two- layer, non-linear network is found to provide good modeling capabilities for a wide range of resist conditions as well as real 193 nm resist data.
Process and OPC Convergence
icon_mobile_dropdown
New concepts in OPC
In this paper, we will discuss two new concepts to be used in model-based OPC: model-based fragmentation, and model tagging to account for long-range proximity effects. In model-based fragmentation we create an initial fragmentation consisting of small fragmentation across the design. Then, specific fragments are removed according to image criteria in order to keep only those fragmentation points which affect the aerial image the most. Optical flare and long-range etch effects are challenging because they have long interaction ranges. We describe here an edge tagging technique that binds different models to different regions on the layout enabling aberration- or density- sensitive corrections. We show application of this technique on a layout section. We discuss how to implement these techniques in practice and what impact they have on OPC speed and accuracy.
Calibration of OPC models for multiple focus conditions
Ability to predict process behavior under defocus has until now relied on explicit calculations, which while accurate, cannot be realistically used in full-chip optical and process correction strategies due to the long run times. In this work, we have applied a vector model for the optics, and a compact model for the resist development process. Simulations with these models are fast enough to be the basis of full-chip OPC. We verify this strategy with an independent set of measurements, and compare it to current lithographic process fitting strategies. The results indicate that by describing optical processes as accurately as possible, the model accuracy improves over a wider range of defocus conditions when compared to the traditional calibration method. As long as the calibration process successfully decouples optical and resist effects, relatively simple resist models deliver excellent accuracy within the noise level of the metrology measurements. Our data are based on one-dimensional and two-dimensional results using a 193nm system using 0.75 NA and off axis illumination with 6% attenuated phase shift mask. In all cases, a wide variety of sub-resolution assist feature rules were used in order to further test the ability of the models to predict various optical and resist environments.
Novel methodology for photo condition optimization through simulation
Irene Yi-Ju Su, Rachel Huang, Ta-Hung H. Yang, et al.
In photo process development, simulation plays a very important role to optimize the photo condition prior to exposure wafers. In this report we would address a systematic methodology to accelerate the photo condition optimization through simulation with the aids of statistical methods. Moreover, this systematic methodology could also be used in any experiment design and emerges as a feasibility of automatic process optimization.
Simulation-based critical-area extraction and litho-friendly layout design for low k1 lithography
Soo-Han Choi, Yong-Chan Ban, Ki-Heung Lee, et al.
As the lithography process approaches to the low k1 regime, the layout designers are forced to design the litho-friendly layout, which considers the process margin and mask error enhancement factor (MEEF). In addition, the lithography engineers are also impelled to optimize the optical proximity correction (OPC) rules at the full-chip level to eliminate the failures of the printed image on the wafer. Therefore, we have newly developed the simulation-based critical area extraction (CAE) and litho-friendly layout (LFL) design methodology based on the layout editor environment to design the litho-friendly layout and optimize the OPC rules. In this methodology, the critical areas of the full-chip level post-OPC layout, which have the lower process margin and larger critical dimension (CD) variation, are automatically extracted by evaluating the focus-exposure window, normalized image log-slope (NILS) and edge placement error (EPE). The extracted critical areas are sorted according to their causes of failures (i.e., notching, bridging, line-end shortening and larger CD variation, etc.). In order to maximize the process margin and minimize the MEEF at the full-chip level, layout designers and lithography engineers modify the original layout and optimize the OPC rules of the sorted critical areas based on the lithography simulator. The simulator uses the mask decomposition and selective simulation method to reduce the simulation time at the full-chip level. For the convenient CAE, process margin evaluation and layout optimization, the CAE function and lithography simulator are combined with the layout editor environment. Applying this methodology to the memory device of sub-90nm design rule, we have validated that our methodology can capture the pattern failures at the full-chip level and optimize both the original layout and OPC rules of those areas.
Advanced model formulations for optical and process proximity correction
As post-litho process effects account for a larger and larger portion of CD error budgets, process simulation terms must be given more weight in the models used for proximity correction. It is well known that for sub-90 nm processes resist and etch effects can no longer be treated as a small perturbation on a purely optical (aerial image) OPC model. The aerial image portion of the model must be combined in a more appropriate way with empirical terms describing resist and etch effects. The OPC engineer must choose a model form which links an optical component with a resist/etch component in a manner that balances efficiency, robustness and fidelity to the aerial image, among other factors. No single way of connecting litho and etch models is ideal in all cases; the best form of linkage depends on the particular litho and etch process to be simulated. In this paper, we provide practical guidelines for linking litho and etch components of a model, using a representative 70 nm process with a large etch bias as an example. This 70 nm case study, which is representative of many sub-90 nm processes that rely on etch to shrink critical features, presents special challenges for OPC modeling. For the process under study, lines were are printed in resist at 120 nm, and the litho model was verified via resist SEM measurements taken at the resist edge. Note that a thresholded aerial image is not well-characterized a distance 25 nm from the resist edge. This is roughly the distance the edge moves back due to the etch step. Although in some cases etch bias can be calculated from aerial image contrast, in general etch bias cannot be predicted from the aerial image because litho and etch are governed by different underlying physics. The model forms available for linking litho and etch range from the efficient “lumped” form, which combines litho and etch simulation in a single model, to a highly accurate two-stage form which separates the two components. In this paper we evaluate the following model forms for applicability to the 70 nm process under study: 1) Aerial image/load kernel combined (“lumped”) model form 2) Aerial image/rule offset “hybrid” model form 3) Separate litho and etch models (2-stage correction)
Advanced Producation Exposure Systems
icon_mobile_dropdown
Nikon projection lens update
Tomoyuki Matsuyama, Toshiro Ishiyama, Yasuhiro Omura
This paper describes various kinds of technological improvements in ArF projection lenses for success in very low-k1 and high NA lithography. This paper covers optical design, lens manufacturing, aberration characterization, aberration manipulation, flare control, and linear polarizing illumination. Actual lens performance of the Nikon NSR-S307E (0.85NA ArF Optics) is also reviewed.
The performance advantages of a dual-stage system
Marc Boonman, Coen van de Vin, Sjef Tempelaars, et al.
To realize improved process control at high productivity, the TWINSCAN platform has been developed. This dual stage lithography system combines high throughput TWINSCAN technology with excellent dynamical performance and imaging capabilities required by sub-80nm lithography. The added value of a dual stage system is increased utilization efficiency by performing wafer measurements and other overhead in parallel with exposures thus increasing the net wafers per hour. And additionally these wafer measurements can be done more extensively and create performance advantages of a dual stage system above a single stage system through its predictive and compensation inherent capability. In the measurement position, the wafer surface height is fully mapped using a high spatial frequency measuring level sensor allowing a complete 3-dimensional wafer map to be generated. This allows the wafer surface to be placed optimally in the focal plane of the lens, minimizing the defocus and therefore delivering optimal CD control. In this paper the leveling performance advantage of a dual stage system, proven by several test cases using wafers with known/designed wafer topography, is outlined. One of these cases will address the leveling performance advantage on high topography wafers, which is presented by means of defocus and CD uniformity results. The near ideal leveling performance will be shown by comparison between experimental defocus results and theoretically best achievable defocus given the intrinsic wafer flatness and finite slit size on both inner and edge fields. In contrast to on-the-fly leveling of single stage systems, the separate measurement position eliminates the critical timing relation between the wafer height measurement and actual exposure leveling performance, independent of the exposure scan speed. Besides leveling performance advantages, the dual stage systems full wafer map capability allows in-situ metrology which results in added value, like detailed focus spot monitoring functionality covering all areas to be exposed. Measuring the wafer height before exposure also offers flexibility in the method of deriving the desired stage positioning for exposure and the possibility to extract detailed information for real time wafer flatness monitoring.
0.85-NA ArF scanner: advancing features and performances
Atsushi Namba, Shigeyuki Uzawa, Kenichi Kotoku
In response to the age of full-scale ArF implementation in commercial production lines, we developed an 80 nm generation exposure tool, the FPA-6000AS4. This system is equipped with a 0.85 NA projection optics and an illuminator which provides a wide variety of illumination modes required in actual processes, such as variable annular and optional quadrupole and dipole. To meet the increasingly severe CD requirements, the projection optics is designed to have extremely low aberration with schemes to prevent flares. For enhanced focus accuracy, increased number of focus sensor channels and higher optics magnification are provided, coupled with Z-axis interferometer which directly measures the height of the stage. A new focus correction capability is incorporated based on direct measurement of reticle surface shape. The 6000 platform features the reaction-less stage system which significantly improves MA and MSD, the important indices of the stage performance. The platform also achieves throughput 1.4 times as high as the previous systems. This paper discusses the FPA-6000AS4’s imaging performance, reaction-less stage capability, reticle focusing, overlay, and throughput, showing some data.
Improving ArF lens performance and new generation high-NA KrF optical system
Last year we developed an optics system for new generation ArF scanner. The optics’ NA was 0.85, the lens field size was 26mm X 8 mm and the lens now is equipped onto FPA-6000AS4. Various performance improvements are made to FPA-6000AS4 to respond to tougher and tougher requirement of the lithography process. The optics improvement is not limited to aberration reduction but extends to such lens manufacturing technology as minimizing the impact of birefringence of the glass element at lens assembly. In the first part of the paper enhancement method and accomplishment of lens manufacturing technology is discussed. Lithography technology is not limited only to ArF. We developed 0.86 NA KrF system, too. The system aims to realize easy, inexpensive leading edge lithography by taking advantage of matured, highly performing resist and low process cost. The lens resolves 90nm pattern using usual halftone reticle and goes down to 85nm with strong RET, Levenson mask etc. By utilizing the accomplishments realized for ArF lens, aberration of the lens is extremely small. Performance evaluation result of this high NA KrF lens and extendibility of 248nm with RET is reported in the latter part of this paper.
OML: optical maskless lithography for economic design prototyping and small-volume production
Tor Sandstrom, Arno Bleeker, Jason Hintersteiner, et al.
The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to “shuttle” schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.
Immersion lithography micro-objectives
James E. Webb, Louis Denes
The optical lithography community is quickly gaining confidence that immersion technology can further reduce critical dimensions based on theoretical models and high angle interference lithography techniques. The optical industry has responded by developing a new class of immersion lenses so that researchers can demonstrate practical imagery with lenses and immersion fluids with improved resolution. Corning Tropel has previously developed families of (dry) catadioptric objectives for industry researchers to help in the development phases of high resolution and inspection at wavelengths below 200 nm. They were designed for use with free running EXCIMER lasers for reduced cost and reduced sensitivity to environmental changes compared to monochromatic designs. New objectives based on the same design forms were developed for use with immersion fluids to extend the numerical aperture to values greater than 1.0 to help researchers extend the technology of small image formation. These objective designs will be described along with modeled performance and measured results.
Advanced Exposure Systems and Components
icon_mobile_dropdown
Development of 157-nm full-field scanners
Hideo Hata, Hideki Nogawa, Shigeyuki Suda
This paper discusses the technical progress to date in 157 nm full field scanners, which are in the process of final tuning. The high NA projection optics was designed to meet accelerating demands for smaller geometries. A catadioptric system with a line-selected laser was chosen to solve the problem of chromatic aberrations. Wavefront aberration of the catadioptric system was measured using a 157 nm PMI, based on which the projection optics has been tuned up to achieve the target performance. The exposure results show that 60 nm L&S were resolved in accordance with λ/NA improvement, demonstrating the basic potentials of 157 nm lithography. CD uniformity within the wafer is comparable to KrF and ArF systems while the purge system, the main body, and the resist process have been confirmed to be stable. When compared to ArF, local flare increases significantly. However, it has been confirmed that local flare can be greatly mitigated by improving lens surface accuracy. Further reduction in local flare, needed for commercialization of 157 nm tools, is expected to be achieved by extending the current improvement as the CaF2 performance has rapidly approached next generation specifications over the past year.
Optical lithography with 157-nm technology
Theo M. Modderman, Hans Jasper, Herman Boom, et al.
This paper presents the progress of the 157 nm lithography program at ASML and Carl Zeiss SMT in 2003. The major technical problems are solved and the first full field 157 nm scanner was shipped to the industry for starting the process development. The progress in CaF2 material as well as production of CaF2 lens elements allow system to be produced for the 55 nm node. Contamination is shown to be at very low levels and a solution to reduce the influence of hard pellicles below 1 nm distortion is found. The first imaging results show a high depth of focus for 75 nm dense lines.
Induced density changes in 193-nm excimer-laser-damaged silica glass: a kinetic model
Douglas C. Allan, Roger J. Araujo, Charlene M. Smith, et al.
Silica glass exposed to pulsed UV excimer laser irradiation undergoes optical changes that can include either an optical path increase or a decrease. During a given exposure the sign of the induced optical path change can reverse as a function of pulse count. The reduced optical path and sign reversal are only observed in H2-containing glasses, and at high exposure fluence only optical path increase is observed. In past work we proposed an induced density change model invoking a dynamic equilibrium density to explain the high fluence experiments. Here we present a model that extends the density model to the low fluence regime by allowing the equilibrium density to be a function of the time-dependent break-up of the silica network during exposure. The network break-up is tracked by calculation of the induced SiH concentration in the glass. The agreement of optical path change obtained from experimental data with that deduced from the kinetic approach covers a wide range of exposure fluence and molecular hydrogen concentration. Using the model one can predict the change in optical path that arises from the excimer laser exposure.
Optical metrology for 193-nm immersion objective characterization
The production of integrated circuits with ever-smaller feature sizes has historically driven the shift to shorter wavelength radiation sources and increases in numerical aperture (the product of the sine of the imaging cone angle and the refractive index of the media at the image plane). When a next-generation design rule demanded a numerical aperture larger than was technically feasible, a move to a shorter wavelength was the only available solution. Immersion imaging is a detour along the path of shorter wavelengths. Here, the resolution improvement is achieved by exceeding the numerical aperture barrier of 1.0 (for optical systems that form an image in air) by placing a liquid between the final element and the image plane. This liquid layer presents numerous challenges to the optical metrologist. Results of testing a 193nm small-field immersion objective will be reported. The immersion fluid for this objective is de-ionized water. The characterization of the optical and physical properties of the water layer and the effect of those properties on the metrology of the objective will be discussed.
Joint Session: Contamination Issues in Lithography
icon_mobile_dropdown
Experimental investigation of fabrication process-, transportation-, storage, and handling-induced contamination of 157nm reticles and vacuum-UV cleaning
Mask fabrication process, transportation, storage, and handling contribute to contamination of 157nm reticles and modified fused silica substrates, resulting in transmission loss. A stable VUV cleaning procedure for contaminated binary, alternating, and attenuated phase shift reticles has been developed. This cleaning procedure was verified by lithographic imaging on the 157nm ASML MS-VII exposure scanner. A point-to-point steady state dose transmission uniformity range across a batch of 25 wafers (the exposure conditions of which were equivalent to that of a 300 mm wafer, 26mm×33mm fields, 50mJ/cm2) that were exposed with a modified fused silica substrate, was found to be <0.24% for a reticle that was cleaned prior to exposure using this VUV cleaning process. In-situ laser cleaning of contaminated mask substrates during exposure in the MS-VII resulted in 1% change in transmission at doses of up to 20 J/cm2, above which transmission remains stable (<0.24% variation). The cleaning procedure involves exposing the contaminated reticle in the UVO Reticle Cleaning Station for 30 minutes, using a cleaning gas mixture of N2/O2=99%/1%. Transmission loss due to contamination within the clean room is limited to 1 - 2 % and is reversible upon VUV cleaning. Flare levels of 3% were measured on contaminated reticle relative to a clean state of the same reticle. VUV cleaning is not only good for improving and maintaining stable mask transmission, but it is also good for preventing reticle contamination-induced flare. Contamination rate and contaminant type appear to be dependent on the storage environment of mask substrates and reticles. Typical contaminants included molecular acids (halogens, sulfur, sulfates), molecular bases (ammonia, amines), molecular condensables (hydrocarbons, alcohols, ketones, fatty acids, siloxanes, phthalate), molecular dopant (boron) and molecular metals (Ca, Mg, Al, Cu). Contamination of mask substrates appears to be through a competitive adsorption phenomenon, whereby low molecular weight species with high vapor pressure and low adsorption energies are over time replaced by large molecular weight ones with low vapor pressure and high adsorption energies.
Poster Session
icon_mobile_dropdown
Evaluation of IDEALSmile for 90-nm FLASH memory contact holes imaging with ArF scanner
According to sizes dictated by ITRS road map, contact holes are one of the most challenging features to be printed in the semiconductor manufacturing process. The development of 90[nm] technology FLASH memories requires a robust solution for printing contact holes down to 100[nm] on 200[nm] pitch. The delay of NGL development as well as open issues related to 157[nm] scanner introduction pushes the industry to find a solution for printing such tight features using existing ArF scanner. IDEALSmile technology from Canon was proven to be a good candidate for achieving such high resolution with sufficiently large through pitch process window using a binary mask, relatively simple to be manufactured, with a modified illumination and single exposure, with no impact on throughput and without any increase of cost of ownership. This paper analyses main issues related to the introduction of this new resolution enhancement technology on a real FLASH memory device, highlighting advantages as well as known problems still under investigation.
Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings
Katrin Palitzsch, Michael Kubis, Uwe Paul Schroeder, et al.
CD control is crucial to maximize product yields on 300mm wafers. This is particularly true for DRAM frontend lithography layers, like gate level, and deep trench (capacitor) level. In the DRAM process, large areas of the chip are taken up by array structures, which are difficult to structure due to aggressive pitch requirements. Consequently, the lithography process is centered such that the array structures are printed on target. Optical proximity correction is applied to print gate level structures in the periphery circuitry on target. Only slight differences of the different Zernike terms can cause rather large variations of the proximity curves, resulting in a difference of isolated and semi-isolated lines printed on different tools. If the deviations are too large, tool specific OPC is needed. The same is true for deep trench level, where the length to width ratio of elongated contact-like structures is an important parameter to adjust the electrical properties of the chip. Again, masks with specific biases for tools with different Zernikes are needed to optimize product yield. Additionally, mask making contributes to the CD variation of the process. Theoretically, the CD deviation caused by an off-centered mask process can easily eat up the majority of the CD budget of a lithography process. In practice, masks are very often distributed intelligently among production tools, such that lens and mask effects cancel each other. However, only dose adjusting and mask allocation may still result in a high CD variation with large systematical contributions. By adjusting the illumination settings, we have successfully implemented a method to reduce CD variation on our advanced processes. Especially inner and outer sigma for annular illumination, and the numerical aperture, can be optimized to match mask and stepper properties. This process will be shown to overcome slight lens and mask differences effectively. The effects on lithography process windows have to be considered, nonetheless.
Customized illumination schemes for critical layers of 90-nm node dense memory devices in ArF lithography: comparison between simulation and experimental results
Gianfranco Capetti, Maddalena Bollin, Annalisa Pepe, et al.
Current 90nm Flash memory design introduces imaging critical points in several devices levels: active, poly, contacts, and first metallization. Among standard Resolution Enhancement Techniques (RET), Off-axis illuminations play a fundamental role, because they are capable of providing better imaging contrast and improved process latitude in low K1 regime with very dense structures. Starting from the simulation study of real device layer geometries, object of this work is to propose a solution in terms of illumination schemes and mask choice (binary or halftone) for each critical layer, considering K1 around 0.35 in ArF lithography. Dedicated off-axis illuminations will be compared to standard illumination modes, underlining the benefits in terms of ultimate resolution, process window and line edge roughness improvement. Experimental data confirmed the predicted gain in process robustness and, as expected, showed great line edge roughness improvement and less marginality to pattern collapse.
Reduction of across-wafer CDU via constrained optimization of a multichannel PEB plate controller based on in-situ measurements of thermal time constants
As line widths approach 90nm node in volume production, post exposure bake (PEB) uniformity becomes a much larger component of the across wafer critical dimension uniformity (CDU). In production, the need for PEB plate matching has led to novel solutions such as plate specific dose offsets. This type of correction does not help across wafer CDU. Due to unequal activation energies of the critical PEB processes, any thermal history difference can result in a corresponding CD variation. The rise time of the resist to the target temperature has been shown to affect CD, with the most critical time being the first 5-7 seconds. A typical PEB plate has multi-zone thermal control with one thermal sensor per zone. The current practice is to setup each plate to match the steady-state target temperature, ignoring any dynamic performance. Using an in-situ wireless RTD wafer, it is possible to characterize the dynamic performance, or time constant, of each RTD location on the sensing wafer. Constrained by the zone structure of the PEB plate, the proportional, integral and derivative (PID) settings of each controller channel could be optimized to reduce the variations in rise time across the RTD wafer, thereby reducing the PEB component of across wafer CDU.
Specification of the phase angle of a 6% attenuated PSM mask used in ArF lithography
As 6% attenuated phase shift masks (PSM) become commonly used in ArF advanced lithography for the 90nm Technology and mass production to print lines/ spaces as well as contacts, the specification and control of the phase angle and the width of the distribution of phase angles becomes critical to maintain the quality of the lithography process. The influence of the mean phase angle and the width of the distribution of phase angles on the best focus, the through pitch behavior and uniformity of the critical dimension (CD uniformity) has been studied experimentally using a 6% attenuated PSM whose phase angle has been affected by several reticle cleans. The results are consistent with aerial image simulations. Independent specifications for the mean phase angle and the width of the distribution of phase angles have been derived and could be applied for the production of masks in the future.
Minimizing critical layer systematic alignment errors during non-dedicated processing
For the 150 nm and smaller half-pitch geometries, many DRAM manufacturers frequently employ dedicated exposure tool strategy for processing of most critical layers. Individual die tolerances of less than 40 nm are not uncommon for such compact geometries and a method is needed to reduce systematic overlay errors. The dedication strategy relies on the premise that a component of the systematic error induced by the inefficiencies in the exposure tool encountered at a specific layer can be diminished by re-exposing subsequent layer(s) on the same tool thus canceling out a large component of this error. In the past this strategy has, in general, resulted in better overall alignment performance, better exposure tool modeling and in decreased residual modeling errors. Increased alignment performance due to dedication does not come without its price. In such a dedicated strategy wafers are committed to process on the same tool at subsequent lithographic layers thus decreasing manufacturing flexibility and in turn affecting cost through increased processing cycle time. Tool down-events and equipment upgrades requiring significant downtime can also have a significant negative impact on running of a factory. This paper presents volume results for the 140 nm and 110 nm half-pitch geometries using 248 nm and 193 nm respective exposure wavelength state-of-art systems that show that dedicated processing still produces superior overlay and device performance results when compared blindly against non-dedicated processing. Results are also shown that at a given time an acceptable match may be found producing near equivalent results for non-dedicated processing. Changes in alignment capability are also observed after major equipment maintenance and component replacement. A point-in-time predictor strategy utilizing residual modeling errors and a set of modified performance specifications is directly compared against measured overlay data after patterning, against within field AFOV measurements after etching of the pattern and to final device performance.
Approach for reducing resist footing over nonplanar wafer
We have studied the lithography issue of resist footing in an ion implant layer after a gate conductor formation. In a previous report , we proposed the shadow model and showed a solution to reduce the resist footing. This paper reports on the further investigation into the cause and the reduction method of the resist footing over non-planar wafer with simulation and explains the effects with the shadow model. We analyzed the processes that affected the resist footing and four main effects were selected. These were NA, illumination coherency, mask bias, and mask type. We simulated these four effects on an orthogonal array by using the design of experiments (DOE). We obtained a better condition of higher NA, smaller coherency, positive mask bias, and Att-PSM for reducing the resist footing. We explain the reasons for these effective factors with the shadow model.
The study of contact hole MEEF and defect printability
Chang-Young Jeong, Yeon Hwa Lim, Hong Ik Kim, et al.
We have optimized the standard method for the extraction of MEEF at 200nm contact hole with regard to the pitch and mask CD variations, which resulted in 4.8. Additionally, we have evaluated the impact of mask bias, surrounding pattern size and asymmetric change of mask CD. The pitch has greatly influenced the MEEF of the contact hole, and the contact holes with the minimum pitch show higher MEEF than isolated or semi-dense contact holes. The MEEF was little affected by the mask bias, ranging from 10 to 30nm. The MEEF remains independent despite the changes of the mask CD occurring around the holes within ±10nm range. The variations of the mask CD in one direction or another are not related to the MEEF determination. In addition, the pitch has influence on the defect printability. Other things that influence the defect printability are the defect types and their location. The defect of Cr intrusion has more intensive effect on the printed CD change. The more the defect is close to the center of the hole pattern, the more the defect printability increases.
Double exposure to reduce overall line-width variation of 80-nm DRAM gate
Won Kwang Ma, Chang-Moon Lim, Se Young Oh, et al.
As design rule shrinks down continuously, various technology have been developed to extend the resolution limits of lithography. One of those is Double Exposure Technology(DET). This paper is about not only resolution improvement but also Critical Dimension(CD) variation reduction with DET. As the design rule shrinks below 100nm, the core/peripheral area where we used to think we had sufficient margin is becoming the bottle neck for device fabrication. In this paper, in order to compare optimized single exposure (cell focus) and DET (cell, core/peripheral focus) for critical dimension uniformity(CDU) on cell and core/peripheral area, CDU was measured from wafer by use of simulation and measurement. Gate layer of DRAM device was used for the experiment. Exposure condition for the single exposure was set to crosspole and for DET, dipole and conventional respectively. Optical proximity correction(OPC) was done with in-house simulation tool on stiching area of the double exposure experiment. Same exposure tool and same process condition were used for each experiment and only the exposure condition was changed to compare local CDU, intra-field CDU, wafer CDU to find out how much CD variation can be reduced.
Layer-specific illumination for low k1 periodic and semiperiodic DRAM cell patterns: design procedure and application
The optical resolution of photolithography is limited by the numerical aperture (NA) of lens, wavelength of light source, and k1 factor. Nowadays, the low k1 process is necessary, since the tool development is delayed due to technology difficulties. In order to enhance the process latitude in the low k1 region, special illumination design for specific patterns has been studied. Although illumination optimization is one of the promising solutions to develop the low k1 process, specific design for each pattern has not been applied since the case-by-case illumination design is not easy. The specific layer oriented illumination design is generated using our in-house tool. A DRAM cell is composed of periodic or semi-periodic patterns, and the design of layer specific illumination is made for those patterns with the target of enlarged depth of focus (DOF). It is observed that the DOF and exposure latitude of a DRAM isolated pattern using the optimized illumination are increased in comparison with the conventional annular illumination. It is expected that the lifetime of low-grade exposure tools can be extended by this illumination optimization technique.
Zero-space microlenses for CMOS image sensors: optical modeling and lithographic process development
Douglas A. Baillie, Jonathan E. Gendler
Microlens arrays are widely used on image sensor products to control incident light propagation onto an appropriate sensor, in order to increase collection efficiency and reduce optical cross-talk. Typically microlenses are formed by defining arrays of photoresist islands using standard lithographic techniques, then melting and cross-linking the resist to form stable microlens arrays. A space between the resist islands is necessary to avoid the lenses merging during melting. The minimum space is constrained by lithographic resolution. Such refractive microlens arrays are a part of Tower Semiconductor’s standard offering for CMOS Image Sensor products. In order to understand the contribution of alternative microlens fabrication processes to optical cross-talk, optical simulation techniques have been developed at Tower Semiconductor and applied to quantify the performance of microlenses in image sensors. Amongst other factors, these simulations quantify the effect of the space between microlenses. Several alternative fabrication techniques have been compared, including a process to remove the lithographic resolution constraint and form microlens arrays with arbitrary spacing between lenses.
A new process for accurate alignment using laser ablation technology
Hiroshi Ikegami, Kenji Kawano, Kazuhiko Ishigo, et al.
We have successfully achieved accurate alignment to remove stacked TiN/Ti/Al/TiN/Ti films on damascene W marks by using laser ablation technology. Because, the Al films deposited on the damascene W marks lead to poor quality of alignment accuracy due to the asymmetric topography of the deposited Al surfaces. In the case that the TiN/Ti film is not formed on the Al surface, high-density plasma is formed above the Al surface during laser irradiation. This plasma screens off the surface from laser irradiation. Therefore, it is difficult that the naked Al films are removed completely by the laser irradiation. From the results of thermal analysis of the TiN/Ti/Al films during laser irradiation, it is concluded that the irradiation fluence should be controlled as abrupt evaporation occurs at the Al surfaces without evaporation of the top TiN/Ti films. In this condition, the plasma cannot be formed above the TiN/Ti surfaces during laser irradiation. Therefore, the irradiation energy is absorbed efficiently in the TiN/Ti films and the TiN/Ti/Al/TiN/Ti films could be removed completely by laser irradiation. The global alignment random of wafer alignment after the TiN/Ti/Al/TiN/Ti film ablation on the W marks is equal to that of the ideal W marks before the Al film deposition. These results mean that the laser ablation is the most effective technology for locally removing thin metal films on alignment marks to achieve accurate alignment.
Developable bottom antireflective coatings for 248-nm and 193-nm lithography
Tomohide Katayama, Hisashi Motobayashi, Wen-Bing Kang, et al.
So far the IC industry is using dyed resist and TARC for the implant layer lithography. However, this approach cannot provide the necessary CD control for the 65nm node and below. One could use organic BARC to improve CD control but the dry etching process can cause substrate damage and also add considerable expense to the process. Cox et al at Brewer Science have reported some wet developable BARCs with TMAH soluble polymers. However the development is isotropic for these materials and it is difficult to control the development process for profile shape and across wafer uniformity. In this paper we describe new developable KrF and ArF photosensitive developable BARCs (DBARCs) that use the concepts of positive chemical amplified resist concept. These DBARCs have significant advantages over the conventional BARCs and also over processes using TARC. These new DBARC provide a large pattern collapse margin and a good process window. We will report in this study on the process evaluation of the combination of Clariant KrF and ArF resists and DBARCs. As the DBARC itself is also photosensitive the matching of the sensitivity with resist is important. The KrF DBARC and resist combination gives 180nm lines and spaces with a good process window. This meets the requirements of 65nm implant processes.
Characterization of next-generation bake plate requirements for 193-nm lithography tools
Phong T. Do, Ann Kang, Joseph Pender, et al.
In this study, we have characterized a bake process for a 193nm poly process. We used a wafer dynamic sensor plate, which uses embedded thin film platinum RTDs (resistance temperature detector) from SensArray to capture temperature information across the hot plate and throughout the baking process. Our goal is to see whether we can use current hot plates and bake processes to meet the requirements set by the International Technology Roadmap for Semiconductor (ITRS). Two different types of hot plates from Tokyo Electron are being evaluated, LHP (low temperature hot plate) and PCH (precision chill plate).
BEOL lithography for early development at the 65-nm node
Ronald DellaGuardia, Ranee W. Kwong, Wenjie Li, et al.
This paper will present results obtained during the early development of a lithography process to meet the requirements of the 65 nm node in the BEOL. For the metal levels, an IBM/JSR jointly developed trench level resist was characterized and implemented. Resist image profile, process window, through pitch performance, image shortening and the effect of illumination conditions are discussed. Results from focus - exposure monitor (FEM) wafers are shown which were characterized for minimum resolution, process window and electrical continuity through a maze structure. For the via levels, results from another IBM/JSR jointly developed resist with high resolution and process windows are described. Process windows for nested and isolated vias are given, as well as results showing the improvement in process window and resolution due to the ARC etch. The results also include FEM measurements showing the electrical continuity through simple via chain structures versus the dimension of the via.
Masks
icon_mobile_dropdown
DUV laser lithography for photomask fabrication
Curt A. Jackson, Peter Buck, Sarah Cohen, et al.
In the recent past significant work has been done to isolate and characterize suitable single layer Chemically Amplified Resist (CAR) systems for DUV printing applicable to photomask fabrication. This work is complicated by the inherent instability of most DUV CAR systems, particularly in air, showing unacceptable CD degradation over the normal photomask write time in today’s DUV mask pattern generators. The high reflectivity of most photomask substrates at DUV wavelengths, creating unacceptable standing waves in the photo resist profile, further compounds this problem. A single layer CAR system suitable for 90nm technology node mask fabrication with DUV printing has been characterized and optimized. Results of this optimization in terms of relevant mask making parameters will be detailed. Furthermore, comparison of the properties of this resist system to other commercially available systems, including FEP-171, will be shown. The pattern fidelity of DUV laser generated masks has been studied in considerable detail. A demonstration of the capabilities of the Etec Systems ALTA 4300 will be shown. The pattern fidelity achieved will be compared/contrasted to that achieved with today’s leading edge 50KeV vector scan e-beam systems. Advanced methods for modulating the DUV printed patterns’ fidelity will be detailed. Finally, the cost and cycle time implications of inserting the DUV laser pattern generator into the mask manufacturing flow will be discussed.
Advanced Exposure Systems and Components
icon_mobile_dropdown
New advanced lithography tools with mix-and-match strategy
Jun Ishikawa, Masahiro Nei, Masato Hamatani, et al.
Nikon has developed cutting-edge lithography tools, and its product lineup encompasses all exposure wavelengths. They are: the NSR-S307E ArF scanner for the 90nm node; the NSR-S207D KrF scanner for the 110nm node; the NSR-SF130 i-line stepper for the middle layer and the new concept NSR-SF200 KrF stepper, which offers unparalleled productivity and cost performance. In addition, a powerful support system is provided, the Lithography Equipment Engineering System, which will allow its customers to use all of these exposure tools simultaneously and derive the maximum benefit of the mix-and-match strategy. The use of this system will increase the uptime and enable their combined performance to exceed that of a stand-alone tool.Latest actual performance data from each of the tools and the result of the optimization performed using application software will be reported.
Masks
icon_mobile_dropdown
Conversion from 50 KeV to DUV mask writer for 90-nm technology critical layers
Dongsung Hong, Prakash Krishnan, Dianna Coburn, et al.
One of Cypress’ primary goals for 90-nm generation mask strategy is to control mask costs while not compromising on performance. One key objective is to replace the use of 50-ke V electron beam pattern generation with DUV laser mask lithography where possible. The higher productivity of the DUV laser systems compared to the 50Ke V e-beam platforms offers a unique opportunity for mask cost reduction. Compared to previous i-line generations of laser lithography systems, the DUV laser systems provide significantly improved resolution and pattern fidelity that more closely approaches that of ebeam lithography. We have previously published experimental results demonstrating that the difference in fidelity on the mask between the laser and EB platforms does not always translate to a measurable difference in wafer litho performance or even more importantly to a measurable difference in electrical performance. Through this work, Cypress was able to eliminate the use of 50Ke V ebeam writers for all of their 130nm technology node layers. In some cases the improved performance of the DUV tools was sufficient to replace i-line produced masks where wafer performance was marginal without having to resort to EB lithography. This study addresses the conversion of 50Ke V ebeam layers to DUV laser platform specifically for the critical layers of the Cypress’ 90nm Technology node. EB lithography was originally specified for these layers as a conservative approach in part due to the timing of 90-nm technology development relative to the maturation of the DUV laser mask lithography process. In this study, the electrical performance and wafer yield are evaluated for equivalency in order to take advantage of the lower cost and faster cycletime that use of a ALTA DUV system provides over the 50Ke V VSB systems. In addition, the wafer OPC is not changed between the two mask writing systems in order to allow interchangeable use of the two writing systems if the experimental results indicated no difference in wafer performance.
Through pitch intensity balancing and phase error analysis of 193-nm alternating phase shift masks
Kent H. Nakagawa, Gregory P. Hughes, Keuntaek Park, et al.
An investigation of the predominant industry approaches to transmission balance and phase error through pitch of Alternating Aperture Phase-Shifting Mask manufacturing approaches has been conducted. Previous theoretical studies have shown both clear pattern bias and phase error changes through pitch. These variations are significant for the Low K1 applications. Several approaches have been proposed and discussed in previous papers, including undercut, asymmetric pattern biasing, mask phase-only, dual trench, SCAA, and others. Although much of the discussion has focused on lithographic process performance, some of the constraints in the mask making infrastructure may differentiate between processes of similar performance. Two manufacturable approaches, wet etch undercut and asymmetric pattern biasing, have been studied by electromagnetic field simulation to explore the across pitch performance at 193nm. This has been compared to experimental measurement of photomasks measured with a 193 Zeiss AIMS (Aerial Image Microscope System). Both mask fabrication approaches are compared to the simulations. The performance of both mask approaches to pattern bias and phase error was evaluated, and the feasibility of through pitch correction and its impact on design and manufacturability of the photomask is discussed.
Defect printability in CPL mask technology
Jan-Pieter Kuijten, Arjan Verhappen, Wil Pijnenburg, et al.
Each generation of semiconductor device technology drive new and interesting resolution enhancement technology (RET’s). The race to smaller and smaller geometry’s has forced device manufacturers to k1’s approaching 0.40. The authors have been investigating the use of Chromeless phase-shifting masks (CPL) exposed with ArF, high numerical aperture (NA), and off-axis illumination (OAI) has been shown to produce production worthy sub-100nm resist patterns with acceptable overlapped process window across feature pitch. These new reticle technologies have many issues that are similar to simple binary masks. The authors have investigated the printability of defects in CPL mask technology. Programmed defects of various sizes and types have been simulated and printed for sub 100nm imaging. High resolution scanning electron microscopy has been used to characterize these defects and develop an understanding of size and type that prints. In this paper the authors will focus on image line end shortening and the impact of through dose and focus performance for very high NA ArF imaging. The authors have built a number of test structures that require superior 2D control for SRAM gate structures. Various types of line ends have been evaluated for either straight CPL mask or hybrid type builds.
Real-time microlithography employing a transparent liquid crystal display (LCD) panel as a configurable mask
Sung Hoon Pieh, Byoung-Ho Park, Yu-Jin Jang, et al.
We describe a simple concept of an in situ photolithographic technique by using a liquid crystal display panel as a configurable mask and a CCD (charge coupled device) camera as a simple imaging system. The successive operation of imaging and configurable masking enabled a real-time projection lithography process by the selective exposure of light through LCD (liquid crystal display) panel. A correction of a defect pattern could be also demonstrated by optimizing the exposure conditions and aligning the mismatches between imaging and the corresponding lithographic patterning.
Correlating reticle pinhole defects to wafer printability for the 90-nm node lithography using advanced RET
W. B. Shieh, William Chou, Chuen-Huei Yang, et al.
For the 90nm-lithography node, understanding the impact of various reticle pinhole defects on wafer printability is essential to optimize wafer yield and to create the best quality reticle defect specification. In this study, a new programmed pinhole test reticle was designed by UMC, TCE and KLA-Tencor based on UMC's process requirements for its 193nm lithography. The reticle was manufactured and inspected on KLA-Tencor's high-resolution reticle inspection system in die to database mode by TCE. The reticle was then printed on a wafer by UMC to characterize the printability impact of programmed pinhole defects. The programmed pinhole test reticle, "193PTM", consists of two IC background patterns - poly gate and contact with programmed pinholes at various locations. The pinhole size ranges from 20nm to 75nm in 5nm increments on the wafer. By comparing the high-resolution pattern inspection results to the wafer print data, we have established the correlation and the appropriate mask specifications based on wafer application guidelines.
Continuous tone gray-scale photomask for deep UV lithography applications
Edgar A. Mendoza, Fernando Sigoli, Heidi Paulus, et al.
Continuous tone gray scale deep UV photomask technology offers new cost effective opportunities for the mass scale production of MEMS structures, diffractive optical elements (DOEs), computer generated holograms (CGHs), and kinoform optics. A new technology for the low cost fabrication of continuous tone gray scale photomasks for deep UV photolithography applications has been demonstrated. This technology is based on the use of a photosensitive spin-on-glass (SOG) thin film deposited onto a UV transparent substrate such as fused silica. Light exposure, from either a lithography setup or a laser pattern generator, onto the photosensitive SOG film induces a color change from clear (UV transparent) to dark (UV absorbing). The amount of photo induced color attenuation on the film is directly proportional to the energy exposure of the light, hence allowing the formation of fully continuous tone patterns. Once exposed the image pattern, with a resolution of 0.1 micrometers, is permanently fixed by heat treatment without the need of an etching step.
OPC
icon_mobile_dropdown
Integrated OPC approach to line-end shortening effects on the photomask and silicon levels for ArF attenuated PSM lithography
Shunichiro Sato, Ken Ozawa, Mikio Katsumata, et al.
Line end shortening (LES) effects and their corrections for ArF attenuated phase shift mask (PSM) technology toward 65 nm node, both in photomask and wafer processes, have been investigated. From critical dimension (CD) measurements on photomasks, it was found that line end distance and line width are the relevant factors for line end deviations on clear field and dark field types, respectively. We confirmed that these mask errors can significantly be reduced by rule base process proximity effect correction (PPC). Subsequently we analyzed resist LES on wafers and found that resist LES shows a down slope in case line end distance is less than 200 nm. We also assessed mask error enhancement factor (MEEF) around line end. Line end MEEF for a clear field mask indicates 3.4 when line end distance is 80 nm. By examining the relation between line end rounding on photomask and corresponding resist LES, we confirmed line end area loss on photomask surely induced larger resist LES. Lastly, we have evaluated a new optical proximity effect correction (OPC) approach in which correction for mask errors is separated from wafer OPC calculation. It is confirmed that new integrated OPC is promising for improving LES.
Model-based prediction of full-chip SRAF printability
James C. Word, Suihua Zhu
Sub-resolution Assist Features (SRAFs) are now a viable option for enabling low-k photolithography. Of the many issues arising from SRAF implementation into a production flow, one of the most difficult to resolve can be the prevention of unwanted SRAF printing on the wafer. For simple layouts, the issue can be easily addressed through careful tuning of the photo process and SRAF placement rules. For full-chip product layouts, the difficulty of ensuring that an SRAF will never print becomes far more challenging. In these cases a model-based approach, employed during the technology development cycle, may be more appropriate. The authors have successfully developed such an approach using commercially available ORC (Optical Rule Checking) software. It is based upon a look-up table containing aerial image parameters and SRAF dose printing levels, all of which have been calibrated to on-Silicon data. We will describe in detail the implementation of our approach, and present results on a full 130nm node product layout.
Suppression of sidelobe and overlap error in AttPSM metal layer lithography using rule-based OPC
Overlap errors and sidel-lobe printing caused by the design rule reduction in the lithography using attenuated phase shift mask have become serious. Overlap errors and side-lobes can be simultaneously solved by the rule-based correction using scattering bars with the rules extracted from test patterns. Process parameters affecting the attPSM lithography simulation have been determined by the fitting method to the process data. Overlap errors have been solved applying the correction rules to the metal patterns overlapped with contact or via. Moreover, the optimal insertion rule of the scattering bars has made it possible to suppress the side-lobes and to enhance DOF at the same time. Compared to the existing Cr shield method, the proposed rule-based correction with scattering bars can reduce the process complexity and time for mask production.
Cost of ownership reduction for OPC development and production
Chi-Min Yuan, Bob Jarvis, Kevin D. Lucas, et al.
The cost of developing and deploying optical proximity correction (OPC) technology has become a non-negligible part of the total lithography cost of ownership (CoO). In this paper, we present our efforts to reduce costs associated with OPC in the development phase for the 90nm node, and production phase for the 130nm node.
Impact of measured pupil illumination fill distribution on lithography simulation and OPC models
Increasing miniaturization and decreasing k1 factors impose continuously growing demands on optical lithography. These requirements are reflected in the need for increasingly accurate lithography simulations, which are prerequisite for successful optical proximity correction (OPC) of the mask layout. Therefore, the physical conditions of the lithography tools and their impact on the resulting printed image have to be carefully considered. The illumination distribution in scanners and steppers is commonly simplified by a top-hat (rectangular cross-section) function. The illuminator is therefore assumed to consist of either completely dark or homogeneously bright areas. In this paper, we investigate the effect of using the measured source, which can deviate significantly from a simple top-hat function, on simulation results and OPC treatment. We compare simulations with measurement and show that there are cases where significant improvements occur by using the real source distribution.
Full-chip OPC treatment using vector thin film models
Decreasing k1 factors require improved empirical models for full-chip OPC treatment. We have investigated the improvement in calibrating experimental data using a vector thin film compared to a scalar optical model. This optical model was first compared to a commercially available resist simulator with good results. In the OPC tool used, the predicted aerial image is further modified by a variable threshold process model describing resist effects. A number of parameters in the optical portion of the model were varied to improve the final fit including focus, sigma, diffusion, pupil apodization, spherical aberration, and thin film stack parameters. It was found that the goodness of fit for the entire model (optical + process) was significantly improved (for both scalar and vector models) when diffusion and apodization were employed. The goodness of fit for the vector model was quite sensitive to stack parameters, especially the index and absorption values of both the resist and BARC. A preliminary optimization using the vector model resulted in a fit at least as good as that for the scalar model, and slightly better in defocus.
Fulfillment of model-based OPC for contact patterns in 60-nm level logic device
Dummy contact generation procedure to apply off-axis illumination (OAI) to a contact layer in a 60 nm node device is described. The model based optical proximity correction (OPC) is also adopted to control the on-chip variation (OCV). The dummy contact size of 110 nm with the space distance of 90 nm between the main and dummy contact is used. By applying OPCed contact, the proximity variation is reduced less than 11 nm from 49 nm. The modeling methods are assessed by comparing delta edge placement error (EPE) values, which represent the model accuracy. The VTR_E model is shown to well correct the proximity variation, and it is adopted in our experiment. Applying to the arbitrary patterns of logic device and to generate more dummy patterns, the rule needs to be modified. The modified rule includes the dummy merge method, and the dummy contacts are automatically generated for the contact layer of 60 nm node logic device.
Phenomena and OPC solution of ripple patterns for 65-nm node
Chih-Ming Lai, Jeng-Shiun Ho, Chien-Wen Lai, et al.
The ripple patterns induced by the lithography process will lead to unpredictable necking or bridging risks on circuit patterns. This phenomenon is particularly severe while using the attenuated-phase-shifting mask combined with the strong off-axis illumination. The CD variation induced by the ripple effect is difficult to be accurately corrected by conventional OPC approaches. In this paper, ripples on patterning for the 65nm node have been studied and their problems solved. One of the dominant root causes of ripples is the optical side-lobes from the surrounding patterns. On the L-shape patterns for example, the ripples that occur on the horizontal lines are induced by the side-lobes of the vertical lines. Based on this study of the ripple effect, the layout types resulting in ripple patterns can be classified and predicted. An advanced OPC approach by the segmentation analysis on polygons as well as the correction algorithm optimization has been developed and applied to solve this ripple problem.
Detailed process analysis for sub-resolution assist features introduction
Sub-Resolution Assists Features (SRAF) is a well known and well described method for process window improvement. The introduction of such a technique is not always an easy task for two reasons. On one hand the SRAF placement rules must be defined very well and on the other hand an empirical resist model must be created, which describes the process. Model based Optical and Process effects correction (MB-OPC) is using an empirical model so called black box, which must be able to predict properly the printing feature for any kind of complex design configuration. When SRAF are implemented in the design, the degree of freedom for the MB-OPC can be reduced. Beside that effort to predetermine as required as possible the target layer, SRAF placement rules and SRAF printing restrictions will limit the OPC. MB-OPC has to cover both the parameters space corresponding to areas in which SRAF are placed and the parameter space for which no SRAF has been implemented. Of course, it could also be possible to apply the correction of the proximity effect of a complex design with SRAF by an extensive rule-based OPC. Nevertheless the advantage of MB-OPC exists in the possibility to verify the design after Data Preparation by simulating it with the help of the calibrated model. However one should not trust the simulation alone, always a verification of the design on silicon would be necessary, by comparing simulation to SEM images. Beside the advantages of MB-OPC also weaknesses exist in the meantime, which could require a combination of rule-based and model-based OPC, so called “hybrid OPC”. Empirical models are very often only able to predict the proximity behavior due to a certain range, which is called the optical range of a model. Distances bigger than this range will be covered by extrapolations. This procedure would be correct, if the proximity behavior was as constant as in the area inside the optical range. We generated an empirical model with the Calibre Workbench from Mentor Graphics. For the model calibration we chose structures with SRAF placement rules, which we applied to the design as well as SRAF placement rules which were not applied to the design. Afterwards, we performed simulations of critical lines over pitch including SRAF. Beside the MB-OPC, we will also describe in this paper the process steps how to generate the SRAF placement rules. The restrictions resulting from the SRAF rules are presented. Subsequently, the experimental results will show that both for symmetrical and asymmetrical structures an improvement of the process window has been obtained. Also weaknesses become clear, which place either the model or the SRAF rule-set questionable. Finally two solutions will be compared, a pure MB-OPC including the isolated lines outside of the optical range and a combination of MB-OPC with a rule-based OPC table for the isolated lines.
Critical failure ORC: application to the 90-nm and 65-nm nodes
In this paper, we present a new technique (Critical Failure ORC or CF-ORC) to check the robustness of the structures created by OPC through the process window. The full methodology is explained and tested on a full chip at the 90- nm node. Improvements compared to standard ORC/MRC techniques will be presented on complex geometries. Finally, examples of concrete failure predictions are given and compared to experimental results.
Classical control theory applied to OPC correction segment convergence
Model based Optical Proximity Correction work is currently performed by segmenting patterns in a layout and iteratively applying corrections to these segments for a set number of iterations. This is an open loop control methodology that relies on a finely tuned algorithm to arrive at a proper correction. A goal of this algorithm is to converge in the fewest number of iterations possible. As technology nodes become smaller, different correction areas tend to correct at different rates, and these correction rates are diverging with process node. This leads to more iterations being required to converge to a final OPC solution, the consequence of which is an increased runtime and tapeout cost. The current solution to this problem is to use proportional damping factors to attempt to bring different structure types to a solution. Classical control theory provides tools to optimize the convergence of these processes and to speed up convergence in physical systems. Introducing derivative and integral control while continuing use of proportional control should reduce the number of iterations needed to converge to a final solution as well as optimize the convergence for varied configurations.
Resolution Enhancement Techniques
icon_mobile_dropdown
REAPS technique for printing sub-100-nm trench using KrF lithography
Wei-Hua Sheu, Elvis Tien Chu Yang, Ta-Hung H. Yang
KrF photolithography is difficult to attain usable process window for sub-100nm patterning due to the limitation from both the illumination and resist chemistry. For sub-0.15um FLASH process, sub-100nm trench, which is the smallest critical dimension to be resolved, becomes a real challenge to use conventional KrF lithography. REAPS (Resolution Enhance Assisted by Physical Shrinkage) process, utilizing the physical reaction catalyzed by the temperature in the patterned resist, is originally developed to enhance the resolution for contact hole in DRAM process. Instead of direct printing desirable feature using KrF process, REAPS treats the printed pattern by coating a water-soluble polymer upon patterned resist. This applying polymer layer provides a physical drive force to iso-tropically deform the resist patterns through controlled thermal process. Although REAPS process is an effective resolution enhancement technology to extend KrF lithography capability to even smaller dimension, its shrinkage performance and process window heavily depend on accurately temperature control of hot plate. To overcome the drawbacks of high temperature sensitivity of pattern shrinkage and achieve stable process control ability, a study on appropriate temperature setting and multi-step REAPS was carried out, and our results illustrate REAPS is applicable to isolated trench process and a sub-100nm trench can be achieved by this approach.
Extension of ArF lithography for poly gate patterning of 65nm generation and beyond
Due to the existing problems and delay of 157nm lithography tool, extension of the ArF (193nm) lithography process with resolution enhancement techniques (RET) should be considered for the 65nm generation lithography and beyond. The mature double-exposure lithography process based on dark-field alternating phase-shift mask (PSM) is one of the promising RET candidates, which is proven to be one of the production-ready strong phase-shifting techniques for current and future IC generations. In this paper, poly gate patterning with the minimum pitch of 160nm has been demonstrated with high numeric aperture (NA) and small partial coherence of ArF lithography along with a dark-field alternating PSM. For poly gate patterning of 65nm generation, optimum illumination settings are found for minimum pitch of 160nm. Through-pitch common process windows for gates with 65nm after-development-inspection (ADI) critical dimension (CD) at minimum pitch of 160nm can be reached larger than 0.30um depth of focus (DOF), which can be used for 65nm node production. Through-pitch proximity can be compensated by optical proximity correction (OPC). Line edge roughness (LER) can be improved a little by this dark-field alternating PSM technique. LER is found of strong aerial image contrast dependency. Shifter width is also chosen as optimum value to obtain the largest process windows and minimize the phase conflicts. 193nm Hi-NA or liquid immersion lithography is suggested to push the alternating PSM resolution limitation.
CD Control and Performance
icon_mobile_dropdown
Alternating phase-shifting mask design for low aberration sensitivity
Theories are developed to optimize the mask structure of alternating phase-shifting masks (PSMs) to minimize the average image placement error towards aberration under coherent imaging. The constraint of the optimization is a given mean value of RMS aberration, which corresponds to infinitely many sets of random Zernike coefficients. To begin the analysis, the image placement error is expressed as a function of the mask spectrum and the wave aberration. Monte Carlo analysis on the Zernike coefficients is then performed, which assures us that a global minimum of average image placement error is likely to occur at low phase widths. This result is confirmed by analytically considering the expected value of the square of the image placement error. By Golden Section Search, the optimal phase width is found to be 0.3707 (λ/NA) at 0.07 λ RMS aberration. This result is applicable to the design of all alternating PSMs.
Resolution Enhancement Techniques
icon_mobile_dropdown
Experimental verification of a model based decomposition method for double dipole lithography
Mark Eurlings, Stephen D. Hsu, Eric Hendrickx, et al.
Double Dipole Lithography (DDL) is one of the candidates for extending optical lithography into the k1=0.30 regime. In 2001 the first experimental 2D elbow structures were reported. In 2002 a rule based decomposition and a model assisted decomposition method were presented. In 2003 a new, model based decomposition step has been presented. Now we present the results of applying this model based decomposition by discussing the first experimental results on a 0.75 NA ArF scanner printing 70 nm lines at various pitches (160 nm and larger, i.e. k1=0.31 and up). We provide an assessment of the current state of maturity of the DDL technology for the low-k1 regime (0.3..0.4). This is based upon CD uniformity, 2D pattern fidelity and through pitch process latitude behavior.
Advances in vortex via fabrication
Vortex masks composed of rectangles with nominal phases of 0°, 90°, 180° and 270° have been shown to print sub-100nm vias and via arrays when projected into negative resist using 248nm light. Arrays with pitches down to 210nm and CDs as small as 64nm have been reported. While promising, 248nm vortex via images showed some anomalies: The developed contacts were somewhat elliptical, with four different repeating via shapes. The common depth of focus for these four classes of via was limited by their different behaviors through focus. Phase edges in isolated vortex pair structures tended to print, also limiting the useful DOF. These issues can be ameliorated by employing 193nm illumination and a new negative-tone resist. Smaller NAs and higher coherence extend the common depth of focus and larger NAs can be used to print even more tightly spaced patterns. Advanced optical proximity correction techniques can also be applied to reduce the via ellipticity and placement error, and a more optimal choice of geometrical phase depth reduces pattern variability. Further developments and incremental improvements in vortex via design and processing may make it the method of choice for via patterning at the 45nm node.
Study of Cr patch validity for ArF CPL mask and its fabrication
In the ArF lithography for sub-100nm, PSM (Phase Shift Mask) has been considered as one of the basic RETs (Resolution Enhancement Techniques). Nowadays, besides attenuated PSM, alternating PSM and CPL (Chromeless Phase Lithography) containing Cr patch is widely studied for targeting sub-100nm. Since 2nd process using 365nm laser tools for Cr patch has been a wide gap between the reality and the demands, various candidates using 254nm laser or e-beam exposure tool have been presented to overcome the current 2nd process limitation. And, the Cr patch operate as an assist pattern to control the transmittance of mask, therefore, the CPL mask with Cr patch have advantages of improving process margin such as dose margin and its applicable flexibility for various layers, dense or isolated pattern in the memory and logic device. In this paper, we scrutinize the feasibility of 2nd alignment using 10keV e-beam. Process issues such as the charging effects caused by 2nd e-beam exposure on the 1st Cr etched substrate were evaluated as well.
The evaluation of assist feature defect printability for sub-0.13-μm technology
Chang-Young Jeong, Young Keun Kim, Ki-Yeop Park, et al.
We demonstrated the impact of space between assist bar and main pattern, width of assist bar, defect size, and the location of defect between assist bar and main pattern on main pattern distortion. The sizes of designed defect on mask were 400, 1600, 3600, and 6400 nm2 (1X) and the locations of defect were varied with 20 nm intervals between assist bar and main pattern. The widths of assist bar were varied from 60nm to 100nm with 10nm intervals and the spacing between assist bar and main pattern with 130- and 150-nm-width were 200nm, 240nm, and 280nm. The ΔCD, which is the difference of CD values between normal main pattern and distorted main pattern adjacent to defects, values were decreased with increasing space between assist bar and main pattern, while width variation of assist bar does not affect on the ΔCD value. Concerning the effect of defect location, we observed that the isolated defects with ≥1600 nm2, located between assist bar and main pattern, were printed on wafer. In sharp contrast, the defect attached to assist bar were not printed at all for all sizes of defects. In addition, ΔCD values were linearly increased as the defect location is close to main pattern regardless of process conditions. These results indicate that the location of defect plays a major role to specify the defect criteria, especially for assist bar OPC mask. The change of process latitude and defect printability with the illumination conditions and mask bias was also investigated
Rigorous diffraction analysis using geometrical theory of diffraction for future mask technology
Advanced lithographic techniques such as phase shift masks (PSM) and optical proximity correction (OPC) result in a more complex mask design and technology. In contrast to the binary masks, which have only transparent and nontransparent regions, phase shift masks also take into consideration transparent features with a different optical thickness and a modified phase of the transmitted light. PSM are well-known to show prominent diffraction effects, which cannot be described by the assumption of an infinitely thin mask (Kirchhoff approach) that is used in many commercial photolithography simulators. A correct prediction of sidelobe printability, process windows and linearity of OPC masks require the application of rigorous diffraction theory. The problem of aerial image intensity imbalance through focus with alternating Phase Shift Masks (altPSMs) is performed and compared between a time-domain finite-difference (TDFD) algorithm (TEMPEST) and Geometrical theory of diffraction (GTD). Using GTD, with the solution to the canonical problems, we obtained a relationship between the edge on the mask and the disturbance in image space. The main interest is to develop useful formulations that can be readily applied to solve rigorous diffraction for future mask technology. Analysis of rigorous diffraction effects for altPSMs using GTD approach will be discussed.
Analysis of off-axis illumination-based phase-edge/chromeless mask technologies
Production readiness of phase-edge/chromeless reticles employing off-axis illuminations for 65nm node lithography is assessed through evaluation of mask design conversion and critical layer lithography performance. Using ASML /1100ArF scanners, we achieved k1=0.33 for chromeless phase shift mask (crlPSM) with more than 0.6um DOF for dense features. Subresolution assist features allow for acceptable depth of focus through pitch. However, chromeless feature linearity fall-off continues to be a major issue hampering the acceptance of crlPSM for production. Several mask data conversion schemes such as chromeless gratings and chrome patches have been proposed as viable solutions to mitigate the chromeless linearity fall-off issue. We evaluated chromeless gratings, chromeless rims and chrome patches and report on their performance in resolving the chromeless linearity fall-off issues as well as mask process complexity associated with each solution.
Feasibility studies of ArF chromeless mask (CLM) for sub-80-nm era
Tae-Seung Eom, Chang-Moon Lim, Min Gyu Sung, et al.
In this paper, we discuss feasibility of ArF chromeless mask (CLM) for sub-80nm era. Simulation and experiment are performed in terms of influence of quartz sidewall angle of CLM, process margin for 80nm DRAM cells, and mask polarity such as trench or mesa etc. Mask layouts are optimized through the use of resist patterning simulation for various critical layers of DRAM with trench and mesa type CLM, respectively. Lithography simulation is done by using in-house tool based on diffused aerial image model. SOLID-CTM is also using in order to study the influence of quartz sidewall angle and mask polarity. In the case of mask polarity, mesa type CLM is easier to make in the view of mask-making process, but in view of lithographic performance, trench type CLM is found to be better than mesa type. Quartz sidewall angle of CLM is one of the important factors for lithographic performance. The quartz sidewall angle of CLM gives severe impact on the lithographic performance. As quartz sidewall angle of CLM gets below 90 degrees, image quality, such as process window, aerial image contrast, are further degraded especially in the mesa type CLM. In addition, we also studied influence of phase error, transmittance error etc.
Diffraction analysis of customized illumination technique
Various enhancement techniques such as alternating PSM, chrome-less phase lithography, double exposure, etc. have been considered as driving forces to lead the production k1 factor towards below 0.35. Among them, a layer specific optimization of illumination mode, so-called customized illumination technique receives deep attentions from lithographers recently. A new approach for illumination customization based on diffraction spectrum analysis is suggested in this paper. Illumination pupil is divided into various diffraction domains by comparing the similarity of the confined diffraction spectrum. Singular imaging property of individual diffraction domain makes it easier to build and understand the customized illumination shape. By comparing the goodness of image in each domain, it was possible to achieve the customized shape of illumination. With the help from this technique, it was found that the layout change would not gives the change in the shape of customized illumination mode.
The impact of MEEF through pitch for 120-nm contact holes
Each generation of semiconductor device technology drive new and interesting resolution enhancement technology (RET’s). The race to smaller and smaller geometries has forced device manufacturers to k1’s approaching 0.40. In this paper the authors will focus on the impact of mask exposure error factor (MEEF) through pitch for 120nm contacts with and without assist features. Experimental results show that although the addition of scatter bars improves depth of focus it has a negative effect on MEEF.
Extension of 193-nm immersion optical lithography to the 22-nm half-pitch node
Steven R. J. Brueck, Abani M Biswas
Initial volume manufacturing of the 16- to 22-nm half-pitch integrated circuit node is targeted for the year 2018. Lithography is under tremendous pressure to extend its capabilities to meet this deadline. Recently, immersion lithography, particularly using water as an immersion fluid at 193 nm, has attracted much attention as a promising optical lithography extension. However based on simple optical bandwidth considerations, 193-nm-based optical lithography alone will not have the bandwidth necessary for printing the 22-nm half-pitch mode with any foreseeable combination of immersion liquids and conventional resolution enhancement techniques (RETs). The approach to reaching this node presented here is to combine all available RETs with processing nonlinearities and spatial-frequency doubling using two photoresist layers and an image storage layer. Appropriate combinations of multiple exposures/processes can access the 22-nm node; thus reaching current end-of-roadmap values for half-pitch while retaining the current 193-nm lithography infrastructure. A detailed simulation (PROLITH 8) study of this approach is reported.
Implementation of pattern-specific illumination pupil optimization on Step & Scan systems
Step&Scan systems are pushed towards low k1 applications. Contrast enhancement techniques are crucial for successful implementation of these applications in a production environment. A NA - sigma - illumination mode optimizer and a contrast-based optimization algorithm are implemented in LithoCruiser in order to optimize illumination setting and illumination pupil for a specific repetitive pattern. Calculated illumination pupils have been realized using Diffractive Optical Elements (DOE), which are supported by ASML's AERIAL II illuminator. The qualification of the illumination pupil is done using inline metrology on the ASML Step & Scan system. This paper describes the process of pattern specific illumination optimization for a given mask. Multiple examples will be used to demonstrate the advantage of using non-standard illumination pupils.
Key challenges in across-pitch 0.33-k1 trench patterning using hybrid mask
This paper studies the concept of and challenges in patterning trenches using hybrid phase shift mask. Our hybrid mask consists of alternating, chrome-less and 20% attenuated phase shift features on the same reticle. Using this mask, we could pattern across-pitch 120 nm trenches on 0.68-NA, KrF lithography scanner, which is equivalent to K1 of 0.33. However, many challenging issues like unequal best focus for different duty ratios of the same technique and same duty ratio of different techniques, variation in the dose requirements despite aerial image CD matching and the pitch dependent variation in the critical dimension imbalance of the zero and π phased trenches are observed. These issues, that are question marks on the viability of hybrid mask, are presented in this paper. Hybrid mask manufacturing and characterization data is also included to justify that the issues are not because of the mask manufacturing process.
A 90-nm design-rule patterning application using alt-PSM with KrF lithography for volume manufacturing at k1=0.27
We selected alternating phase shift technology to image 90nm dense lines with a pitch of 200nm for volume production purposes. We simulated which settings of illumination were needed to achieve these pitches taking into account the boundary condition of the exposure tool with the wavelength of 248nm and its maximum NA of 0.68. The simulations showed, that normalized image log slope (NILS) is above 2 for a focus range of at least 600nm, if an alternating phase shift mask is used at the very low σ of 0.2 Typical manufacturing conditions with process variations, lens errors and mask deviations were included in the simulations. Based on these results, on the one hand the mask was specified and manufactured; on the other hand the tool was adaptated to the low σ requirement and the specific lens error sensitivities. Shipley's UV212 on BARC AR7 was used at a resist thickness of 250nm. The resist process was optimized by reducing the concentration of the developer. Finally, experimental verification of this entire system with wafer exposures shows that 90nm lines with a pitch of 200nm could be printed with a focus window of more than 600nm.
Modeling, Simulation, and Analysis
icon_mobile_dropdown
Approximation of in-resist image by aerial image with 1/n-times shorter wavelength
Shuji Nakao, Jun Abe, Takashi Okagawa, et al.
An approximate in-resist image calculation method is proposed. From the configuration of light wave propagation in resist, sine of incident angle becomes 1/n times smaller than that in the air. Wavelength in resist is 1/n times shorter than that in the air; where n is refractive index of a resist. If wavelength in the air is 1/n times shorter at mask, sine of diffraction angle becomes 1/n times smaller, which is the same as the propagation angle of the diffracted light in resist for actual wavelength. Therefore, aerial image with 1/n times shorter wavelength under 1/n times smaller NA optics may become a good approximation of in-resist image for original wavelength, while attention should be required to image variation accompanied with z-shift of not observation point but z-shift of working wafer by which DOF is defined. We find that z-scale should be shrunk to obtain approximate DOF by a usual scaling law that is derived from phase relation of 3 beam interference with the largest interference at the optics. As an application of this calculation method, high NA ArF imaging, which will be realized by immersion optics, is investigated. Some interesting results, which may affect development strategy of ArF immersion lithography, are obtained in imaging characteristics. For example, resist blur in ArF resist, which seems to be much larger than that in KrF resist, may become the most serious problem to resolve a fine pattern with an extreme high NA ArF optics.
Comparison of various lithography strategies for the 65- and 45-nm half pitch using simulation
At present, the question of the move from 193 to 157nm lithography is under discussion. There are still several major issues such as the development of 157nm photo-resists and pellicles, as well as calcium-fluoride lens material availability. The extension of the 193nm lithography down to the 65- and 45-nm half pitch technologies is now considered as a serious alternative. This requires several technical challenges with the use of phase shift masks (PSM), optical proximity effects corrections or liquid immersion. Simulation gives information on expected process latitudes and is an important tool to help this technical choice. Previous works have shown that the "Diffused Aerial Image Model" (DAIM) is accurate for CD prediction. Reliable process latitudes can be extracted from the simulated focus-exposure matrices (FEM). The model is used for the process latitudes evaluation of the different lithography approaches possibly used to print the 65- and 45-nm half pitches. 193nm illumination in addition to PSM is compared to 157nm lithography associated with conventional or optimized illumination schemes. This work shows that PSM at 193nm gives generally better exposure latitude for all pitches and CD, and confirms that 193nm lithography is a possible alternative to achieve 45nm and 70nm half pitches patterning. The process windows are nevertheless very small, and huge mask error factors (MEEF) are another sign that printing such small features is close to the physical limit (k1 factor close to the quarter).
Study of the impact of illumination intensity distribution on resist parameter modification
An Illumination intensity distribution of an exposure tool varies CD in simulation. In order to obtain reliable resist parameters, we studied the influence of the illumination intensity distribution in tuning the resist parameters and the accuracy of the simulation using the tuned resist parameters under different illumination conditions from in tuning. We tuned resist parameters with two models of illumination intensity to experimental FEM data. One model, "Nominal", was assumed to be uniform intensity and a nominal shape of an exposure tool. Another model, "Measured", was measured illumination intensity distribution with grating-pinhole mask. Under the same illumination condition to tuning, RMS of CD difference between experiment and simulation using "Measured" in tuning and simulation was 0.7nm smaller than that using "Nominal". But under the different illumination condition from tuning, RMS using "Measured" was 1.4 - 1.6nm smaller in total of 1D-pattern than that using "Nominal". In the specific pattern RMS using "Measured" was rather smaller than RMS using "Nominal". These results indicate that, in order to gain accurate simulation result, the accurate illumination intensity distributions is need in tuning and simulation. If using "Nominal" in tuning and in simulation, CD difference between experiment and simulation will enlarge in fine patterns.
Propagation of EM waves in axial symmetric structures and its implication for 3D rigorous lithography process simulation
Zhengrong Zhu, Andrzej J. Strojwas
Amid the different approaches to rigorously model the scattering of electromagnetic waves in sub-wavelength photolithography process, waveguide methods have been proven to be accurate and efficient. While totally different from the time domain methods such as FEM and TDFD, waveguide methods simultaneously compute diffraction of the incident plane waves with different incidence angles by a periodical dielectric structure. EM modes inside dielectric layers are solved for by decoupling the eigen-system and the electromagnetic field boundary conditions between each two adjacent layers are applied to stitch the modes and obtain the full scattering matrix. It is clear that the number of orders of the plane waves under consideration directly affects the accuracy of a waveguide simulator. However, in a 3-D simulation, simulation time and memory usage increase drastically with the increase of the number of orders. These limitations prevent waveguide methods from being applied to large layout patterns that require higher simulation orders. Since many cases under study in lithography process optimization and layout printability analysis are actually axial-symmetric about both x and y axes, it is possible to use this symmetry to simplify the calculation. Based on our definitions of the four fundamental groups of symmetric and anti-symmetric functions and the operations between these symmetric groups, we have made some fundamental discoveries of the waveguide propagating behaviors inside symmetric dielectric structures. In this paper, we will describe our new rigorous theory to decompose the propagating waves in a symmetric layer into four symmetric and anti-symmetric transmitting plane wave groups. Each group can be directly stitched to the next layer without losing symmetry or anti-symmetry. Furthermore, any form of incident waves can be reorganized into the above four plane wave groups, so can the reflective and refraction fields. We have shown that by using this field decomposition technique, we can compute scattering of the four smaller diffraction mode groups separately and the computational complexity will be greatly reduced as compared with the full mode simulation. We have developed a new version of the 3-D simulator, METRO, which incorporated this new method and achieved very high computational efficiency. For example, a 33 by 33-order, double precision simulation will only require 300M bytes memory and take less than 15 minutes without any loss of accuracy on a 1G processor compared with 1.7G memory usage and 10 hours simulating time on the same processor without symmetric simplification.
Fast algorithm for extraction of worst-case image degradation due to flare
Unwanted scattered light affects image-quality, OPC behavior and becomes increasingly problematic with decreasing wavelength. A software system has been written that incorporates a pattern-matching algorithm to locate regions in the mask geometry that closely resemble a problematic shape. Our goal is to improve manufacturing of a full-chip layout by identifying locations worst impacted by flare. The Pattern Matcher match factor shows good agreement in predicting flare sensitivity for several flare measurement layouts. The software is able to generate and process patterns capturing short-range, mid-range and long-range flare effects.
Development of an accurate empirical model for ArF lithography
“Dose-MEF” was measured on ArF and KrF resists. The “dose-MEF” is very important factor for mask making spec. Conventional lithography simulation such as “Diffused aerial image simulation” does not predict the ArF experimental value precisely. In order to explain the dose-MEF of ArF resist, we introduce intensity biasing. The intensity biasing is caused by flare of exposure tool and another mechanism. The intensity biasing reduces the dose-MEF. Small dose-MEF leads to the relaxed mask spec.
A simple and accurate resist parameter extraction method for sub-80-nm DRAM patterns
Due to the polarization effect of high NA lithography, the consideration of resist effect in lithography simulation becomes increasingly important. In spite of the importance of resist simulation, many process engineers are reluctant to consider resist effect in lithography simulation due to time-consuming procedure to extract required resist parameters and the uncertainty of measurement of some parameters. Weiss suggested simplified development model, and this model does not require the complex kinetic parameters. For the device fabrication engineers, there is a simple and accurate parameter extraction and optimizing method using Weiss model. This method needs refractive index, Dill’s parameters and development rate monitoring (DRM) data in parameter extraction. The parameters extracted using referred sequence is not accurate, so that we have to optimize the parameters to fit the critical dimension scanning electron microscopy (CD SEM) data of line and space patterns. Hence, the FiRM of Sigma-C is utilized as a resist parameter-optimizing program. According to our study, the illumination shape, the aberration and the pupil mesh point have a large effect on the accuracy of resist parameter in optimization. To obtain the optimum parameters, we need to find the saturated mesh points in terms of normalized intensity log slope (NILS) prior to an optimization. The simulation results using the optimized parameters by this method shows good agreement with experiments for iso-dense bias, Focus-Exposure Matrix data and sub 80nm device pattern simulation.
Modified procedure for evaluation of low-k1 process windows
Dong-Woon Park, Sook Lee, Sang-Gyun Woo, et al.
For a lithography process, process windows are conventionally determined based on the amount of CD variation in a focus-exposure matrix (FEM). In a low-k1 region, however, a real process window can be smaller than is determined by the CD variation of FEM, due to a large mask error enhancement factor (MEEF). And the real process window cannot be determined by simply narrowing the process window obtained from a FEM, since MEEF itself is not a constant but a function of various process parameters. All the parameters which can affect MEEF should be considered carefully both in evaluation and in optimization of a real process window. Aerial-image base simulation was avoided in calculation of a process window because aerial-image based simulation cannot properly predict a process window even for simple 1-dimensional line-and-space patterns without introducing a fictitious variable like iso-focal bias, which cannot be extended to general 2-dimensional cases. In this study, a modified procedure for evaluation of process windows of critical layers has been proposed, and the process window was compared to the process window obtained by a conventional procedure. The proposed procedure has been implemented in our in-house lithography simulator to automatically process the evaluation of real process windows. Since the proposed procedure heavily relies on the accuracy of the lithography simulator, consideration of mask corner rounding effect and careful tuning of the physical properties of photoresists among others have also been included to guarantee the overall simulation accuracy.
Impact of illumination intensity profile on lithography simulation
Chan Hwang, In-sung Kim, Sang-Gyun Woo, et al.
Accuracy of lithography simulation largely depends on the properly chosen input parameters. Illumination profile among those parameters in the simulation has been idealized into simple intensity profile, which can be described by several characteristic parameters such as inner and outer partial coherences. However, pupil measurement data shows that real illumination intensity profiles in exposure tools are deviated from the ideal intensity profile. Simulation error due to this deviation degrades the accuracy and even spoils extraction of resist parameters. And the intensity profile can be additionally changed by the condition of exposure tool. Hence the modeling of intensity profile is required to improve the accuracy of simulation and to monitor the illumination status of exposure tool. In this paper, we studied the effect of illumination profile on isolated and dense features. The modeling of illumination profile was proposed and equipped on in-house simulation tool. This modeling makes it possible to enhance the accuracy of lithography simulation and monitor the illumination status of exposure tool.
Modeling of reactive soluble ARCs and photoresist-ARC interaction
John J. Biafore, Mark Neisser, Gary dela Pena, et al.
The stringent requirements facing modern chemically amplified photoresists and antireflective coatings make computer physical simulation methods a valuable tool for photoresist and ARC research and design. Hypothetical microlithographic processes involving toolsets that are unavailable to the experimenter may be evaluated. Complex photoresist physical reaction phenomena, often difficult to measure experimentally, may be evaluated within the limits of the mathematical models used. This work details the mechanics and application of a custom simulation tool written for the modeled study of reactive soluble ARCs (DBARCs), soluble ARCs, and photoresist-ARC interactions - phenomena not readily modeled by commercially available software at the time of this paper. Photoresist and ARC interactions are modeled by computing two-dimensional composite diffusion and reaction. Soluble ARCs, either reactive (DBARCs) or nonreactive, are modeled using composite diffusion, a full level-set front tracking development method and multiple development rate functions. Physical models, mathematical formulations and numerical methods of solution are shown. Scenarios hypothesizing the origin of photoresist profile foot formation are discussed and modeled. Models of reactive, soluble ARCs (DBARCs) are compared to models of constant development rate soluble ARCs. The effects of specific reactant diffusion and reaction upon DBARC dissolution rate contours are modeled.
Equivalent modeling technique for predicting the transient thermomechanical response of optical reticles during exposure
Amr Y. Abdo, Roxann L. Engelstad, William A. Beckman, et al.
To extend optical lithography technology to the sub-65 nm linewidth regime, all mask-related distortions must be eliminated or minimized. Thermal distortion during the scanning exposure process can be a significant contribution to the total pattern placement error budget for advanced photomasks. This paper presents an equivalent finite element modeling technique for use in predicting the transient thermal and structural response of an optical reticle during exposure. The equivalent model significantly reduces the computational time required to calculate the thermomechanical response. It is a fundamental approach that can be used in similar problems. Full three-dimensional finite element heat transfer and structural models are developed to simulate both the “actual” and the “equivalent” scanning processes. The results from the actual models and the equivalent models are compared for a test case and it is found that both models predict virtually the same results. The equivalent models are subsequently used for predicting the transient and periodic steady-state temperature and distortion distributions for typical exposure duty cycles.
Lumped parameter model for chemically amplified resists
Recently the Lumped Parameter Model (LPM) has been extended to three dimensions enabling fast calculations of full resist profiles. This resist model incorporates most of the lithographically significant physical phenomenon of resist systems. This model works well to match isolated and semi-isolated line resist systems. However, it is not very successful at matching contact hole or isolated trench resist systems. The reason for this mismatch can be traced to the influence of base quencher present in chemically amplified (CA) resists yet absent from the original LPM. The quencher effectively splits the aerial image into two complementary images. These two images (acid and base) simultaneously diffuse and react with each other. A single aerial image diffusion model cannot approximate the resulting coupled quenching-diffusion system. An improved LPM that incorporates quencher and its diffusion is presented. Successful implementation of this model requires solving the coupled quenching-diffusion system in a fast and accurate manner. Several solution methods are discussed. The agreement between the Lumped Parameter Model and a full CA resist model is greatly improved. This improvement will enable fast and more accurate calculations of resist affects on three-dimensional imaging bias.
Methods for benchmarking photolithography simulators: part II
Numerical simulation has become an indispensable tool for the design and optimization of photolithographic processes. Because the semiconductor industry now relies heavily on these tools, it is necessary to be able to benchmark their accuracy - as feature sizes continue to shrink, the numerical error in these simulators must decrease as well. In a previous paper, we proposed benchmarks for aerial image calculation that were drawn from the optics literature. Because these benchmarks were closed-form solutions, we could use these results as an absolute standard for determining the numerical accuracy of an aerial image calculation. In the current study, we continue this effort by presenting closed-form solutions that can serve as benchmarks for the resist response to the projection optics. Benchmarks are proposed for film stack reflectivity and image in resist. Specific results will be presented for PROLITH.
General scaling law of optical lithography: optical theory
The concept of system invariance is the principle of scaling law in optical lithography. Both the conservation of the intensity threshold of the aerial image and the invariant pupil filling of the diffracted light with the normalized numerical aperture (NA) have to be satisfactory in order to ensure the invariance for a system in a variety of optical settings. Two well-known scaling equations with k1 and k2 factors characterize the capability of the manufacturing process in microlithography. In theory, the validity of these two equations has to be based on the principle of invariance. Therefore, any optical parameters in exposure tool could be scaling validly and properly, once they obey the principle of invariance.
Effect of reduction ratio on polarization impact for imaging
Much has been made of the term 'subwavelength' in the lithography community in recent years, referring to the smaller feature sizes that the International Technology Roadmap for Semiconductors (ITRS) demands. This term, however, has additional meaning when the features on the reticle, rather than on the wafer, are smaller than the wavelength. In this case, all assumptions that are made concerning the (lack of) diffraction in the immediate vicinity of the reticle in conventional simulation algorithms - including that in model-based optical proximity correction (MBOPC) software - become invalid. As has been repeatedly shown, one must resort to a vector representation of the illumination and solve Maxwell's equations in order to properly characterize the electric field beyond the reticle. For the 90 and 65 nm nodes, resolution enhancement features such as subresolution assist features (SRAFs) and alternating phase-shift masks (Alt-PSM) require such analysis for accurate modeling. Furthermore, if the industry continues a push to use 193 nm lithography for the 45 nm node, and if the current 4X reduction infrastructure also remains, then all critical features will require this full vectorial solution to maintain acceptable accuracy in simulations. Furthermore, the simultaneous push to increasingly higher values of the numerical aperture - beyond 0.85 - requires that the polarization of the light in the exit pupil of the projection lens be considered. Although the ability to simulate this phenomenon has existed in standard simulation software, there remain issues that are not well understood by the community. These questions go beyond the difficulty of such simulations: it is never a question that someone will write software to model these scenarios. The real problem lies in runtime: many of these problems require an increase in runtime of an order of magnitude, or more, in a production model-based OPC environment, which translates into increased cycle time and cost per chip. This is in addition to the expected loss in process latitude caused by unintentional polarization phenomena. One may be tempted to assume that these problems will go away by relaxing the reduction ratio beyond 4X to, say, 8X; that is, by increasing the critical dimension (CD) on the mask. While this is indeed likely, more practical problems inevitably creep in, the most serious of these being throughput loss. The economic issues, and not technical ones, has kept the reduction ratio fixed in the face of the lithographic difficulties we as an industry face going forward. Nevertheless, as we hurriedly investigate technologies that seemed exploratory not long ago, the technical aspects of increasing the reduction ratio beyond 4X are worth another look. Clearly, EMF effects will decrease, but not vanish; how much of an impact this has on our ability to simulate quickly and obtain reasonable process windows in the EMF regime for 4X is a goal of this paper.
Effect of the effective resist diffusion length to the photolithography at 65- and 45-nm nodes: a study with simple and accurate analytical equations
Qiang Wu, Scott D. Halle, Zengqin Zhao
As the current photolithography moves toward the 65 and 45 nm nodes, resist blur, which is now around 50 to 90 nm full width at half maximum (FWHM), starts to limit the printability of narrow pitches by lowering image contrast, increasing mask error factor (MEF), and changing critical dimension (CD) through pitch behavior. Since such resist blur is known to originate from acid and base diffusion, which is an important process for chemical amplification, the reduction of such blur may affect the resist performance. Therefore, knowing how much diffusion can be tolerated at any given lithographic condition is critical to the success of photolithography at the 65 and 45 nm nodes. In this paper, we present a systematic study of the effect of the resist diffusion with a series of simple and accurate analytic equations and experimental data for the 193 nm lithography. We also extend our predictions to the 193 nm immersion lithography. We first show a simple way to accurately measure the effective resist diffusion length through the regular wafer exposure method for many typical resists. We then show a method to accurately quantify the contrast reduction due to such resist diffusion for both alternating phase shifting masks (Alt-PSM) and attenuated phase shifting masks (Att-PSM). We conclude that the contrast reduction is very significant with typical 193 nm resists, which have diffusion lengths of around 30 to 40 nm. In the study, we found that the mask error factor (MEF), though dependent on the illumination condition, is a strong function of the resist diffusion length at any given illumination condition. For example, in the alt-PSM case, the MEF is almost entirely determined by the resist diffusion. In the att-PSM case, however, the MEF is only partially dependent on the resist diffusion length, about 50%. In fact, a short diffusion length of less than 20 nm will be required to extend its litho-worthiness to the 45 nm node with contrast levels comparable to the current ones. Plots of the contrast and MEF through pitch for both alt-PSM and att-PSM for various diffusion lengths under typical lithographic conditions will be presented. Experimental verification of the above analytical equations will be presented.
Immersion Lithography
icon_mobile_dropdown
Process window simulation study with immersion lithography for 45-nm technology node
Oseo Park, Alois Gutmann, Walter Neumueller, et al.
As the potentials of experimental studies are still limited, a predictive resist image simulation of Immersion lithography is very important for a better understanding of the technology. One of the most critical issues in Immersion lithography is the description of the influence of immersion which is the presence of a uniform liquid layer between the last objective lens and the photo resist, on optical lithography. It enables the real part of the index of refraction in the image space, and the numerical aperture of the projection lens, to be greater than unity. Therefore, it is virtually involves Maxwell vector solution approach, including polarization effects and arbitrary thin film multi-layers. This paper discusses the improvement in process window afforded by immersion under a variety of conditions, including 193nm and 157nm, Off-axis illumination, Attenuated Phase Shift Mask for 65nm and 45nm technology node. Comparisons with dry and liquid lithography simulations are used to evaluate the availability and the performance of the proposed approach. The implemented resist simulation approach is examined the impact to the process window of variations in liquid refractive index as well.
Immersion microlithography at 193 nm with a Talbot prism interferometer
A Talbot interference immersion lithography system that uses a compact prism is presented. The use of a compact prism allows the formation of a fluid layer between the optics and the image plane, enhancing the resolution. The reduced dimensions of the system alleviate coherence requirements placed on the source, allowing the use of a compact ArF excimer laser. Photoresist patterns with a half pitch of 45 nm were formed at an effective NA of 1.05. In addition, a variable NA immersion interference system was used to achieve an effective NA of 1.25. The smallest half-pitch of the photoresist pattern produced with this system was 38 nm.
Simulation of the 45-nm half-pitch node with 193-nm immersion lithography
Abani M Biswas, Andrew Frauenglass, Steven R. J. Brueck
The minimum half pitch available to a lithography tool is given by the well-known equation Λmin-half. = λ/4nsinθ, where λ is the exposure wavelength, n is the refractive index of the immersion medium and 2θ is the angle between the propagation directions of the two beams. Using water (n = 1.44) as an immersion medium, the highest spatial frequency available with ArF-based (193 nm) lithography tools with an NA of 1.3 (1.44×0.9) corresponds to a half-pitch of 37 nm suggesting that the 45-nm half-pitch node should be accessible. A detailed simulation study (PRO-LITH 8) is reported for two different approaches to printing for this node. Dipole illumination (with two masks) as well as imaging interferometric lithography (with a single mask and multiple exposures incorporating pupil plane filters) is shown to be capable of printing arbitrary structures under these conditions. Because of the loss of contrast for TM-polarization at the high spatial frequencies at this node the high spatial frequencies in the x- and y-directions need to be printed with different polarizations in order to retain the necessary contrast. This, in turn, will require modification of the illumination system or a multiple exposure approach to allow the necessary polarization control.
157-nm Lithography
icon_mobile_dropdown
157-nm pellicles for photolithography: mechanistic investigation of the deep-UV photolysis of fluorocarbons
Kwangjoo Lee, Steffen Jockusch, Nicholas J. Turro, et al.
The advance of 157 nm as the next photolithographic wavelength has created a need to for transparent and radiation durable polymers for the use as pellicles. The most promising materials for the pellicles are fluorinated polymers, but the currently available fluorinated polymers undergo photodegradation and/or photodarkening upon exposure to 157 nm irradiation. To understand the mechanism of the photodegradation and photodarkening of fluorinated polymers, mechanistic studies on the photolysis of liquid model fluorocarbons such as, perfluoro butylethyl ether and perfluoro-2H-3-oxa-heptane, were performed employing UV, NMR, FTIR, GC, and GC/MS analysis. All hydrogen containing compounds showed decreased photostability compared to the fully perfluorinated compounds. Irradiation in the presence of atmospheric oxygen showed reduced photodarkening compared to deoxygenated samples. Irradiations were performed at 157 nm, 172 nm, 185 nm, and 254 nm and showed only minor wavelength dependence. Mechanisms for photodegradation of the fluorocarbons were proposed, where Rydberg excited states are involved.
Evaluation systems of F2 laser lithography materials
Yasuo Itakura, Youichi Kawasa, Keiji Egawa, et al.
In this paper, we present an evaluation system for F2 laser lithography masks and resists and we report preliminary test results. The evaluation system has two subsystems that are based on very accurate measurement technology. One subsystem is used for mask evaluation, the other subsystem for resist evaluation. The mask subsystem consists of two units. One unit evaluates real size 6025 binary masks placed horizontally as inside steppers. This unit measures three parameters: 1) the real time in-situ transmittance at 157nm during F2 laser irradiation, 2) the in-situ VUV transmittance using a VUV spectrophotometer and 3) the deformation of the pellicle. The precision of transmittance measurement at 157nm is +/-0.5%. The precision of the pellicle deformation measurement is +/-0.1μm. The second unit of the mask subsystem collects samples of the mask outgassing and analyzes them in a gas chromatograph mass spectrometer. The resist evaluation subsystem consists of three units. 1) One unit determines negative effects of outgassing resist contaminants on the transmittance of optical materials under F2 laser irradiation, 2) the second unit analyzes the outgassing from resists and 3) the third unit examines the effectiveness of exposure tool purge nozzles to reduce outgassing contamination.
157-nm chromeless phase lithography for 45-nm SRAM gate
157-nm lithography processes together with optimization of mask feature size and illumination conditions and chromeless mask (CLM) of mesa-type were used to fabricate a 45-nm gate by combining a high numerical aperture (NA) lens with off-axis illumination (OAI) and using Si-containing resist. It was observed that the minimum pitch for forming a 45-nm line was 140-nm. It was also shown that quadrupole illumination was the optimum OAI condition and the optimum mask feature size for forming a 45-nm line of 200-nm pitch was between 50 nm to 55 nm. In these conditions the normalized image log-slope value was about 3.0. It was demonstrated that a 45-nm SRAM gate with a depth of focus of 150 nm could be fabricated by combining these resolution enhancement techniques with high NA lithography and Si-containing resist. Furthermore the 45-nm SRAM-gate pattern was successfully transferred with a bi-layer process. From these results it was proven that fabrication of 45-nm node device could be achieved by using CLM with high NA lithography.
Development of polymer membranes for 157-nm lithography
Fluoropolymers were/are successfully used for pellicle manufacturing in 248 and 193 nm lithography. However, all known fluoropolymers rapidly degrade when exposed to high-energy 157 nm irradiation. Lack of suitable polymer “soft” pellicle has become one of the major obstacles for implementing 157 nm lithography. The goal of this research was to investigate the photodegradation mechanisms in fluoropolymers under 157 nm irradiation using various analytical techniques, and establish correlation between polymer structure and transparency/durability. Various polymer platforms, developed by Asahi Glass Corporation, as well as model polymer based on industrially available materials, have been employed in this study. Polymer structures have been analyzed using solution NMR, FTIR, Raman spectroscopy, TOF-SIMS, nanoindentation, outgassing, contact angle, ellipsometry, refractometry, n and k measurements. Transparency and durability of polymer membranes under 157 nm irradiation were established using an F2 157 nm laser as a source of irradiation, and an environmentally controlled chamber. As the result of this study, photodegradation mechanism for some of the tested polymers was tentatively suggested as cleavage of carbonyl, CO, and/or CFO bonds. Additionally, the following general conclusions have been made: environmental moisture, gas environment, and polymer/adhesive solvents affect structure and durability of the exposed polymers; “skin” surface layer can be formed on the surface of the irradiated polymer; polymer membranes are thinning under 157 nm irradiation; polar groups are formed on the irradiated surface. Effects of gas environment, exposure conditions, technology of the sample preparation on the photodegradation mechanism and kinetics were studied. Possible photodegradation pathways have been derived and assessed. Dependence of polymer durability and transparency on such structural features as number of carbon atoms within the ring, oxygen content, type and number of substituents in the Oxygen containing perfluorinated rings, number and location of carbon-oxygen bonds, structure symmetry, relative ratio of cyclic and linear chains, content and type of the hydrogen bonds, were analyzed. Semi-empirical rules to optimize transparency, durability, and mechanical properties of polymer membranes for 157nm exposure, will be discussed.
Simple 157-nm interference illumination system for pattern formation
It is expected that technological needs will increase to create tinier patterns, since the information communication society requires the massive capacity semiconductor. Reducing the wavelength of the light source is the most powerful method for improving ever-decreasing the minimum feature size of the semiconductor device. 193 nm ArF excimer laser source will be followed by 157 nm F2 excimer laser source for the next generation device down to 32 nm line width. Also, it is predicted that the technology of 157 nm ultra vacuum violet light for super fine pattern formation will be essentially used in memory or logic semiconductor manufacturing, their parts and process development. 157 nm interference imaging lithography system will be built with direct support of 157 nm resist and its process development. A circumstance that reduces the absorption rate due to air, water, O3, and other gases must be made. So, the entire system is enclosed in a N2 purged. The optical interference system was constructed after investigating various optical materials, and it enabled us to test various chemical materials to produce a real pattern by implementing the existing optical system. By using the illumination system that uses Lloyd's mirror interference type, simple interference line and space pattern was obtained.
First results from AIMS beta tool for 157-nm lithography
In modern mask manufacturing, a successful defect mitigation strategy has been become crucial to achieve defect free masks for high-end lithography. The basic steps of such a strategy include inspection, repair, and subsequent post-repair qualification of repair sites. For the latter task, actinic aerial image measurements have been proven to be the technique of choice to assess the printability of a repaired site. In the last three years, International SEMATECH in cooperation with Infineon/AMTC-Dresden and SELETE, funded a joint development project at Carl Zeiss to develop an AIMS tool operating at the 157nm wavelength. The three beta tools were shipped in 2003 to the three beta customer sites. In this paper are presented the first results obtained with these beta tools, including measurements on binary as well as alternating phase shift masks. The technical properties of the tool were discussed with regards to the capability of the tool for defect qualification on photomasks. Additionally, preliminary results of the evaluation of alternating phase shift masks will be discussed, including measurements performed on dense lines-and-spaces structures with various pitch sizes.
157-nm photoresist process optimization for a full-field scanner
Most 157nm resist optimization to date has been done with micro-steppers, but there may be significant differences in resist profiles and process windows between micro-steppers and full field scanners. Several resists were evaluated on an ASML MS VII full-field 157nm scanner at IMEC. Focus and exposure latitudes were measured for resist lines using various feature sizes and pitches with different reticle types and illumination conditions. Resist sensitivity to post-expose bake temperature were measured. Delay effects, line-edge roughness, line slimming in a CD SEM, and etch resistance were also evaluated.
Full field imaging with a 157-nm scanner
157 nm has been explored as a lithographic technology for several years on small field imaging tools with encouraging results. Significant progress has occurred in tool platform design, resist performance, and optical material quality. However, a major test of a new lithography comes when full field, scanned images can be produced as this becomes a crucial test of system performance and uniformity. We report on early results from a 22 mm x 26 mm (slot x scan) field Micrascan VII 157 nm lithography scanner obtained using a binary reticle. In addition, a full field alternating phase shift reticle was fabricated on modified fused silica1 and used to extend the imaging capability. Resolution and uniformity data from both reticles will be presented. The lithographic performance will also be compared to simulations using predicted performance from the scanner.
Initial assessment of the lithographic impact of the use of a hard pellicle on wafer distortion
Michael K. Kocsis, Peter De Bisschop, Richard Bruls, et al.
Due to the short life span of soft pellicles at the 157nm wavelength, hard pellicles are currently considered the primary option for reticle protection. The hard pellicle is an 800μm thick fused silica plate, which while protecting the reticle surface from particles, will also act as an optical element in the exposure system and cause unwanted aberrations. This paper specifically explores the hard pellicle’s impact on image distortion. We present and validate a methodology to predict pellicle induced wafer distortion based upon the pellicle shape as measured using an interferometer. Overlay results using hard pellicle A to hard pellicle B exposures and mix and match pellicle to no pellicle exposures are also presented. The results obtained so far are positive and have not yielded any show stoppers for the use of hard pellicles in production.
Immersion fluid refractive indices using prism minimum deviation techniques
Roger H. French, Min K. Yang, Michael F. Lemon, et al.
Immersion fluids for 157 nm and 193 nm immersion lithography have been measured over the spectral range from 156 nm to 1700 nm in a nitrogen purged environment. The refractive index n and k of several candidate fluids have been measured using the prism minimum deviation technique implemented on a commercial Variable Angle Spectroscopic Ellipsometer (VASE) system. For measurement the liquids were contained in a triangular prism cell made with fused silica windows. The refractive index of high-purity water at 21.5° C measured over the spectral range 185 nm to 500 nm. was checked against values measured on high accuracy prism minimum deviation equipment by NIST and agreement with NIST has been found to be good. The refractive index at a nominal temperature of 32°C for four fluorinated fluids in the range of n=1.308 to 1.325 at 157 nm are also reported. It was found to be extremely important to correct for temperature differences among different instruments using the thermo-optic coefficient of each liquid. The 157 nm results on fluorinated fluids are compared with measurements at NIST using a VUV Hilger-Chance Refractometer, which measured both the refractive index and the thermo-optic coefficient. In all cases results agree well.
Contamination monitoring and control on ASML MS-VII 157-nm exposure tool
Uzodinma Okoroanyanwu, Roel Gronheid, Jan Coenen, et al.
This paper presents results of monitoring and control of contaminants in an ASML MS-VII 157nm full-field exposure tool at IMEC, as verified lithographically in terms of field uniformity, lens transmission, CD uniformity, and scattered light. The daily contamination monitoring system utilizes in-line photo-ionization detector, oxygen and moisture analyzers, as well as chemiluminescent detector, and gas chromatograph that is coupled to a mass spectrometer. On a monthly basis, contamination monitoring was performed with thermal desorption-gas chromatographi/mass spectrometric techniques. The following four locations within the optical path of the MS-VII are monitored: source optic assembly, condenser lens optic, 1X relay station, and projection optics box. Contamination control is realized in the system with an on-board purge control unit, which is equipped with gas purifiers that remove contaminants such as H2O, O2, CO, CO2, hydrocarbons, H2, and sulfur compounds. All the observed contaminants have been trending within expected values and no contamination-related tool performance degradation has been observed. The excursions observed in the contaminant concentrations are coincident with tool downtime/maintenance events. Siloxane levels appear to be consistently below 50 ppt in all the monitored locations within the optical path of the tool, except on one occasion when it reached 90 ppt in the projection optics. Volatile organic compounds (VOCs) concentration within the MS-VII enclosure show a stable background level of around 10-25 ppb during weekends and levels of 45-60 ppb (during working days). VOCs concentration variations inside the MS-VII enclosure during the working days correlate well with activities inside the clean room. Air recirculation and low intake of fresh air inside the MS-VII tend to slow down the speed with which the VOCs levels decreases to stable background level, whenever there was a major upward excursion in their concentration. Average light intensity through the projection optics correlates well with oxygen concentration. The average light intensity transmission through the PO lens has shown a steady increase over time due to in-situ laser cleaning with oxygen.
Exposure Tools, Subsystems, and Materials
icon_mobile_dropdown
Excimer-laser-induced defect generation in Lithosil
Ute Natura, Oliver Sohr, Martin Letz, et al.
Fused silica is used as lens material in DUV microlithography systems. The exposure of fused silica to high-energy excimer laser pulses over long periods of time modifies the material. Marathon experiments were conducted at different energy densities with the KrF- and ArF excimer laser to describe the material parameters under long time irradiation. A model was developed to describe the radiation induced absorption and the change of the index of refraction. The defect generation is associated with the consumption of hydrogen. The dependence of hydrogen consumption on the wavelength of irradiation, the energy density and the initial hydrogen content was investigated in detail. The saturation of H2 consumption in Lithosil was proved by different experiments. The results are in very good agreement with the model calculations.
New method for high-accuracy measurements of the internal transmittance in the deep-ultraviolet spectral region using prism-shaped samples
A method capable of measuring the internal transmittance Ti of fused silica @193 nm with a precision better than 0.01 %/cm (3σ) is presented. The basic idea is to vary the optical pathlength during the measurement within one and the same prism-shaped sample by moving the latter through the optical test beam. In comparison to the standard multiple-sample experiment this greatly relaxes the requirements for the repeatability of surface preparation. Lack of any standards makes it currently impossible to determine the absolute accuracy experimentally. However, calculations indicate that it is very likely within 0.02 %/cm (3σ). The application to materials and wavelengths other than what were chosen here for demonstration is straightforward.
Advances in the use of birefringence to measure laser-induced density changes in fused silica
Birefringence mapping of fused silica samples is used to measure density change in the material after exposure to excimer laser radiation. The proper techniques and methods that should be used to perform the exposure of the samples and the analysis of the birefringence results will be discussed. The quantitative analysis of birefringence measurements includes the correct subtraction of the initial birefringence of the sample and the comparison with a theoretical birefringence map calculated for a 1 ppm unconstrained density change under consideration of material and exposure parameters. Proper experimental conditions include the use of samples with low initial birefringence and a round circularly polarized laser beam with top-hat intensity profile.
Highly durable 4-kHz ArF excimer laser G42A for sub-90-nm lithography
Takashi Saito, Hirotoshi Inoue, Hitoshi Nagano, et al.
Mass production in 193 nm lithography is now starting and its target node is moving from 90 nm to 65 nm. The main performance requirement of ArF excimer laser in this situation is high power with ultra narrow spectrum for higher throughput. The other hand, higher reliability and lower cost of ownership (CoO) are strongly hoped in mass production because CoO of ArF excimer laser is still higher than that of KrF excimer laser. We have already reported the 4 kHz ArF excimer laser for mass production, model G42A, which has an 20 W of average power, spectral bandwidth less than 0.3 pm (FWHM) and a spectral purity less than 0.75 pm (E95). We applied some technologies to G42A for achieving the high reliability and long lifetime. As a result, G42A showed the stable performance during the lifetime of over 10 billion pulses. In this paper, we report the long-term stability of G42A. And also, we introduce the reliability data of G40A series, which is the previous 4 kHz ArF excimer laser model.
Improvements in CaF2 material properties for next-generation microlithography applications
Jeffrey T. Kohli, Qiao Li, William R. Rosch
The key requirements of CaF2 lens blanks are transmission, birefringence and optical homogeneity. While it is obvious that high transmission and resistance to fluence- and time-dependent darkening is critical, the subtleties of single crystals, compared to isotropic glasses, play an important role in the implementation of CaF2 in microlithography steppers. The existence of intrinsic birefringence has caused stepper manufacturers to employ various crystallographic orientations in their lens designs. This fact means that crystal growth and annealing processes must be optimized accordingly. Small-scale defects, known as dislocations and sub-grain mis-orientation, manifest themselves as larger-scale defects known as slip and mosaic. These imperfections may impact birefringence and a critical homogeneity parameter known as residual rms. Residual rms is directly related to the concentration of asymmetric defects in CaF2 crystals, such as those aforementioned. In the present paper, the author will report on progress made at Corning to meet the stringent requirements of both 193nm and 157nm systems.
Extending the Newtonian design form for ultra-high numerical aperture and immersion lithography
Lithographers have used the Newtonian design form in small field micro-steppers for resist development for nearly a decade, spanning two wavelength generations and several increases in numerical aperture. New and useful design solutions continue to evolve from this design form for increasing the numerical aperture beyond 0.85 (dry). Introducing immersion fluids to increase the numerical aperture further has altered the aberration contributions, allowing for numerical apertures to increase beyond 1.2 (wet) for the same reduction ratio and field sizes without increasing element blank sizes. Practical solutions will be discussed that will allow continued research as resolution limits are further extended. Several dry and wet design solutions at both 193 and 157 nm wavelengths will be reviewed and their performance compared.
RET for optical maskless lithography
Due to the ever-increasing mask cost, Optical Maskless Lithography provides an attractive alternative to mask-based lithography, especially for low-volume runs. In order to offer a seamless mix-and-match solution with mask-based scanners, or a complete transfer from mask-based to maskless lithography, the imaging performance of a maskless tool must at least match the performance of a regular scanner. This paper reports results from simulations showing very good agreement with a mask-based scanner at the 65 nm design node, including semi-isolated lines of 50 nm (AttPSM), 45 nm (CPL), and 35 nm (phase edge). Due to a new enhanced rasterization, the results show minor or no influence at all from the pixel grid. The results also indicate that a maskless tool can use the same OPC model as a mask-based scanner, including phase-shifting.
Fluence-dependent transmission in CaF2 including correlation with thermally stimulated current
Glenn E. Kohnke, Chong An, Charlene M. Smith, et al.
Calcium fluoride exhibits a fluence dependent transmission behavior at the 193 nm excimer laser wavelength of interest for photolithography applications. The transmission as a function of fluence is determined using a 193 nm excimer laser based transmission measurement system. Different transmission decay rate and saturation level is observed and correlated with structural defects and impurities. Thermally stimulated current measurements are applied to identify defects and correlate the relative concentration of mobile anion vacancies with fluence dependent transmission.
Beam quality of a new-type MOPO laser system for VUV laser lithography
ArF-dry microlithography is currently switching from pre-production to mass-production and the target node is shifted from 90 nm to 65 nm. ArF-wet or F2 laser lithography will then be an important player for the next generation node below 45 nm. Therefore, high throughput and high-resolution exposure tools for VUV lithography require VUV light sources (ArF and F2 lasers) with high power and narrow bandwidth. In this paper, we describe the beam quality of the new- type injection lock (MOPO, master oscillator power oscillator) ArF laser system we developed and compare it with the beam quality of a master oscillator power amplifier (MOPA) ArF laser system. A high power and narrow bandwidth ArF laser can be achieved with twin laser chambers in a MOPA or an injection lock laser configuration. Compared to the MOPA system, the injection lock laser system has an excellent performance (e.g. high efficiency, long pulse duration and narrow spectrum). On the other hand, the injection lock system has some disadvantages in beam quality showing high spatial coherence, broadband emission and having a beam profile with a hole. These technical issues have been solved, however, with the following two new breakthrough-technologies: (1) a new-type injection lock system having low spatial coherence and a beam profile with no hole and (2) the optimization of the injection seed energy and discharge timing between the twin chambers for low broadband emission. The spatial coherence, the broadband spectrum and the beam profile of the new-type injection lock system were measured with a Young’s interferometer, a wide range spectrometer with etalons and a 2-dimensional beam profiler, respectively. The new-type injection lock ArF laser system had a lower spatial coherence than a conventional injection lock system, a very low broadband emission level thus preventing deterioration of exposure tools resolution, and a beam profile with no hole. Moreover, we reconfirmed that the new-type injection lock system has the same excellent performance as the conventional injection lock system.
Strong improvement of critical parameters of CaF2 lens blanks for 193-nm and 157-nm lithography
Guenter Grabosch, Lutz Parthier, Peter Kruell, et al.
Homogeneity residuals of the refractive index have a strong influence on the performance of lithography tools for both 193 and 157 nm application wavelengths. By systematic investigations of various defects in the real structure of CaF2 crystals, the origin of homogeneity residuals can be shown. Based on a quantitative analysis we define limiting values for the individual defects which can be either tolerated or controlled by optimized process steps, e.g. annealing. These correlations were carried out for all three relevant main crystal lattice orientations of CaF2 blanks. In conclusion we achieved a strong improvement of the critical parameters of both refractive index homogeneity and striae for large size lens blanks up to 270mm diameter.
Sub-0.25-pm 50-W amplified excimer laser system for 193-nm lithography
We report performance parameters of a robust, 50 W, high repetition rate amplified ArF excimer laser system with FWHM bandwidth of less than 0.25 pm, 95% energy content bandwidth of less than 0.55 pm, and ultra-low ASE level. Proprietary design solutions enable stable operation with a substantial reliability margin at this high power level. We report on characterization of all the key parameters of importance for the next generation microlithography tools, such as spectrum and dose control stability, in various operating modes.
CaF2 for DUV lens fabrication: basic material properties and dynamic light-matter interaction
Martin Letz, Axel Engel, Wolfgang Mannstadt, et al.
Lens fabrication for the short wavelengths of the DUV spectral range requires the replacement of glasses, by the crystalline material CaF2. We review mechanism for the interaction of CaF2 with electromagnetic radiation, especially at wavelengths of 193 nm and 157 nm. In the ideal material an absorption process can occur only via a two photon process where charges are separated and an electron--hole pair is created in the material. These excited charges can localize as charge centers or as as localized excitonic state, a bound F--H+-pair. At room temperature all charge centers should recombine within a few pico seconds and no long time change of the optical material properties should be observable. In the real material not only charge center formation but also the stabilization of these charge centers at room temperature due to impurities is identified as a key for the understanding of a radiation induced change of optical material properties.
Development of high-power ArF/F2 laser platform for VUV microlithography
Kouji Kakizaki, Junichi Fujimoto, Taku Yamazaki, et al.
New light source technology for ArF lithography under 65nm node is introduced. That is “GigaTwin” platform based on “Injection Lock” technology. The new product named GT40A is 60W (4000Hz, 15mJ), 0.18pm high power ultra narrowed ArF laser. The “Injection Lock” technology provides higher performance and lower CoO. GT40A has enabled the target of more than 60ns pulse duration by natural long pulse and optical pulse stretcher. Combination of “Injection Lock” technology and Gigaphoton’s key technologies; “Higher resolution” technology, “Magnetic bearing” technology and “G-electrode” technology promise durable and reliable performance of GT40A. These technologies enable the target of chamber maintenance interval more than 12 billion pulses. The GT40A will be release into market by 4Q 2004. We introduce latest development data of GT40A, which is developed new high power “Injection Lock” laser platform for VUV/DUV lithography system.
Verification of compaction and rarefaction models for fused silica with 40 billion pulses of 193-nm excimer laser exposure and their effects on projection lens imaging performance
J. Martin Algots, Richard Sandstrom, William Partlo, et al.
Extensive testing of fused silica has been completed over the past few years by several researchers. A generalized model has been proposed that describes both the compaction that occurs at high peak intensities and the rarefaction that occurs at lower peak intensities. An exposure setup has been constructed to help verify these damage models and to determine the fitting parameters for various types and processing of fused silica. This setup simultaneously exposes samples to two sets of intensities, each set with a different integrated-square pulse width. To make these tests relevant to the microlithography community, the energy densities chosen for these tests span the range found in the projection optics of a 193nm excimer laser-based microlithography tool (50μj/cm2 - 400μj/cm2 ). The samples have been exposed for 40 billion pulses with wavefront measurements made periodically with both 633nm interferometry and 193mn interferometry. This large number of pulses represents the equivalent of several years worth of lens exposure. Data for several fused silica samples will be presented and comparisons will be made to the proposed damage model. According to the obtained parameters, optical performance will be estimated during long term laser exposure on the projection optics. We take into consideration actual light intensity on each lens element for several illumination conditions. We will discuss the difference of the impact on optical performance between different fused silica materials, illumination conditions, reticle transmission, pulse durations, number of pulses, and so on.
Image Quality Assessment
icon_mobile_dropdown
Understanding focus in projection lithography systems
Pary Baluswamy, Hiroyuki Yamamoto, Zornitza Krasteva, et al.
Characterizing best focus for lithographic patterns is a very common task. It has been observed that the estimated best focus changes considerably with substrate type and substrates change quite frequently in process development. Such effects are seen even when the resist thickness is not altered. In this paper we will present data to identify the cause of the change and throw some light on the interaction between substrate and scanner leveling system.
Exposure Tools, Subsystems, and Materials
icon_mobile_dropdown
TTR (through the reticle) alignment system with photoresist ablation technique
Ryo Tanaka, Mitsuru Kobayashi, Masahiko Yasuda, et al.
Various alignment methods for a semiconductor exposure tool have been proposed and developed. Especially, the TTR (through the reticle) alignment technique has been expected as the ideal system since the direct measure between a reticle and a wafer through the projection lens has no baseline error. However, it requires that an alignment illumination be a single wavelength of the exposure light because of the chromatic aberration of the projection lens. The strong absorption by the resist and the BARC (bottom anti reflective coating) weakens the alignment signal intensity, and the interference fringe in the resist by the single wavelength sacrifices the precise position detection. Such difficulty in signal detection has blocked the TTR system from becoming realized. We tried to address this problem by peeling the resist and BARC on alignment marks. To peel the resist and BARC, we performed elective ablation using a laser ablation method with the Q-switch Nd YAG laser. The laser-ablated alignment marks on some process wafers were measured by the TTR alignment system. The signal waves with enough contrast were measured over all wafers and the satisfied alignment accuracy was examined.
Synthesis of projection lithography for low k1 via interferometry
The aerial image attained from an optical projection photolithography system is ultimately limited by the frequency information present in the pupil plane of the objective lens. Careful examination of the frequency distribution will allow the operation of such a system to be synthesized experimentally through the use of interferometric lithography. Synthesis is accomplished through single beam attenuation in a two-beam interference system, which is equivalent to adjusting the relative intensities of the primary diffraction orders in a projection system. Typical lithography conditions, such as defocus and partial coherence, can be synthesized efficiently using this technique. The metric of contrast has been utilized to assess the level of correlation between defocus in a projection system and interferometric synthesis. Simulations have shown that interferometric lithography can approximate the performance of a variety of projection system configurations with a significantly high degree of accuracy.
Airborne molecular contamination control in the micromirror SLM-based deep ultraviolet DUV SIGMA7300 laser pattern generator
Airborne molecular contamination (AMC) in the form of bases, acids and condensable organic and inorganic substances threaten both costly and sensitive optics and mask pattern formation in the chemically amplified resists (CAR) used for both E-beam and laser lithography. This is particularly so for mask pattern generators due to the relatively long writing times. In the development work of the SLM-based DUV-laser mask pattern generator Sigma7300, AMC aspects have been taken into consideration from an early stage. That includes e.g. analysis and selection of construction materials and development of handling methods as well as application of chemical filtering systems. Tool manufacturer and filter supplier have together specified and designed efficient hybrid filtration systems for use in Sigma7300. This paper describes AMC aspects specific for mask pattern generators, the successful design actions of the Sigma7300 and verifying analyses of the processes.
Long-term reliable operation of a MOPA-based ArF light source for microlithography
Toshihiko Ishihara, Herve Besaucele, Cynthia A. Maley, et al.
Since the introduction of the XLA-100 in January 2003, we have built, tested, and shipped a large number of XLA-100 MOPA lasers to microlithography scanner manufacturers. Some systems have already been installed at chip fabrication lines. To ensure product design robustness, we have been performing a long-term system performance test of an XLA-100 laser at Cymer. In this paper, we will report optical performance of the XLA-100 we see during manufacturing final tests, and a summary of the long term testing.
DOE manufacture with the DUV SLM-based Sigma7300 laser pattern generator
This paper treats a for the semiconductor industry somewhat different application: The first-ever manufacture of Diffractive Optical Elements (DOE’s) as directly written multilevel diffractive micro-reliefs using the DUV SLM-based Sigma7300 Mask. The reliefs were manufactured in the DUV Chemically Amplified Resist (CAR) FEP-171. This particular application is of direct interest since DOE’s are already incorporated in the Sigma7300 system. The design and manufacture are demonstrated with (1.) A Fan-out element and (2.) A logotype generator. The first attempts, reported here, resulted in a Fan-out element with diffraction efficiency of 64% compared to the theoretical design of 88%.
Chromeless Phase Lithography
icon_mobile_dropdown
Degradation mechanism and materials for 157-nm pellicles
Cesar M Garza, Tom Bierschenk
A great deal of resources has been invested by the semiconductor industry as a whole to make ready 157 nm as the next lithography technology node. Despite of all this effort serious infrastructure issues remain to be solved. Perhaps the first one is the availability of CaF2, but a close second is a suitable soft-pellicle material. It has been previously reported that standard 193nm materials, like Teflon AF and Cytop, fail catastrophically upon exposure at 157nm radiation; and that their transmission is very poor to non-existent. In this paper we report data showing that these materials have higher transmission and lifetimes than previously thought. The physical lifetime of Cytop of nearly 500 J/cm2 is remarkably high, although the transmission varies as a function dose suggesting physical and chemical changes from the onset. For Teflon AF the transmission is a more complex function of exposure dose, suggesting competing mechanisms. From experiments run with PVDF (polyvinyl difluoride), we conclude that the widely reported photodarkening effect is due to the presence of hydrogen in close proximity to a fluorine atom. From IR spectra we conclude that the dioxole moeity in Teflon AF undergoes a series of photochemical reactions that lead to the physical destruction of the polymer.
Exposure Tools, Subsystems, and Materials
icon_mobile_dropdown
200-mW continuous-wave laser source at 198.5 nm for lithographic applications
Andrea Caprara, Stuart Butterworth, Yefim Kil, et al.
We report on the development and testing of a laser system that delivers up to 200 mW of continuous-wave radiation at 198.54 nm in a near diffraction-limited beam, to be used as a source for photolithography mask writing and mask inspection. The source has been developed with the support of International SEMATECH. The laser output is obtained by intra-cavity sum frequency generation in a CLBO (Cesium Lithium Borate) non-linear crystal
Image Quality Assessment
icon_mobile_dropdown
Random aberration and local flare
Masato Shibuya, Hiromi Ezaki, Toshihumi Fukui, et al.
Recently, in optical lithography, extremely small wavefront aberration has been required and the fine undulation of wave aberration has been aggressively discussed. Since CD(pattern width) variation of image depends on the local Cr density of mask, it has been regarded that the fine undulation of wavefront aberration scatters or diffracts light and causes local flare. However we think that the physical origin of local flare and the definition of fine undulation are not so clear. In this paper, we categorize wavefront aberration into figure aberration and random aberration. Therefore the concept of random aberration is useful to not only understand the local flare but also evaluate the fine undulation of wavefront aberration.
Aberration measurement and matching: a correlation of measurement technique and dedication scheme implications
The need to improve the Overlay and CD Budget requirements of current device technologies has driven the introduction of tool dedication schemes in semiconductor manufacturing. Dedication schemes have provided an opportunity to minimize systematic field distortion differences from layer to layer. The cost and manufacturing complexity of dedication schemes can however be a burden on the process and tools required. We will present experimental results of an aberration measurement method used on a Front End of Line tool-set to empirically describe the matching of a series of tools used in a dedicated processing scheme. We will also show simulation results of Pattern Placement Error and CD uniformity effects for the highlighted aberrations. We will use these findings to support product results generated while exercising dedication break analyses experiments on this tool set.
Device manufacturing critical evaluation of focus analysis methods
William R. Roberts, Matt Mcuillan, Macro Nicholas Louka, et al.
Device Design criteria and product complexity have reduced the Focus Budget on today's technologies to near zero. Recent years have seen the introduction of a number of focus monitor methods involving new designs and processes that attempt more accurately or more easily to define the focus performance of our imaging systems. We have evaluated several focus monitoring techniques and compared their relative strengths and speed. The objective of this study is to demonstrate each technology's ability to evaluate exposure tool lens performance and quantify those factors that directly degrade depth-of-focus in the process. Baseline focus for process exposure and lens aerial image aberration analysis is evaluated using focus matrices. The remaining contributors to depth-of-focus (DOF) degradation are derived from the opto-mechanical interactions of the tool during full-wafer exposures. Full-wafer exposures, biased to -100 nm focus, were used in the determination of these error sources. Exposing all test sequences on the same 193 nm scanner provided consistency of the comparison. A valid analytical comparison of the technologies was further guaranteed by using a single software tool, Weir PSFM software from Benchmark Technologies, to calibrate, analyze and model all metrology. Two of the four techniques we evaluated were found to require focus matrices for analysis. This prohibited them from being able to analyze the fixed-focus exposure detractors to the DOF. One technique was found to be ineffective at the 193 nm because of the high-contrast response of the photoresists used. An analysis of the aerial image was validated by comparison of each technique to the Z5 Zernike as measured by ASML's ARTEMIS analysis. The ASML FOCAL and Benchmark PGM targets, both replicating dense- packed feature response, best tracked ARTEMIS signature. A whole-wafer, fixed exposure tool focus analysis is used to evaluate wafer, photoresist and dynamic scan contributions to the focus budget. Of the four techniques considered only the PSFM and PGM patterns could be used for this evaluation. Performance response is reported for detractors involving the wafer as well as the mechanical scan direction of the reticle stage.
Optimization and characterization of the blazed phase grating focus monitoring technique
The concept of developing a Two Beam interferometer through the use of a Blazed Phase Grating reticle design was introduced several years ago. Although this technique showed great promise and capability in the lab environment, introduction of this product into the manufacturing processes has been slow. We will introduce some of the newest findings and techniques that have allowed us to bring this technique into use in the day-to-day manufacturing environment. We will discuss advancements made in the design of the hardware elements and inspection routines, new detections analysis routines and integration techniques that have allowed us to introduce the Blazed Phase Grating into our R2R focus control. The results of this technique will be presented and we will show the correlation to our existing focus monitoring techniques as well as the sensitivities to processing effects on the determined best Focus Values. We will attempt to show some of the imaging hardware effects on printed best focus, including the effects of scan direction as well as the introducing a monitoring technique used for determining wafer and e-chuck flatness.
Flare, Scatter, and Stray Light
icon_mobile_dropdown
Flare and its effects on imaging
Flare continues to be a concern in the imaging performance of KrF and ArF scanners, both in new tools and in previous-generation tools used for non-critical layers. We have performed a systematic study of the effects of flare, parametrizing it in terms of its amplitude and range, and looking at modeled effects on different features. We have also collected flare data on newer and older scanners, and the modeling allows us to place those data in context and assess their impact on scanner performance. Results show that flare is not a big driver in scanner imaging.
Image Quality Assessment
icon_mobile_dropdown
Method of robust pattern design for lens aberration
Nobuhiro Komine, Kenji Konomi, Keita Asanuma, et al.
Recently, the critical dimension (CD) abnormality due to lens aberrations of exposure tool has become one of the critical issues in production of semiconductor devices. The most remarkable feature of CD abnormality due to lens aberration is asymmetry of symmetric twin pattern. And the asymmetry is only caused by a particular aberration because the influence on CD abnormality of lens aberration depends on the device pattern shape. Therefore, it is important to know the interaction of the device pattern shape with lens aberrations, and to ensure that consideration of the interaction is reflected in the design of device. This paper introduces a pattern design methods robust to lens aberration is based on Zernike Sensitivity (ZS) method. We conclude that our method modifies a pattern sensitive to lens aberration so that it becomes a pattern robust to lens aberration without reduction of the depth of focus (DOF).
Full optical column characterization of DUV lithographic projection tools
Mark A. van de Kerkhof, Wim de Boeij, Haico Kok, et al.
Advanced optical systems for low k1 lithography require accurate characterisation of various imaging parameters to insure that OPC strategies can be maintained. Among these parameters lens aberrations and illumination profiles are the most important optical column charcteristics. The phase measurement interferometer hardware (ILIAS: Integrated Lens Interferometer At Scanner) integrated into high-NA ArF lithographic projection tools opens novel pathways to measure and control tool critical performance parameters. In this presentation we address new extensions of this in-line tool that will allow the measurement of optical parameters of the full optical column. The primary functionality of the ILIAS system is to measure and analyse wavefront aberrations across the full image field with high accuracy and speed. In this paper performance data of the in-line wavefront sensor over multiple high-NA ArF lithographic systems is presented. In addition to the acquisition of wavefront aberrations in terms of Zernike polynomials, detailed measurements of high resolution wavefronts are now possible. Examples of such wavefronts and PSD analysis thereof are presented. Besides the projection lens properties, the detailed shape of the pupil distribution and transmission (apodisation) becomes critical for system optimization. The integrated ILIAS hardware can also be used to measure these parameters.
Interferometric-probe aberration monitor performance in the production environment
The performance of pattern and probe-based aberration monitors in the production environment, designed to measure individual Zernike aberration terms in 248nm wavelength high-NA (0.80) exposure tools is investigated via printed resist images. The results demonstrate the measurement operation of these monitors compared to their performance as designed through simulation, tightening the measurement accuracy of the focus monitor to 17nm or better than 1/10 of the Rayleigh depth of focus. The data shows a characteristic 50nm variation in focus across the field of the exposure tool. A comprehensive electric-field vector addition model of target operation is presented and shows how the center of the defocus target suffers from a lack of orthogonality to the normal proximity effect spillover. The target designed to detect coma aberration was investigated in-depth, but it continues to print in an unexpected manner, likely due to the electromagnetic performance of the mask and high-NA vector imaging effects. Finally, the target designed to measure spherical aberration was examined, but no noticeable spherical aberration signature/response was detected.
Study of line edge roughness using continuous wavelet transform for 65-nm node
This paper introduces the continuous wavelet transform (CWT) techniques to characterize spatial frequencies of LER. A 890 nm length of line pattern was dissected with 448 measured-points along line-edge from the image of scanning electron microscope (SEM), and the dissection of measurement points is around 2 nm. The measured data of line-edge roughness (LER) were transformed to spatial power spectrum with commercial software packages of wavelet transform, and the characterization of spatial frequency correlated to lithographic process parameters, such as the soft-bake (SB) temperature, the numerical aperture (NA), the temperature of post-exposure baking (PEB), and the molecular weight of resist (MW) were investigated. The higher NA and lower SB give a significant improvement from low spatial frequency (long range LER) to higher one (short range LER). However, both the higher temperature of PEB and lower MW improve edge roughness only on long range order roughness (lower spatial frequency).
Further progress in the application of in-situ aberration measurements to pattern-specific imaging optimization
As introduced previously, all components now exist within the field of Microlithography to accurately measure the aberration content of a projection lens and to apply that information in a lens adjustment optimization procedure. The procedure previously detailed highlighted the use of available in-situ aberration measurement, and utilized the known aberration change response of a given projection lens as a function of lens element movement/adjustment. Various metrics were presented as candidates for inclusion in the optimization function. In any optimization routine, it is this definition of the specific optimization goals that drives the direction of the calculated optimum lens adjustment prescription. This concept has now been applied to several case studies, and tools have been developed to help automate the calculation and subsequent analysis of the optimum solution to a given optimization problem. This paper will discuss examples executed of lens adjustment optimization procedures, including the application of various target optimization functions toward the minimization of various aberration components. Included in the discussion will be the application of Zernike Sensitivity responses of specific patterns and imaging configurations to the optimization sequence. The Zernike Sensitivity treatments serve to bridge the gap between a pure Zernike Coefficient description of a lens and the “imaging performance” that the given lens is capable of. Further, the Zernike Sensitivity calculations can provide a quick overview and comparison of the aberration sensitivity of various patterns, delivering a simultaneously qualitative (e.g. “which aberration impacts the imaging most?”) and quantitative (i.e. “how much change in best focus can I expect from 10 milli-wave of Z9?”) description of the specific case. A summary will be provided of general knowledge gained and lessons learned as they relate to lens adjustment optimization, with an eye towards further progress in this field.
Poster Session
icon_mobile_dropdown
Swing curve prediction from reflectance spectra: a new method to predict optimal resist thicknesses and compare processes
A new general method to predict resist maxima and minima of linewidth swing curves using UV reflectance spectra near the actinic wavelength is proposed. In this technique, the reflectance spectra in the vicinity of the exposure wavelength are used to determine the effective phase shift due to the underlying substrate. With this parameter, resist thicknesses yielding minima and maxima linewidths can be predicted. In principal, resist minima and maxima can be determined from the UV spectra of a single wafer. This technique was used to predict minima and maxima on both simple (bare silicon) and multifilm (polybuffer LOCOS and gate) stacks for 2 different resists, with and without topography. Three of the film stacks included a top antireflecting coating. Results were compared with swing curves determined from measured linewidths versus resist thicknesses. In general, predicted resist maxima and minima were within 50 Angstroms of the corresponding values measured from linewidths which was well within the experimental error. Additionally, the peak height of the reflectance spectra in the vicinity of the actinic wavelength was generally correlated to linewidth swing determined from multiple wafers. This implies that process stability with respect to cd swing can be compared directly from reflectance spectra. Theoretically, results determined from a nearly normal incident UV reflectometer require a correction to account for the finite NA of the lens. Simulation was used to determine this correction. However, two different simulation programs (Prolith 6.0 and Solid C 6.3) overcorrected the case of an i-line stepper with 0.63NA and 0.65 partial coherence. In this case, agreement with experiment was better with a "half" correction determined from simulation.
Pushing KrF photolithography limit for 3D integrated circuit
Yung-Tin Chen, Steve Radigan
In this paper, a study of shrinking a 3-D memory circuit beyond 0.26mm pitch by currently available KrF photolithography tool is described. Line/space patterns and post structures are included in this study due to the architecture of 3-D memory. Resolution capability of various OAI techniques such as annular, QUASAR, and dipole illumination are analyzed by simulation and wafer printing images. Both attenuated and alternating type phase shifting masks are used to test the resolution limit of various memory structures. A new method of making “alternating-type” phase shifting mask for post pattern is presented in this study. This new phase shifting mask provides a great improvement for resolving small post structures, which have limited process window due to 2-D optical interference effect. This study presents an application of KrF RET to 3-D memory circuit by smart circuit design.
Masks
icon_mobile_dropdown
Lithography-based automation in the design of program defect masks
George P. Vakanas, Saghir Munir, Edita Tejnil, et al.
In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM’s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF’s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM’s) in integrated lithographically-based layout methodologies and automation specific to PDM’s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.
Mask induced polarization
The objective of this paper is to study the polarization induced by mask structures. Rigorous coupled-wave analysis (RCWA) was used to study the interaction of electromagnetic waves with mask features. RCWA allows the dependence of polarization effects of various wavelengths of radiation on grating pitch, profile, material, and thickness to be studied. The results show that for the five different mask materials examined, the material properties, mask pitch, and illumination all have a large influence on how the photomask polarizes radiation.
Modeling, Simulation, and Analysis
icon_mobile_dropdown
Two-dimensional-image-based model calibration for OPC applications
The existing approaches to lithography model generation rely heavily on one-dimensional (1D) Scanning Electron Microscope (SEM) measurements to characterize a two-dimensional (2D) process. Traditional 1-D techniques require measuring an exhaustive test cell matrix containing hundreds of features representing different sizes, shapes, and pitches. Despite the large amount of data collected, there can still be a significant amount of model error present, particularly in 2D structures such as line ends and corners, which do not lend themselves to a well defined CD measurement. This is due to the inadequacy of using a 1D measurement for characterizing 2D features. A new approach to lithography simulation confirms the axiom "a SEM image is worth a thousand CD measurements". Using a set of six or fewer SEM images and fitting a contour-based 2-D simulation to the image during the model derivation, achieves a good 2D predictive capability without sacrificing through pitch predictability. This paper will show the results of using SEM images to tune lithography models on clear and dark field layers and illustrate the accuracy of the models using contour based simulations overlaid with SEM images. This approach to OPC modeling greatly reduces the number of CD measurements required to generate a model and lessens the susceptibility of the model to SEM CD metrology errors, while achieving a very well tuned model. This method works best when the 2-D simulation and calibration are coupled to the algorithms that perform the correction.
Fast evaluation of photomask near-fields in subwavelength 193-nm lithography
Jaione Tirapu-Azpiroz, Eli Yablonovitch
Sub-wavelength lithography places a serious limitation on the conventional "thin mask" approximation of the field immediately behind the patterned mask. This approximation fails to account for the increasingly important topographical effects of the mask or "thick mask" effects. This approximation of the photomask near-fields results from the direct application of Kirchhoff Boundary Conditions, which multiply the incident field by a binary transmission function of the patterned mask. Polarization dependent edge diffraction effects, as well as phase and amplitude transmission errors that arise from the vector nature of light, and the finite thickness of the substrate and chrome layers, produce significant errors in the scalar simulations of the lithographic image. Based on the comparison of aerial images at the wafer plane produced by both rigorous electromagnetic solutions of the field on the mask and their "thin mask" counterparts, a more accurate model is proposed that consists of a fixed-width, locally-determined boundary layer of imaginary transmission coefficient added to every edge of the initial "thin mask" approximation. The accuracy of the resultant Boundary Layer model has been exhaustively tested against rigorously simulated aerial images of isolated as well as periodic features of very different profiles and dimensions. The conclusion being that this simple approach is capable of modeling "thick mask" effects at both 248nm and 193nm wavelength and high NA lithography. This greatly improves the accuracy of aerial image computation in photolithography simulations at a reasonable computational cost.
Two threshold resist models for optical proximity correction
Wen-Chun Huang, Chia-Hui Lin, Chin-Chen Kuo, et al.
There have been several kinds of resist model proposed for optical proximity correction. The simplest one is the constant threshold resist model. By this method, only area with intensity above a certain threshold value would be developed. Unfortunately, the constant threshold resist model is too simplified to accurately describe the entire resist processes. To solve this problem, variable threshold resist models were proposed thereafter. The printed resist edge is characterized in terms of the aerial image properties, such as intensity, intensity slope and so forth. More parameters and freedoms are required to describe the complicated chemical reactions of the resist during exposure and development processes. However, the computation time for OPC would increase significantly due to the supplementary calculation of the extra aerial image properties. In this paper, the dual model of constant threshold was proposed to enhance the accuracy of constant threshold resist models. Two constant threshold resist models were determined by model fitting process based on different types of pattern structures. During the correction, one-dimensional and two-dimensional edges are identified first and different constant-threshold models were applied for simulation. Good corrections on both of the one-dimensional line/space widths and two-dimensional line-ends could be achieved. The simulation results were also compared with experimental data.
Immersion Lithography
icon_mobile_dropdown
Liquid immersion lithography: microscopic polarizabilities and the role of orientation contributions to light scattering
When investigating fluids for liquid immersion lithography scattering of light is more crucial than absorption. The reason is that pure absorption can be compensated by an increase of exposure time or light intensity, while scattered light decreases the imaging contrast on the photoresist. We therefore carefully investigate the scattering of light in a molecular liquid. Light can be scattered due to a number of mechanism. These are inelastic mechanism like Raman scattering, elastic scattering on micro- and nano bubbles but also quasielastic scattering on density fluctuations. In addition to the quasielastic scattering on density fluctuations a molecular fluid shows scattering on orientation degrees of freedom. Based on the known anisotropy of the polarizability of the water molecule, we calculate the scattering components due to orientation fluctuations. Among these the polarization ratio under 90° scattering is calculated and the relation between orientation and center-off-mass contributions for different polarization directions is evaluated. While the amount of scattering due to molecular orientations seems to be still moderate for water it is expected to be larger for most fluids, like fluorinated polymers. For three different fluor--organic molecules, which are in discussion for immersion fluids, the molecular polarizability is calculated using an abinitio method. The resulting polarizabilities are used to estimate the scattering due to orientation motion of these molecules. As a result the scattering due to orientation motions has the potential to increase the scattering level remarkably.
Advanced Exposure Systems and Components
icon_mobile_dropdown
Overcoming limitations of etalon spectrometers used for spectral metrology of DUV excimer light sources
Etalon spectrometers often provide the practical means for providing pulse-resolved spectral metrology of line-narrowed excimer laser lithographic light sources because of their relative simplicity and physical robustness. A typical application uses the full-width at half-maximum intensity (FWHM) of an etalon fringe to infer the FWHM bandwidth of an unknown input spectrum. These devices are often used in a regime where the ratio of the width of the spectrometer impulse-response to the bandwidth of the source spectrum is close to (or greater than) unity. In this regime, the fringe width may have non-negligible sensitivity to details of the source spectral shape other than its FWHM, including asymmetry and spectral purity. This paper details this sensitivity and provides suggestions for techniques that can either suppress the effect or apply it to some advantage such as estimation of a spectral purity metric, e.g., the 95%-enclosed energy width (E95%) of the source spectrum.
Masks
icon_mobile_dropdown
MEV as a new constraint for lithographers in the sub-100-nm regime
Mask error factor (MEEF) is a commonly used metric in lithography. This parameter gives a good indication of the impact of intra-mask CD variation on the wafer. Unfortunately, MEEF is useless to anticipate the CD variation on the wafer induced by Mask Mean-To-Target variation (MMT). Currently, MMT error is compensated by adjusting the exposure dose. This paper presents the concept of MEV (MEEF Energy-latitude Variation) which is defined by the equation δCDwafer=MEV *δMMT after the dose compensation in a similar way to the MEEF concept. A simple expression for MEV will be presented which shows that the MEV factor is proportional to the variation of the product of EL*MEEF through the population. Using 65nm logic gate level, MEV experimentally shown to be non-zero, and roughly ½ times MEEF factor, which is of course non-negligible in sub 100nm regime. Based on aerial image simulation, pure optical effects are responsible for about 40% of the MEV, which gives a slight predominance of the resist part. Finally, the possibility of reducing the MEV factor by compensating for MTT variation not only by dose but also by illumination settings change is discussed. This will give the basis for an Advanced Process Control (APC) algorithm for the future generations.
Exposure Tools, Subsystems, and Materials
icon_mobile_dropdown
Properties of large CaF2 crystals grown by CZ method for lens materials
CaF2 (Calcium fluoride) lens materials are required for the composition of F2 laser lithography stepper. Recently, it is reported that both <111> crystal and <100> crystal are necessary for the lens blanks, which can resolve the intrinsic birefringence of CaF2. Although CaF2 single crystal has been produced by Bridgman method, some problems are pointed out on the optical properties and production yield especially on <100> crystal with large diameter. So it is worried that the amount of the supply of CaF2 will be short when F2 stepper will start on a large scale. To resolve the above mentioned problems, we tried to grow a large CaF2 single crystal by Czochralski (CZ) technique, because we expected that CZ technique could provide higher productivity and higher quality which mean lower residual stress than conventional method. CZ technique can also easily control the growing crystal axis by the selection of seed crystal. Consequently we succeeded in growth both <111> and <100> direction single crystal which has 210mm diameter and 150mm length. The obtained crystal is a single crystal over a whole boule and it shows high transparency in vacuum ultraviolet region. Moreover it shows very low birefringence value and good homogeneity after annealing process. We hope our challenge should prompt the development of F2 lithography.
Modeling, Simulation, and Analysis
icon_mobile_dropdown
Optimization and apodization of aerial images at high NA in imaging interferometic lithography
Imaging Interferometric Lithography (IIL) offers several optimization parameters such as pupil filtering, parsing of frequency coverage, polarization control, and multiple exposure dosage ratios. We discuss the optimal frequency coverages for IIL at NA = .9 and the effects of the dark field (quadratic image autocorrelation terms) on the aerial image under pupil filtering. Next, comparisons are made of exposure latitudes for various dosage ratios and exposures for several weighted errors under different conditions. Lastly, apodization of the pupil filters is considered and shown to alleviate artifacts associated with Gibbs phenomena at hard frequency stops and improve overall image fidelity.
Exposure Tools, Subsystems, and Materials
icon_mobile_dropdown
Performance and reliability of beam-delivery unit for advanced lithography
Jason Pan, John Viatella, Palash P. Das, et al.
With the advent of advanced 193 nm systems processing 300 mm wafers, the production lithography cell is about to undergo a technology shift. This is because processing 300 mm wafers requires introduction of several new technologies. These include technologies that enable increasing light source power at 193 nm - the NA of the projection lens and the speed of scanner stages. Coupled with the need to maintaining high wafer throughput, the scanners must also deliver very tight CD control to within few nm, (typically less than 3 nm). Cymer, Inc. believes that certain key technologies - traditionally ignored at 248 nm for 200 mm wafers - must be revisited. This paper pertains to one such technology: the mechanism to deliver stable light from the light source to the input of the scanner. We refer to this as the Beam Delivery Unit (BDU). To support these changes, Cymer has developed a BDU that will guarantee a stable beam at the scanner entrance, during exposure. There are three aspects to beam stability: 1. Optical transmission, 2. Beam positioning and, 3. Beam angle. Position stability impacts dose stability (energy per pulse integrated over several pulses) at the wafer and pointing instability adversely affects the illumination uniformity at the reticle. To the lithography process engineers, the effects of beam stability are not new; both result in loss of CD control. At 130 nm node, the loss of CD control due to beam instability was insignificant, therefore ignored. However, below that node, we will show that unless the beam exiting the BDU is stabilized in position and pointing, the loss in CD control is of the order or 1 nm, which is a significant portion of the total CD control budget. For example, for MPU gate node of 65 nm, the ITRS roadmap allocates CD control of 3.7 nm. Thus, the 1 nm loss of CD control due to aforementioned instability alone is considered to be very significant. To address this critical loss in CD control, Cymer has implemented a novel beam stabilization control system in the BDU. Such beam stabilization maintains beam position and pointing during exposure of a die of a wafer, virtually eliminating CD control errors. Cymer has also incorporated reliable BDU materials technology that maintains stable transmission over several years of operation. Cymer's beam stabilization control system is the subject of this paper.
High-NA and Polarization
icon_mobile_dropdown
Resolution enhancement technology: the past, the present, and extensions for the future
Definitions and criteria for “resolution” and “resolution enhancement” are discussed, and the primary resolution enhancement techniques (RETs) of OPC, PSM and OAI are categorized according to their control of the fundamental properties of a wave: amplitude, phase, and direction. The history of the invention and development of each of these techniques is then reviewed. Modern RETs are generally combinations of these primary RETs, leading to increased complexity in RET recipes. CAD tools have evolved to cope with this increased complexity. Although these existing RET solutions may allow optical lithography be extended as far as the 32nm IC node, even more capability may be developed if the fourth variable of an electromagnetic wave, polarization, can be exploited as an additional primary RET as well.