Share Email Print
cover

PROCEEDINGS VOLUME 5377

Optical Microlithography XVII
Editor(s): Bruce W. Smith

*This item is only available on the SPIE Digital Library.


Volume Details

Volume Number: 5377
Date Published: 28 May 2004

Table of Contents
show all abstracts | hide all abstracts
Optical lithography in the sub-50-nm regime
Author(s): Donis G. Flagello; Bill Arnold; Steve Hansen; Mircea Dusa; Robert John Socha; Jan Mulkens; Reiner Garreis
Show Abstract
Lithography of choice for the 45 nm node: new medium, new wavelength, or new beam
Author(s): Fumikatsu Uesawa; Mikio Katsumata; Kazuhisa Ogawa; Koichi Takeuchi; Shinji Omori; Masaki Yoshizawa; Hiroichi Kawahira
Show Abstract
Immersion lithography and its impact on semiconductor manufacturing
Author(s): Burn-Jeng Lin
Show Abstract
Benefiting from polarization effects on high-NA imaging
Author(s): Bruce W. Smith; Lena V. Zavyalova; Andrew Estroff
Show Abstract
Improvement of deteriorated resolution caused by polarization phenomenon with TARC process
Author(s): Kouichirou Tsujita; Isao Mita
Show Abstract
Optical path and image performance monitoring of a full-field 157-nm scanner
Author(s): Greg Wells; Jan Hermans; Robert Watso; Young-Seog Kang; Robert Morton; Michael K. Kocsis; Uzodinma Okoroanyanwu; Peter De Bisschop; Nickolay Stepanenko; Kurt G. Ronse
Show Abstract
Assessing the impact of intrinsic birefringence on 157-nm lithography
Author(s): Nakgeuon Seong; Kafai Lai; Alan E. Rosenbluth; Gregg M. Gallatin
Show Abstract
157-nm lithography with extremely high numerical aperture lens for 45-nm technology node
Author(s): Toshifumi Suganaga; Jeung-Woo Lee; Eiji Kurose; Toshiyuki Ishimaru; Takamitsu Furukawa; Toshiro Itani; Kiyoshi Fujii; Julian S. Cashmore; Malcolm Gower
Show Abstract
Initial assessment of the lithographic impact of the use of hard pellicles: an overview
Author(s): Peter De Bisschop; Michael K. Kocsis; Richard Bruls; Andrew Grenville; Chris Van Peski
Show Abstract
Production of novel materials for 157-nm and 193-nm soft pellicles
Author(s): Paul A. Zimmerman; Chris van Peski; Daniel Miller; Ryan P. Callahan; Matthew Cashion
Show Abstract
Photo-induced changes in 157-nm optical coatings
Author(s): Vladimir Liberman; Theodore M. Bloomstein; Mordechai Rothschild; Stephen T. Palmacci; Jan H. C. Sedlacek; Andrew Grenville
Show Abstract
Impact of resist blur on MEF, OPC, and CD control
Author(s): Timothy A. Brunner; Carlos Fonseca; Nakgeuon Seong; Martin Burkhardt
Show Abstract
Determination of resist parameters using the extended Nijboer-Zernike theory
Author(s): Peter Dirksen; Joseph Braat; Augustus J. E. M. Janssen; Ad Leeuwestein; Hans Kwinten; David Van Steenwinckel
Show Abstract
New paradigm in lens metrology for lithographic scanner: evaluation and exploration
Author(s): Kafai Lai; Gregg M. Gallatin; Mark A. van de Kerkhof; Wim de Boeij; Haico Kok; Martin Schriever; Jaime D. Morillo; Robert H. Fair; Stephanie Bennett; Daniel A. Corliss
Show Abstract
Correction of 157-nm lens based on phase ring aberration extraction method
Author(s): Jeff Meute; Georgia K. Rich; Will Conley; Bruce W. Smith; Lena V. Zavyalova; Julian S. Cashmore; Dominic Ashworth; James E. Webb; Lisa Rich
Show Abstract
Initial experimental verification: characterizing tool illumination and PSM performance with phase shifting masks
Author(s): Gregory R. McIntyre; Andrew R. Neureuther
Show Abstract
In-situ aberration monitoring using phase wheel targets
Author(s): Lena V. Zavyalova; Bruce W. Smith; Toshifumi Suganaga; Seiji Matsuura; Toshiro Itani; Julian S. Cashmore
Show Abstract
Study of OPC for AAPSM reticles using various mask fabrication techniques
Author(s): Gregory P. Hughes; Denny Kamaruddin; Kent H. Nakagawa; Susan MacDonald; Bill Wilkinson; Craig West; Keuntaek Park
Show Abstract
Hard phase-shifting masks for the 65-nm node: a performance comparison
Author(s): Rainer Pforr; Mario Hennig; Roderick Koehle; Nicolo Morgana; Joerg Thiele; Jens Weckesser
Show Abstract
Contact hole reticle optimization by using interference mapping lithography (IML)
Author(s): Robert John Socha; Douglas J. Van Den Broeke; Stephen D. Hsu; J. Fung Chen; Thomas L. Laidig; Noel Corcoran; Uwe Hollerbach; Kurt E. Wampler; Xuelong Shi; Will Conley
Show Abstract
Method to improve the resolution of contact holes
Author(s): Gerhard Kunkel; Ralf Ziebold
Show Abstract
Contact hole formation by multiple exposure technique in ultralow k1 lithography
Author(s): Hiroko Nakamura; Yasunobu Onishi; Kazuya Sato; Satoshi Tanaka; Shoji Mimotogi; Koji Hashimoto; Soichi Inoue
Show Abstract
Feasibility of immersion lithography
Author(s): Soichi Owa; Hiroyuki Nagasaka; Yuuki Ishii; Osamu Hirakawa; Taro Yamamoto
Show Abstract
Approaching the numerical aperture of water immersion lithography at 193-nm
Author(s): Bruce W. Smith; Anatoly Bourov; Yongfa Fan; Lena V. Zavyalova; Neal Vincent Lafferty; Frank Charles Cropanese
Show Abstract
Extending optical lithography with immersion
Author(s): Bob Streefkerk; Jan Baselmans; Wendy Gehoel-van Ansem; Jan Mulkens; Chris Hoogendam; Martin Hoogendorp; Donis G. Flagello; Harry Sewell; Paul Graupner
Show Abstract
Deep-UV immersion interferometric lithography
Author(s): Alex K. Raub; Andrew Frauenglass; Steven R. J. Brueck; Will Conley; Ralph R. Dammel; Andy Romano; Mitsuru Sato; William Hinsberg
Show Abstract
ArF immersion lithography: critical optical issues
Author(s): Tokuyuki Honda; Yasuhiro Kishikawa; Toshinobu Tokita; Hiroshi Ohsawa; Miyoko Kawashima; Akinori Ohkubo; Minoru Yoshii; Koji Uda; Akiyoshi Suzuki
Show Abstract
Polarization effects in immersion lithography
Author(s): Konstantinos Adam; Wilhelm Maurer
Show Abstract
Predictive modeling of advanced illumination pupils used as imaging enhancement for low k1 applications
Author(s): Tilmann Heil; Paul Graupner; Reiner Garreis; Rafael Egger; Markus Brotsack; Jo Finders; Steve Hansen
Show Abstract
Optical extensions towards the 45-nm node
Author(s): Eric Hendrickx; Philippe Monnoyer; Lieve Van Look; Geert Vandenberghe
Show Abstract
Illumination source mapping and optimization with resist based process metrics for low k1 imaging
Author(s): Guohong Zhang; Steve Hansen
Show Abstract
Gray assist bar OPC
Author(s): Neal Vincent Lafferty; Geert Vandenberghe; Bruce W. Smith; Matthew Lassiter; Patrick M. Martin
Show Abstract
Image simulation in immersion lithography using Debye integral and scattering matrix method
Author(s): Seong-Sue Kim; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han
Show Abstract
Optical coupling of lens, liquid and resist in immersion lithography: rigorous model and assessment
Author(s): MoSong Cheng; Benjamin C. P. Ho; Richard Yamaguchi; Kazutoshi Yoshioka; Hidetami Yaegashi
Show Abstract
Simulation of the coupled thermal optical effects for liquid immersion micro-/nano-lithography
Author(s): So-Yeon Baek; Alexander C. Wei; Daniel C. Cole; Greg Nellis; Michael S. Yeung; Amr Y. Abdo; Roxann L. Engelstad
Show Abstract
Exploring the capabilities of immersion lithography through simulation
Author(s): Chris A. Mack; Jeffrey D. Byers
Show Abstract
Model-based OPC/DRC considering local flare effects
Author(s): Hiroki Futatsuya; Teruyoshi Yao; Morimi Osawa; Kozo Ogino; Hiromi Hoshino; Hiroshi Arimoto; Yasuhide Machida; Satoru Asai
Show Abstract
Investigation of stray light characteristic by multiple Gaussian modeling and its OPC application
Author(s): Ho-Chul Kim; Dong-Seok Nam; Gi-Sung Yeo; Suk-Joo Lee; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han
Show Abstract
Process effects in flare measurement
Author(s): Pary Baluswamy; Linda Somerville
Show Abstract
Scattering in liquid immersion lithography
Author(s): Michael Switkes; Theodore M. Bloomstein; Roderick R. Kunz; Mordechai Rothschild; J. W. Ruberti; T. A. Shedd; Michael S. Yeung
Show Abstract
Study of air-bubble-induced light scattering effect on image quality in 193-nm immersion lithography
Author(s): Yongfa Fan; Neal Vincent Lafferty; Anatoly Bourov; Lena V. Zavyalova; Bruce W. Smith
Show Abstract
The application of CPL reticle technology for the 0.045-mm node
Author(s): Will Conley; Douglas J. Van Den Broeke; Robert John Socha; Wei Wu; Lloyd C. Litt; Kevin D. Lucas; Bernard J. Roman; Richard D. Peters; Colita Parker; Fung Chen; Kurt E. Wampler; Thomas L. Laidig; Erika Schaefer; Jan-Pieter Kuijten; Arjan Verhappen; Stephan van de Goor; Martin Chaplin; Bryan S. Kasprowicz; Christopher J. Progler; Emilien Robert; Philippe Thony
Show Abstract
RET integration of CPL technology for random logic
Author(s): Stephen D. Hsu; Douglas J. Van Den Broeke; J. Fung Chen; Xuelong Shi; Michael Hsu; Thomas L. Laidig; Will Conley; Lloyd C. Litt; Wei Wu
Show Abstract
157-nm chromeless phase lithography with extremely high numerical aperture
Author(s): Kunio Watanabe; Takuya Hagiwara; Seiji Matsuura; Toshifumi Suganaga ; Toshiro Itani ; Kiyoshi Fujii
Show Abstract
New double exposure technique using alternating phase-shifting mask with reversed phase
Author(s): Shoji Hotta; Katsuya Hayano; Kazuyuki Kakuta; Norio Hasegawa
Show Abstract
Evaluation of the critical dimension control requirements in the ITRS using statistical simulation and error budgets
Author(s): Scott Daniel Hector; Sergei V. Postnikov; Jonathan Cobb
Show Abstract
Accurate gate CD control through the full-chip area using the dual model in the model-based OPC
Author(s): Ji-Suk Hong; Chul-Hong Park; Dong-Hyun Kim; Soo-Han Choi; Yong-Chan Ban; Yoo-Hyon Kim; Moon-Hyun Yoo; Jeong-Taek Kong
Show Abstract
Characterization of ACLV for advanced technology nodes using scatterometer-based lens fingerprinting technique
Author(s): ChangAn Wang; Guohong Zhang; Stephen DeMoor; Colin Tan; John Ilzhoefer; Chris Atkinson; Chad Wickman; Steve Hansen; Bernd Geh; Donis G. Flagello; Mark Boehm
Show Abstract
Monte-Carlo-based analysis of local CD variation and application to establish realistic process and tool error budgets
Author(s): James W. Blatchford; Cathy Fruga
Show Abstract
Fast calculation of images for high numerical aperture lithography
Author(s): Alan E. Rosenbluth; Gregg M. Gallatin; Ronald L. Gordon; William Hinsberg; John Hoffnagle; Frances Houle; Kafai Lai; Alexey Lvov; Martha Sanchez; Nakgeuon Seong
Show Abstract
Graphical methods to help understand partially coherent imaging
Author(s): Douglas S. Goodman
Show Abstract
Toward automatic mask and source optimization for optical lithography
Author(s): Andreas Erdmann; Tim Fuehner; Thomas Schnattinger; Bernd Tollkuehn
Show Abstract
A superfast 3D lithography simulator and its application for ULSI printability analysis
Author(s): Zhengrong Zhu; Andrzej J. Strojwas
Show Abstract
Neural-network-based approach to resist modeling and OPC
Author(s): Franz X Zach
Show Abstract
New concepts in OPC
Author(s): Nick Cobb; Yuri Granik
Show Abstract
Calibration of OPC models for multiple focus conditions
Author(s): Jochen Schacht; Klaus Herold; Rainer Zimmermann; J. Andres Torres; Wilhelm Maurer; Yuri Granik; Ching-Hsu Chang; G. Kuei-Chun Hung; Benjamin Szu-Min Lin
Show Abstract
Novel methodology for photo condition optimization through simulation
Author(s): Irene Yi-Ju Su; Rachel Huang; Ta-Hung H. Yang; Kevin Tu; Smith Peng; Chih-Yuan Lu
Show Abstract
Simulation-based critical-area extraction and litho-friendly layout design for low k1 lithography
Author(s): Soo-Han Choi; Yong-Chan Ban; Ki-Heung Lee; Dong-Hyun Kim; Ji-Suk Hong; Yoo-Hyon Kim; Moon-Hyun Yoo; Jeong-Taek Kong
Show Abstract
Advanced model formulations for optical and process proximity correction
Author(s): Daniel F. Beale; James P. Shiely; Lawrence L. Melvin III; Michael L. Rieger
Show Abstract
Nikon projection lens update
Author(s): Tomoyuki Matsuyama; Toshiro Ishiyama; Yasuhiro Omura
Show Abstract
The performance advantages of a dual-stage system
Author(s): Marc Boonman; Coen van de Vin; Sjef Tempelaars; Ronald van Doorn; John Zimmerman; Paul Teunissen; Arthur Minnaert
Show Abstract
0.85-NA ArF scanner: advancing features and performances
Author(s): Atsushi Namba; Shigeyuki Uzawa; Kenichi Kotoku
Show Abstract
Improving ArF lens performance and new generation high-NA KrF optical system
Author(s): Tomohiro Hoshino
Show Abstract
OML: optical maskless lithography for economic design prototyping and small-volume production
Author(s): Tor Sandstrom; Arno Bleeker; Jason Hintersteiner; Kars Troost; Jorge Freyer; Karel van der Mast
Show Abstract
Immersion lithography micro-objectives
Author(s): James E. Webb; Louis Denes
Show Abstract
Development of 157-nm full-field scanners
Author(s): Hideo Hata; Hideki Nogawa; Shigeyuki Suda
Show Abstract
Optical lithography with 157-nm technology
Author(s): Theo M. Modderman; Hans Jasper; Herman Boom; Tammo Uitterdijk; Stephane Dana; Harry Sewell; Timothy K. O'Neil; Jan Mulkens; Martin Brunotte; Birgit Mecking; Toralf Gruner
Show Abstract
Induced density changes in 193-nm excimer-laser-damaged silica glass: a kinetic model
Author(s): Douglas C. Allan; Roger J. Araujo; Charlene M. Smith; Nicholas F. Borrelli
Show Abstract
Optical metrology for 193-nm immersion objective characterization
Author(s): David Aronstein; Julie Bentley; Paul G Dewa; Michael Dunn; Horst Schreiber; Thanh Nguyen; James E. Webb
Show Abstract
Experimental investigation of fabrication process-, transportation-, storage, and handling-induced contamination of 157nm reticles and vacuum-UV cleaning
Author(s): Uzodinma Okoroanyanwu; Nickolay Stepanenko; Guy Vereecke; Astrid Eliat; Michael K. Kocsis; Young-Seog Kang; Rik M. Jonckheere; Thierry Conard; Kurt G. Ronse
Show Abstract
Evaluation of IDEALSmile for 90-nm FLASH memory contact holes imaging with ArF scanner
Author(s): Pietro Cantu; Gianfranco Capetti; Sara Loi; Marco Lupo; Annalisa Pepe; Kenji Saitoh; Kenji Yamazoe; Yasuo Hasegawa; Junji Iwasa; Olivier R. Toublan
Show Abstract
Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings
Author(s): Katrin Palitzsch; Michael Kubis; Uwe Paul Schroeder; Karl Schumacher; Andreas Frangen
Show Abstract
Customized illumination schemes for critical layers of 90-nm node dense memory devices in ArF lithography: comparison between simulation and experimental results
Author(s): Gianfranco Capetti; Maddalena Bollin; Annalisa Pepe; Gina Cotti; Sara Loi; Umberto Iessi
Show Abstract
Reduction of across-wafer CDU via constrained optimization of a multichannel PEB plate controller based on in-situ measurements of thermal time constants
Author(s): Jason E. Tiffany; Barney M. Cohen
Show Abstract
Specification of the phase angle of a 6% attenuated PSM mask used in ArF lithography
Author(s): Ching-Hsu Chang; Jochen Schacht; Benjamin Szu-Min Lin; Kuei-Chun Hung; I. Hsuing Huang
Show Abstract
Minimizing critical layer systematic alignment errors during non-dedicated processing
Author(s): Igor Jekauc; William R. Roberts
Show Abstract
Approach for reducing resist footing over nonplanar wafer
Author(s): Ayako Endo; Takashi Sato; Masafumi Asano; Shoji Mimotogi; Soichi Inoue
Show Abstract
The study of contact hole MEEF and defect printability
Author(s): Chang-Young Jeong; Yeon Hwa Lim; Hong Ik Kim; Jeong Lyeol Park; Jae Sung Choi; Jeong Gun Lee
Show Abstract
Double exposure to reduce overall line-width variation of 80-nm DRAM gate
Author(s): Won Kwang Ma; Chang-Moon Lim; Se Young Oh; Byung Ho Nam; Seung Chan Moon; Ki Soo Shin
Show Abstract
Layer-specific illumination for low k1 periodic and semiperiodic DRAM cell patterns: design procedure and application
Author(s): Chan Hwang; Dong-Seok Nam; Jin-Hong Park; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han
Show Abstract
Zero-space microlenses for CMOS image sensors: optical modeling and lithographic process development
Author(s): Douglas A. Baillie; Jonathan E. Gendler
Show Abstract
A new process for accurate alignment using laser ablation technology
Author(s): Hiroshi Ikegami; Kenji Kawano; Kazuhiko Ishigo; Tatsuhiko Higashiki; Nobuo Hayasaka; Naoto Yoshitaka; Hideaki Kashiwagi ; Masayoshi Kobayashi; Yoichi Ogawa; Shinichi Ito
Show Abstract
Developable bottom antireflective coatings for 248-nm and 193-nm lithography
Author(s): Tomohide Katayama; Hisashi Motobayashi; Wen-Bing Kang; Medhat A. Toukhy; Joseph E. Oberlander; Shuji S. Ding; Mark Neisser
Show Abstract
Characterization of next-generation bake plate requirements for 193-nm lithography tools
Author(s): Phong T. Do; Ann Kang; Joseph Pender; Thomas Lehmann; Leo McArdle; Farhat Quli; James Pascale
Show Abstract
BEOL lithography for early development at the 65-nm node
Author(s): Ronald DellaGuardia; Ranee W. Kwong; Wenjie Li; Peggy Lawson; Martin Burkhardt; Ioana C. Grauer; Qiang Wu; M. Angyal; H. Hichri; Ian Melville; K. Kumar; Y. Lin; Steven J. Holmes; R. Varanasi; T. Spooner; D. McHerron
Show Abstract
DUV laser lithography for photomask fabrication
Author(s): Curt A. Jackson; Peter Buck; Sarah Cohen; Vishal Garg; Charles Howard; Robert M. Kiefer; John Manfredo; James Tsou
Show Abstract
New advanced lithography tools with mix-and-match strategy
Author(s): Jun Ishikawa; Masahiro Nei; Masato Hamatani; Shinji Wakamoto; Toshikazu Umatate
Show Abstract
Conversion from 50 KeV to DUV mask writer for 90-nm technology critical layers
Author(s): Dongsung Hong; Prakash Krishnan; Dianna Coburn; Mary Zawadzki; Yonghong Yang; Kent Green; Peter Buck; Curt A. Jackson; Larry Martinez
Show Abstract
Through pitch intensity balancing and phase error analysis of 193-nm alternating phase shift masks
Author(s): Kent H. Nakagawa; Gregory P. Hughes; Keuntaek Park; Peter Buck
Show Abstract
Defect printability in CPL mask technology
Author(s): Jan-Pieter Kuijten; Arjan Verhappen; Wil Pijnenburg; Will Conley; Lloyd C. Litt; Wei Wu; Patrick Montgomery; Bernard J. Roman; Bryan S. Kasprowicz; Christopher J. Progler; Robert John Socha; Douglas J. Van Den Broeke; Erika Schaefer; Pat Cook
Show Abstract
Real-time microlithography employing a transparent liquid crystal display (LCD) panel as a configurable mask
Author(s): Sung Hoon Pieh; Byoung-Ho Park; Yu-Jin Jang; Kang-Hyun Kim; Gyu-Tae Kim
Show Abstract
Correlating reticle pinhole defects to wafer printability for the 90-nm node lithography using advanced RET
Author(s): W. B. Shieh; William Chou; Chuen-Huei Yang; J. K. Wu; Noah Chen; Shih Ming Yen; Tony Hsu; Steve Tuan; Doris Chang; Maciej W. Rudzinski; Lantian Wang; Kong Son
Show Abstract
Continuous tone gray-scale photomask for deep UV lithography applications
Author(s): Edgar A. Mendoza; Fernando Sigoli; Heidi Paulus; Luan Q. Giang; Mahmood Seifouri; E. Lam; Lothar Kempen
Show Abstract
Integrated OPC approach to line-end shortening effects on the photomask and silicon levels for ArF attenuated PSM lithography
Author(s): Shunichiro Sato; Ken Ozawa; Mikio Katsumata; Hidetoshi Ohnuma
Show Abstract
Model-based prediction of full-chip SRAF printability
Author(s): James C. Word; Suihua Zhu
Show Abstract
Suppression of sidelobe and overlap error in AttPSM metal layer lithography using rule-based OPC
Author(s): Hoong Joo Lee; Mi-Young Lee; Jun-Ha Lee
Show Abstract
Cost of ownership reduction for OPC development and production
Author(s): Chi-Min Yuan; Bob Jarvis; Kevin D. Lucas; Robert Boone; Ruiqi Tian; Alfred Reich
Show Abstract
Impact of measured pupil illumination fill distribution on lithography simulation and OPC models
Author(s): Christof T. Bodendorf; Ralph E. Schlief; Ralf Ziebold
Show Abstract
Full-chip OPC treatment using vector thin film models
Author(s): Ralph E. Schlief
Show Abstract
Fulfillment of model-based OPC for contact patterns in 60-nm level logic device
Author(s): Sang-Wook Kim; Sung-Woo Lee; Chang-Min Park; Soo-Han Choi; Young-Mi Lee; Yool Kang; Gi-Sung Yeo; Jung-Hyeon Lee; Han-Ku Cho; Woo-Sung Han
Show Abstract
Phenomena and OPC solution of ripple patterns for 65-nm node
Author(s): Chih-Ming Lai; Jeng-Shiun Ho; Chien-Wen Lai; Cheng-Kun Tsai; Cherng-Shyan Tsay; Jeng-Horng Chen; Ru-Gun Liu; Yao Ching Ku; Burn-Jeng Lin
Show Abstract
Detailed process analysis for sub-resolution assist features introduction
Author(s): Andreas Torsy; Olivier R. Toublan; Rainer Zimmermann; Harry Smyth; Jens Hassmann
Show Abstract
Critical failure ORC: application to the 90-nm and 65-nm nodes
Author(s): Jerome Belledent; Shumay Dou Shang; Yorick Trouiller; Corinne Miramond; Kyle Patterson; Olivier R. Toublan; Christophe Couderc; Frank Sundermann; Yves Fabien Rody
Show Abstract
Classical control theory applied to OPC correction segment convergence
Author(s): Benjamin Painter; Lawrence L. Melvin III; Michael L. Rieger
Show Abstract
REAPS technique for printing sub-100-nm trench using KrF lithography
Author(s): Wei-Hua Sheu; Elvis Tien Chu Yang; Ta-Hung H. Yang
Show Abstract
Extension of ArF lithography for poly gate patterning of 65nm generation and beyond
Author(s): Shu-Hao Hsu; Shu-Ping Fang; I. Hsuing Huang; Benjamin Szu-Min Lin; Kuei-Chun Hung
Show Abstract
Alternating phase-shifting mask design for low aberration sensitivity
Author(s): Giuseppe Y. Mak; Alfred K. Wong; Edmund Y. Lam
Show Abstract
Experimental verification of a model based decomposition method for double dipole lithography
Author(s): Mark Eurlings; Stephen D. Hsu; Eric Hendrickx; Willem op 't Root; Thomas L. Laidig; Tsann-Bim Chiou; Alek Chen; Fung Chen; Geert Vandenberghe; Jo Finders
Show Abstract
Advances in vortex via fabrication
Author(s): Marc David Levenson; Takeaki Joe Ebihara; Michael Reilly; George Barclay; Vaishali Vorha; Carolyne Stafford; Martin E. Mastovich; Yasutaka Morikawa; Naoya Hayashi
Show Abstract
Study of Cr patch validity for ArF CPL mask and its fabrication
Author(s): Chang-Hwan Kim; Sung-Hyuk Kim; Myoung-Soo Lee; Ji-Soong Park; In-Gyun Shin; Sung-Woon Choi; Hee-Sun Yoon; Woo-Sung Han
Show Abstract
The evaluation of assist feature defect printability for sub-0.13-μm technology
Author(s): Chang-Young Jeong; Young Keun Kim; Ki-Yeop Park; Jae Sung Choi; Jeong Gun Lee
Show Abstract
Rigorous diffraction analysis using geometrical theory of diffraction for future mask technology
Author(s): Gek Soon Chua; Cho Jui Tay; Chenggen Quan; Qunying Lin
Show Abstract
Analysis of off-axis illumination-based phase-edge/chromeless mask technologies
Author(s): Ebo H. Croffie; Kunal N. Taravade; Neal Callan; Keuntaek Park; Gregory P. Hughes
Show Abstract
Feasibility studies of ArF chromeless mask (CLM) for sub-80-nm era
Author(s): Tae-Seung Eom; Chang-Moon Lim; Min Gyu Sung; Seung Chan Moon; Ki Soo Shin
Show Abstract
Diffraction analysis of customized illumination technique
Author(s): Chang-Moon Lim; Seo-Min Kim; Tae-Seung Eom; Seung Chan Moon; Ki Soo Shin
Show Abstract
The impact of MEEF through pitch for 120-nm contact holes
Author(s): Lloyd C. Litt; Wei Wu; Will Conley; Kevin D. Lucas; Bernard J. Roman; Patrick Montgomery; Bryan S. Kasprowicz; Christopher J. Progler; Robert John Socha; Arjan Verhappen; Kurt E. Wampler; Erika Schaefer; Pat Cook; Jan-Pieter Kuijten; Wil Pijnenburg
Show Abstract
Extension of 193-nm immersion optical lithography to the 22-nm half-pitch node
Author(s): Steven R. J. Brueck; Abani M Biswas
Show Abstract
Implementation of pattern-specific illumination pupil optimization on Step & Scan systems
Author(s): Andre Engelen; Robert John Socha; Eric Hendrickx; Wieger Scheepers; Frank Nowak; Marco Van Dam; Armin Liebchen; Denis A.M. Faas
Show Abstract
Key challenges in across-pitch 0.33-k1 trench patterning using hybrid mask
Author(s): Navab Singh; Moitreyee Mukherjee-Roy; Sohan S. Mehta; Hideki Suda; Takao Kubota; Yasuki Kimura; Hiroshi Kinoshita
Show Abstract
A 90-nm design-rule patterning application using alt-PSM with KrF lithography for volume manufacturing at k1=0.27
Author(s): Stefan Majoni; Frank A.J.M. Driessen; Bryan S. Kasprowicz; Paul D. Harris
Show Abstract
Approximation of in-resist image by aerial image with 1/n-times shorter wavelength
Author(s): Shuji Nakao; Jun Abe; Takashi Okagawa; Akira Imai; Hidehiko Kozawa; Akira Tokui; Kouichirou Tsujita
Show Abstract
Comparison of various lithography strategies for the 65- and 45-nm half pitch using simulation
Author(s): David Fuard; Patrick Schiavone
Show Abstract
Study of the impact of illumination intensity distribution on resist parameter modification
Author(s): Daisuke Kawamura; Kazuya Sato; Shoji Mimotogi
Show Abstract
Propagation of EM waves in axial symmetric structures and its implication for 3D rigorous lithography process simulation
Author(s): Zhengrong Zhu; Andrzej J. Strojwas
Show Abstract
Fast algorithm for extraction of worst-case image degradation due to flare
Author(s): Scott J. Hafeman; Frank Gennari; Andrew R. Neureuther
Show Abstract
Development of an accurate empirical model for ArF lithography
Author(s): Shoji Mimotogi; Daisuke Kawamura; Takashi Sato; Soichi Inoue
Show Abstract
A simple and accurate resist parameter extraction method for sub-80-nm DRAM patterns
Author(s): Sook Lee; Chan Hwang; Dong-Woon Park; In-Sung Kim; Ho-Chul Kim; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon
Show Abstract
Modified procedure for evaluation of low-k1 process windows
Author(s): Dong-Woon Park; Sook Lee; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han
Show Abstract
Impact of illumination intensity profile on lithography simulation
Author(s): Chan Hwang; In-sung Kim; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han
Show Abstract
Modeling of reactive soluble ARCs and photoresist-ARC interaction
Author(s): John J. Biafore; Mark Neisser; Gary dela Pena; Jeffrey D. Byers; Medhat A. Toukhy; Joseph E. Oberlander
Show Abstract
Equivalent modeling technique for predicting the transient thermomechanical response of optical reticles during exposure
Author(s): Amr Y. Abdo; Roxann L. Engelstad; William A. Beckman; Edward G. Lovell; John W. Mitchell
Show Abstract
Lumped parameter model for chemically amplified resists
Author(s): Jeffrey D. Byers; Mark D. Smith; Chris A. Mack
Show Abstract
Methods for benchmarking photolithography simulators: part II
Author(s): Mark D. Smith; Jeffrey D. Byers; Chris A. Mack
Show Abstract
General scaling law of optical lithography: optical theory
Author(s): Chun-Kuang Chen; Tsai-Sheng Gau; Li-Jui Chen; Chi-Chuang Lee; Jaw-Jung Shin; Anthony Yen; Burn-Jeng Lin
Show Abstract
Effect of reduction ratio on polarization impact for imaging
Author(s): Ronald L. Gordon; Timothy A. Brunner; Nakgeuon Seong; Michael J. Lercel; Gregg M. Gallatin
Show Abstract
Effect of the effective resist diffusion length to the photolithography at 65- and 45-nm nodes: a study with simple and accurate analytical equations
Author(s): Qiang Wu; Scott D. Halle; Zengqin Zhao
Show Abstract
Process window simulation study with immersion lithography for 45-nm technology node
Author(s): Oseo Park; Alois Gutmann; Walter Neumueller; David Back
Show Abstract
Immersion microlithography at 193 nm with a Talbot prism interferometer
Author(s): Anatoly Bourov; Yongfa Fan; Frank Charles Cropanese; Neal Vincent Lafferty; Lena V. Zavyalova; Hoyoung Kang; Bruce W. Smith
Show Abstract
Simulation of the 45-nm half-pitch node with 193-nm immersion lithography
Author(s): Abani M Biswas; Andrew Frauenglass; Steven R. J. Brueck
Show Abstract
157-nm pellicles for photolithography: mechanistic investigation of the deep-UV photolysis of fluorocarbons
Author(s): Kwangjoo Lee; Steffen Jockusch; Nicholas J. Turro; Roger H. French; Robert C. Wheland; Michael F. Lemon; Andre M. Braun; Tatjana Widerschpan; Paul Zimmerman
Show Abstract
Evaluation systems of F2 laser lithography materials
Author(s): Yasuo Itakura; Youichi Kawasa; Keiji Egawa; Akira Sumitani; Hironao Sasaki; Iwao Higasikawa; Shigeo Irie; Kiyoshi Fujii; Toshiro Itani; Hitoshi Nakano; Hideo Hata
Show Abstract
157-nm chromeless phase lithography for 45-nm SRAM gate
Author(s): Toshifumi Suganaga; Kunio Watanabe; Seiji Matsuura; Takuya Hagiwara; Takamitsu Furukawa; Toshiro Itani; Kiyoshi Fujii
Show Abstract
Development of polymer membranes for 157-nm lithography
Author(s): Florence Eschbach; Alex Tregub; Kevin Orvek; Corey Foster; Fu-Chang Lo; Ikuo Matsukura; Nana Tsushima
Show Abstract
Simple 157-nm interference illumination system for pattern formation
Author(s): Seung-Wook Park; Jang-hwan Jeong; Jung-wook Choi; Hye-keun Oh; Jaesoon Kim; Inho Park
Show Abstract
First results from AIMS beta tool for 157-nm lithography
Author(s): Silvio Teuber; Iwao Higashikawa; Jan-Peter Urbach; Christof M. Schilz; Roderick Koehle; Axel M. Zibold
Show Abstract
157-nm photoresist process optimization for a full-field scanner
Author(s): Scott Light; Nickolay Stepanenko; Roel Gronheid; Frieda Van Roey; Dieter Van den Heuvel; Anne-Marie Goethals
Show Abstract
Full field imaging with a 157-nm scanner
Author(s): Chris Robinson; Nakgeuon Seong; Kurt Kimmel; Timothy A. Brunner; Michael Hibbs; Michael J. Lercel; Diane McCafferty; Harry Sewell; Timothy K. O'Neil; Juan Ivaldi; Keith Andresen
Show Abstract
Initial assessment of the lithographic impact of the use of a hard pellicle on wafer distortion
Author(s): Michael K. Kocsis; Peter De Bisschop; Richard Bruls; Andrew Grenville; Chris Van Peski
Show Abstract
Immersion fluid refractive indices using prism minimum deviation techniques
Author(s): Roger H. French; Min K. Yang; Michael F. Lemon; Ron A. Synowicki; Greg K. Pribil; Gerald T. Cooney; Craig M. Herzinger; Steven E. Green; John H. Burnett; Simon G. Kaplan
Show Abstract
Contamination monitoring and control on ASML MS-VII 157-nm exposure tool
Author(s): Uzodinma Okoroanyanwu; Roel Gronheid; Jan Coenen; Jan Hermans; Kurt G. Ronse
Show Abstract
Excimer-laser-induced defect generation in Lithosil
Author(s): Ute Natura; Oliver Sohr; Martin Letz; Rolf Martin; Michael Kahlke; Gabriele Fasold
Show Abstract
New method for high-accuracy measurements of the internal transmittance in the deep-ultraviolet spectral region using prism-shaped samples
Author(s): Ulrich Neukirch; Xinghua Li
Show Abstract
Advances in the use of birefringence to measure laser-induced density changes in fused silica
Author(s): Johannes Moll; Douglas C. Allan; Ulrich Neukirch
Show Abstract
Highly durable 4-kHz ArF excimer laser G42A for sub-90-nm lithography
Author(s): Takashi Saito; Hirotoshi Inoue; Hitoshi Nagano; Masaya Yoshino; Osamu Wakabayashi; Ryoichi Nohdomi; Toshihiro Nishisaka; Akira Sumitani; Hitoshi Tomaru; Hakaru Mizoguchi
Show Abstract
Improvements in CaF2 material properties for next-generation microlithography applications
Author(s): Jeffrey T. Kohli; Qiao Li; William R. Rosch
Show Abstract
Extending the Newtonian design form for ultra-high numerical aperture and immersion lithography
Author(s): James E. Webb
Show Abstract
RET for optical maskless lithography
Author(s): Tor Sandstrom; Hans Martinsson
Show Abstract
Fluence-dependent transmission in CaF2 including correlation with thermally stimulated current
Author(s): Glenn E. Kohnke; Chong An; Charlene M. Smith; Paula J. Holmes
Show Abstract
Beam quality of a new-type MOPO laser system for VUV laser lithography
Author(s): Osamu Wakabayashi; Tatsuya Ariga; Takahito Kumazaki; Koutarou Sasano; Takayuki Watanabe; Takayuki Yabu; Tsukasa Hori; Kouji Kakizaki; Akira Sumitani; Hakaru Mizoguchi
Show Abstract
Strong improvement of critical parameters of CaF2 lens blanks for 193-nm and 157-nm lithography
Author(s): Guenter Grabosch; Lutz Parthier; Peter Kruell; Konrad Knapp
Show Abstract
Sub-0.25-pm 50-W amplified excimer laser system for 193-nm lithography
Author(s): Sergei V. Govorkov; Alexander O. Wiessner; Gongxue Hua; Timur V. Misuryaev; Andrey N. Knysh; Stefan Spratte; Peter Lokai; Tamas Nagy; Igor Bragin; Andreas Targsdorf; Thomas Schroeder; Hans-Stephan Albrecht; Rainer Desor; Thomas Schmidt; Rainer Paetzel
Show Abstract
CaF2 for DUV lens fabrication: basic material properties and dynamic light-matter interaction
Author(s): Martin Letz; Axel Engel; Wolfgang Mannstadt; Lutz Parthier; Ute Natura; Konrad Knapp
Show Abstract
Development of high-power ArF/F2 laser platform for VUV microlithography
Author(s): Kouji Kakizaki; Junichi Fujimoto; Taku Yamazaki; Toru Suzuki; Takashi Matsunaga; Yasufumi Kawasuji; Yukio Watanabe; Masashi Kaminishi; Toyoharu Inoue; Hakaru Mizoguchi; Takahito Kumazaki; Tatsuya Ariga; Takayuki Watanabe; Takayuki Yabu; Koutarou Sasano; Tsukasa Hori; Osamu Wakabayashi; Akira Sumitani
Show Abstract
Verification of compaction and rarefaction models for fused silica with 40 billion pulses of 193-nm excimer laser exposure and their effects on projection lens imaging performance
Author(s): J. Martin Algots; Richard Sandstrom; William Partlo; Kazuhiro Takahashi; Hiroyuki Ishii; Yasuo Hasegawa
Show Abstract
Understanding focus in projection lithography systems
Author(s): Pary Baluswamy; Hiroyuki Yamamoto; Zornitza Krasteva; Linda Somerville
Show Abstract
TTR (through the reticle) alignment system with photoresist ablation technique
Author(s): Ryo Tanaka; Mitsuru Kobayashi; Masahiko Yasuda; Nobutaka Magome; Kazuhiko Ishigo; Hiroshi Ikegami; Tatsuhiko Higashiki
Show Abstract
Synthesis of projection lithography for low k1 via interferometry
Author(s): Frank Charles Cropanese; Anatoly Bourov; Yongfa Fan; Andrew Estroff; Lena V. Zavyalova; Bruce W. Smith
Show Abstract
Airborne molecular contamination control in the micromirror SLM-based deep ultraviolet DUV SIGMA7300 laser pattern generator
Author(s): Mats Ekberg; Hans A. Fosshaug; Thomas Ostrom; Peter Bjornangen; Thomas Utterback; Per-Uno Skotte; John Higley; David Ruede; Oleg P. Kishkovich
Show Abstract
Long-term reliable operation of a MOPA-based ArF light source for microlithography
Author(s): Toshihiko Ishihara; Herve Besaucele; Cynthia A. Maley; Vladimir B. Fleurov; Patrick O'Keeffe; Mary E. Haviland; Richard G. Morton; Walter D. Gillespie; Timothy S. Dyer; Bryan Moosman; Robert Poole
Show Abstract
DOE manufacture with the DUV SLM-based Sigma7300 laser pattern generator
Author(s): Peter Björnängen; Mats Ekberg; Thomas Öström; Hans A. Fosshaug; Johan Karlsson; Charles Björnberg; Fredrik K. Nikolajeff; Mikael Karlsson
Show Abstract
Degradation mechanism and materials for 157-nm pellicles
Author(s): Cesar M Garza; Tom Bierschenk
Show Abstract
200-mW continuous-wave laser source at 198.5 nm for lithographic applications
Author(s): Andrea Caprara; Stuart Butterworth; Yefim Kil; Tracy Thonn; Keith Hubbard; Alan Macleod; Edward Rea; Colin Seaton; Luis Spinelli
Show Abstract
Random aberration and local flare
Author(s): Masato Shibuya; Hiromi Ezaki; Toshihumi Fukui; Nobuaki Watanabe; Akira Nishikata
Show Abstract
Aberration measurement and matching: a correlation of measurement technique and dedication scheme implications
Author(s): William R. Roberts; Igor Jekauc
Show Abstract
Device manufacturing critical evaluation of focus analysis methods
Author(s): William R. Roberts; Matt Mcuillan; Macro Nicholas Louka; Terrence Zavecz; Patrick Reynolds; Mircea Dusa
Show Abstract
Optimization and characterization of the blazed phase grating focus monitoring technique
Author(s): William R. Roberts; Gerhard Kunkel
Show Abstract
Flare and its effects on imaging
Author(s): Stephen P. Renwick
Show Abstract
Method of robust pattern design for lens aberration
Author(s): Nobuhiro Komine; Kenji Konomi; Keita Asanuma; Kazuo Tawarayama; Tatsuhiko Higashiki
Show Abstract
Full optical column characterization of DUV lithographic projection tools
Author(s): Mark A. van de Kerkhof; Wim de Boeij; Haico Kok; Marianna Silova; Jan Baselmans; Marcel Hemerik
Show Abstract
Interferometric-probe aberration monitor performance in the production environment
Author(s): Garth C. Robins; Andrew R. Neureuther; Mircea Dusa; Jongwook Kye
Show Abstract
Study of line edge roughness using continuous wavelet transform for 65-nm node
Author(s): Lin-Hung Shiu; Chun-Kuang Chen; Tsai-Sheng Gau; Burn-Jeng Lin
Show Abstract
Further progress in the application of in-situ aberration measurements to pattern-specific imaging optimization
Author(s): Steven D. Slonaker; Bob Moore
Show Abstract
Swing curve prediction from reflectance spectra: a new method to predict optimal resist thicknesses and compare processes
Author(s): David H. Ziger
Show Abstract
Pushing KrF photolithography limit for 3D integrated circuit
Author(s): Yung-Tin Chen; Steve Radigan
Show Abstract
Lithography-based automation in the design of program defect masks
Author(s): George P. Vakanas; Saghir Munir; Edita Tejnil; Daniel J. Bald; Rajesh Nagpal
Show Abstract
Mask induced polarization
Author(s): Andrew Estroff; Yongfa Fan; Anatoly Bourov; Frank Charles Cropanese; Neal Vincent Lafferty; Lena V. Zavyalova; Bruce W. Smith
Show Abstract
Two-dimensional-image-based model calibration for OPC applications
Author(s): Kunal N. Taravade; Ebo H. Croffie; Andrew Jost
Show Abstract
Fast evaluation of photomask near-fields in subwavelength 193-nm lithography
Author(s): Jaione Tirapu-Azpiroz; Eli Yablonovitch
Show Abstract
Two threshold resist models for optical proximity correction
Author(s): Wen-Chun Huang; Chia-Hui Lin; Chin-Chen Kuo; C. C. Huang; J. F. Lin; Jeng-Horng Chen; Ru-Gun Liu; Yao Ching Ku; Burn-Jeng Lin
Show Abstract
Liquid immersion lithography: microscopic polarizabilities and the role of orientation contributions to light scattering
Author(s): Martin Letz; Konrad Knapp
Show Abstract
Overcoming limitations of etalon spectrometers used for spectral metrology of DUV excimer light sources
Author(s): Robert J. Rafac
Show Abstract
MEV as a new constraint for lithographers in the sub-100-nm regime
Author(s): Yorick Trouiller; Sergei V. Postnikov; Kevin D. Lucas; Frank Sundermann; Kyle Patterson; Jerome Belledent; Christophe Couderc; Yves Fabien Rody
Show Abstract
Properties of large CaF2 crystals grown by CZ method for lens materials
Author(s): Hiroyuki Yanagi; T. Nawata; Yoji Inui; Y. Hatanaka; E. Nishijima; Tsuguo Fukuda
Show Abstract
Optimization and apodization of aerial images at high NA in imaging interferometic lithography
Author(s): Thanis M. Tridhavee; Balu Santhanam; Steven R. J. Brueck
Show Abstract
Performance and reliability of beam-delivery unit for advanced lithography
Author(s): Jason Pan; John Viatella; Palash P. Das; Yasushi Yamasaki
Show Abstract
Resolution enhancement technology: the past, the present, and extensions for the future
Author(s): Franklin M. Schellenberg
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray