The new, new limits of optical lithography
Author(s):
Chris A. Mack
Show Abstract
The end of optical lithography has been so often predicted (incorrectly) that such predictions are now a running joke among lithographers. Yet optical lithography does have real, physical limitations and even more real economic limits, and an accurate estimation of these limits is essential for planning potential next generation lithography (NGL) efforts. This paper will review the two types of resolution limits in optical lithography: the pitch resolution, governed by the amount of spatial frequency information that can pass through an imaging lens, and the feature size resolution, limited by our ability to control feature size. Projecting the trends in these resolution limits, the capabilities of 193nm immersion lithography will be explored.
In the end it's the bottom line that counts
Author(s):
Karen H. Brown
Show Abstract
Electronics has been the nation’s largest and fastest growing manufacturing industry
throughout the end of the last century. Semiconductors have fueled that growth , even
with the downturn in the past two years. The US semiconductor industry now has the
largest value-added of any US manufacturing sector, comprising almost 1 percent of
US gross domestic product (GDP). In 2001 US-based firms accounted for
approximately 52% of the $140-billion world semiconductor market, and the
electronics industry employs more than 2 million Americans. This growth has been
sustained through steady advancements in semiconductor manufacturing science and
technology. These strategies have lead to increasingly cost effective advanced
communications and information technologies.
Electron-beam and emerging lithography for the magnetic recording industry
Author(s):
Alexander A.G. Driskill-Smith
Show Abstract
Today in 2004, the areal density in magnetic recording systems stands at approximately 100 Gbit/in2. It is projected to increase by 30-60% per year for the foreseeable future and reach 1 Tbit/in2 around the end of the decade. The corresponding rapid reduction in bit dimension poses significant challenges for lithography, both now in the thin-film head, and in the future on the disk, with the possible transition to patterned media. In thin-film head production, the critical dimension is now less than 100 nm and by 2006 will be less than 50 nm. To meet these requirements, the magnetic recording industry in recent years has been turning to direct-write electron-beam lithography. However, advances in both electron-beam systems and chemically-amplified resists will be required as areal density approaches the Terabit per square inch regime. No lithography is presently used on the disk or media, but this could change within a few years if discrete track media or patterned media is introduced. The key challenge will be establishing a low cost manufacturing capability at the very high resolution bit dimensions required. One new technology that shows considerable potential is nanoimprint lithography, but significant tool development will be required to improve throughput and provide sufficiently low cost per disk. High-resolution electron-beam systems and advanced resists will also be required to fabricate the 1X master templates. Further in the future, a combination of lithography and self-assembly of magnetic nanoparticles may provide a path to areal densities as high as 40 Tbit/in2.
Progress in the ASML EUV program
Author(s):
Hans Meiling;
Vadim Banine;
Peter Kuerz;
Noreen Harned
Show Abstract
ASML has continued to make significant investments in the development of extreme ultraviolet lithography (EUVL), addressing the critical challenges, including defect-free mask handling, reflective optics technology, environmental control, and source. We present updates in these key areas and in the realization of our process development exposure tool. This tool is used to minimize the risk of EUVL for the 45-nm technology node and below, and to support the development of the global infrastructure of masks, sources, and resist. Realization of the process development tool is well underway; most of the modules are in vacuum qualification and functional testing. From arial image simulations, we conclude that EUVL tools are particularly suited for contact printing, due to the use of dark-field masks, and hence, limited influence of flare.
Process latitude measurements and their implications for CD control in EUV lithography
Author(s):
Jonathan Cobb;
Richard Peters;
Sergei Postnikov;
Scott D. Hector;
Bing Lu;
Eric Weisbrod;
James R. Wasson;
Pawitter Mangat;
Donna O'Connell
Show Abstract
We have exposed 10 wafers on the Engineering Test Stand (ETS), the 0.1 NA EUV scanner at Sandia National Laboratories in Livermore, CA. The EUV reflective mask was fabricated in-house using a Ta-based absorber stack on Mo/Si multilayers. The printed wafers contained different line sizes and pitches, line-end shortening measurement structures, contact holes, and patterns for estimating absorber defect printability. The depths of focus of each feature are typically 2 um due to the small NA of the scanner, and these should decrease by at least a factor of 6.25 as the NA's increase to 0.25. The data from measurements of line size through pitch and line-end shortening test structures indicate that both 1D and 2D optical proximity correction will be required. Defects that are either notches in or protrusions from absorber lines are the first to print, and they begin to print when they reach approximately 15~nm (1X) in size. This size threshold is in accordance with the 2003 ITRS specifications. We also report the first printing of SRAM bitcells with EUV lithography.
EUV imaging: an aerial image study
Author(s):
Martin Lowisch;
Udo Dinger;
Uwe Mickan;
Tilmann Heil
Show Abstract
This work discusses the imaging properties of EUVL systems on the basis of an aerial image study in resist. A process window analysis for the lithographic structures which are driving the ITRS roadmap is presented. Here we cover the 45 nm and 32 nm node. In a first step we focus on the contribution of wavefront aberrations and flare effects to the imaging performance. In a second step we investigate the process latitude for different generic pattern of the above mentioned nodes. It becomes clear that EUVL tools are a very good choice for the printing of contact holes. Dense and semi-dense lines can be easily printed too, using a conventional illumination setting. From our current perspective, isolated features on bright field reticles are the most challenging structures for EUV imaging due to the flare impact on contrast and process latitude. Related to flare we discuss our progress in mirror surface manufacturing to reduce the overall flare level.
EUV interferometric testing and alignment of the 0.3-NA MET optic
Author(s):
Kenneth A. Goldberg;
Patrick Naulleau;
Paul Denham;
Senajith B. Rekawa;
Keith Jackson;
James Alexander Liddle;
Erik H. Anderson
Show Abstract
Extreme ultraviolet (EUV) interferometry has been successfully performed for the first time at 0.3 numerical aperture (NA). Extensive EUV “at-wavelength” testing including alignment, was performed on a newly created Micro Exposure Tool (MET) optic designed for sub-50-nm EUV lithographic imaging experiments. The two-mirror, 0.3 NA MET is ar-guably the highest resolution light-projection lithography tool ever made. Using both lateral shearing and phase-shifting point-diffraction interferometry, the wavefront was measured across the field of view, and the alignment was optimized in preparation for imaging. The wavefront quality reached 0.55 nm RMS (lambda[EUV]/24.5) in a 37-term annular Zernike poly-nomial series, dominated by higher-order spherical aberration. Measurements included calibrations of the interferometer accuracy, assessment of repeatability, and cross-comparisons of visible and EUV interferometric measurements. The comparisons and the final, measured wavefront quality were affected by an apparent alignment drift, several tenths of a nm in magnitude. Significant unresolved differences between testing strategies shows that continued work is needed to improve the measurement accuracy to levels required for EUV lithography.
Shot noise, LER, and quantum efficiency of EUV photoresists
Author(s):
Robert L. Brainard;
Peter Trefonas;
Jeroen H. Lammers;
Charlotte A. Cutler;
Joseph F. Mackevich;
Alexander Trefonas;
Stewart A. Robertson
Show Abstract
The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied. These resists were identical to EUV-2D except were prepared with seven levels of added base while keeping all other resist variables constant. These seven resists were patterned with EUV lithography, and LER was measured on 100-200 nm dense lines. Similarly, the resists were also imaged using DUV lithography and LER was determined for 300-500 nm dense lines. LER results for both wavelengths were plotted against Esize. Both curves show very similar LER behavior-the resists requiring low doses have poor LER, whereas the resists requiring high doses have good LER. One possible explanation for the observed LER response is that the added base improves LER by reacting with the photogenerated acid to control the lateral spread of acid, leading to better chemical contrast at the line edge.
An alternative explanation to the observed relationship between LER and Esize is that shot-noise generated LER decreases as the number of photons absorbed at the line edge increases. We present an analytical model for the influence of shot noise based on Poisson statistics that preidicts that the LER is proportional to (Esize)-1/2. Indeed, both sets of data give straight lines when plotted this way (DUV r2 = 0.94; EUV r2 = 0.97). We decided to further evaluate this interpretation by constructing a simulation model for shot noise resulting from exposure and acid diffusion at the mask edge. In order to acquire the data for this model, we used the base titration method developed by Szmanda et al. to determine C-parameters and hence the quantum efficiency for producing photogenerated acid. This information, together with film absorptivity, allows the calculation of number and location of acid molecules generated at the mask edgte by assuming a stochastic distribution of individual photons corresponding to the aerial image function. The edge "roughness" of the acid molecule distribution in the film at the mask edge is then simulated as a function of acid diffusion length and compared to the experimental data. In addition, comparisoins between of the number of acid molecules generated and photons consumed leads to values of quantum efficiencies for these EUV resists.
Determination of the flare specification and methods to meet the CD control requirements for the 32-nm node using EUVL
Author(s):
Manish Chandhok;
Sang H. Lee;
Christof Krautschik;
Bryan J. Rice;
Eric Panning;
Michael Goldstein;
Melissa Shell
Show Abstract
The minimum gate CD for the 32 nm node is 15 nm and the CD control requirement on the gate CD is < 2.5 nm 3σ. One of the major concerns for meeting these targets using EUV lithography is flare. Flare degrades the aerial image contrast which decreases the process window, and within-die chrome density variation results in local flare variation which worsens the CD control. Since mirror roughness contributes to flare, mirror polishing needs to be improved so that the Mid Spatial Frequency Roughness (MSFR) will be reduced to < 0.14 nm/mirror for 6 mirror imaging systems. In this paper, we will determine the minimum acceptable flare for the 32 nm node to meet the CD target and control requirements using modeling and present methods to meet them as demonstrated by experiments run on the Engineering Test Stand (ETS). Effectiveness of flare mitigation methods using chrome dummification and negative tone resists are quantified, and the capability of Flare Variation Compensation (FVC) to meet CD control targets are verified experimentally.
Optimized glass-ceramic substrate materials for EUVL applications
Author(s):
Ina Mitra;
Jochen Alkemper;
Rolf Mueller;
Uwe Nolte;
Axel Engel;
Hrabanus Hack;
Heiko Kohlmann;
Volker Wittmer;
Wolfgang Pannhorst;
Mark J. Davis;
Lutz Aschke;
Konrad Knapp
Show Abstract
EUV substrate materials have to meet enhanced requirements with respect to extreme low thermal expansion, high homogeneity and superior surface quality. A SCHOTT R&D program aims at the development of advanced materials covering these various aspects. The glass-ceramic Zerodur (registered trademark) of SCHOTT represents a substrate material currently used for EUV masks and optics of first generation tools due to its extremely low coefficient of thermal expansion (CTE) and its excellent homogeneity. Zerodur(registered trademark) even allows continuous shifting of the position of zero crossing of the CTE-slope to control the thermal expansion behavior according to varying customer requirements: As a result of specifically adjusted process parameters, samples of Zerodur (registered trademark) exhibit a coefficient of thermal expansion CTE < 5 ppb/K corresponding to the lowest expansion class of the SEMI standard P37 (19 to 25°C) for EUV mask blanks. By further variation of process parameters, the position of zero crossing, e.g. at 22.5°C or 30°C, can be varied, revealing an attractive attribute feature of Zerodur (registered trademark).
A new dilatometer type reveals an improved reproducibility of ~ 1ppb/K in the temperature range of 0 to 50°C. A series of CTE(0;50°C) measurements with a test-cube of Zerodur (registered trademark) provides information on CTE homogeneity on a cm-scale: no CTE variation was observed within the error of measurements (1ppb/K) for a block exhibiting ± 3.5*10-6 variation in refractive index. CTE variation can cause surface deformations during changing temperature conditions. A Fizeau-Interferometer was used to record surface roughness at two different temperatures. This non- destructive metrology is regarded as a method to distinguish CTE variation < 1ppb/K. The surface deformation of Zerodur (registered trademark) due to elevated temperature was determined to be lower than the resolution. Both methods to analyze the CTE homogeneity of Zerodur (registered trademark) lead to the result of CTE variation below 1 ppb/K.
Surface treatment of glass-ceramic material is a major challenge as final finishing of EUV substrates may increase roughness of super-polished surfaces significantly. Improved new glass-ceramic materials demonstrate optimization of glass-ceramic compositions to nearly meeting the specification of surface roughness after a standard finishing process.
Recent achievements of material development reveal CTE-performance of this new glass-ceramic to also be adjustable to varying customer needs as already known for Zerodur (registered trademark).
These results are regarded as a promising milestone to develop an optimized glass-ceramic material, because the features of the modified New-Glass Ceramic now better match the key requirements of EUVL substrate materials.
Low-stress and high-reflectivity molybdenum/silicon multilayers deposited by low-pressure rotary magnet cathode sputtering for EUV lithography
Author(s):
Masayuki Shiraishi;
Noriaki Kandaka;
Katsuhiko Murakami
Show Abstract
We fabricated molybdenum (Mo)/silicon (Si) multilayers with low-pressure rotary magnet cathode (RMC) sputtering, which can
be performed at a lower gas pressure (about 0.1Pa) than conventional magnetron sputtering. We obtained high reflectivity at a
wavelength of extreme ultraviolet (EUV) in Mo/Si multilayers sputtered with low-pressure xenon (Xe) gas. The measured
maximum reflectivity was approximately 71%. We confirmed that the multilayers sputtered at a lower pressure exhibited higher
reflectivity. From the transmission electron microscope (TEM) cross-sectional images we observed thinner interdiffusion layers
between Mo and Si layers in multilayers sputtered by RMC sputtering than in those sputtered by ion-beam sputtering. The Mo
single layer deposited by RMC sputtering had tensile stress and the Si single layer had compressive stress. By changing the Γ
ratio (the fractional thickness ratio of a Mo layer to the total thickness of a Mo layer and a Si layer) of the multilayer coatings, the
film stress was controlled from tensile to compressive. However, for large Γ ratios, the interface roughness was increased and the
EUV reflectivity was reduced. We have developed a doubly stacked multilayer structure that can provide low stress and high
EUV reflectivity simultaneously. By using this technique, the stress of multilayer coatings was reduced to -6MPa while
maintaining the high EUV reflectivity of 69%.
Radiation-induced synergistic effects of athermal and thermal mechanisms on erosion and surface evolution of advanced electrode and condenser optics materials
Author(s):
Jean Paul Allain;
Ahmed Hassanein;
Tatiana Burtseva;
Abdellatif Yacout;
Zinetulla Insepov;
Sarfraz Taj;
Bryan J. Rice
Show Abstract
In extreme ultraviolet lithography (EUVL) environments transient plasma dynamics dictate conditions for particle/surface interactions. A critical challenge facing EUVL development is optic component lifetime both in gas-discharge produced plasmas (GDPP) and laser-produced plasmas (LPP) devices. Optic components are exposed to impingent species, impurities (H,C,O,N) and debris leading to their degradation and consequently limiting 13.5 nm light reflection intensity. Experiments in the PRIME (Particles and Radiation Interaction with Matter Experiments) facility at the Argonne National Laboratory study the synergy between radiation-induced athermal and thermal mechanisms that influence the behavior of EUVL materials (electrodes and condenser optics) under irradiation conditions including: incident particle energy (50 eV - 5 keV), angle-of-incidence (near-normal to oblique), incident flux (1011-1017 ions/cm2/s), surface coatings (impurity: C,O or capping layers: Ru, W), and surface temperature (100 - 1000 C). Results of electrode and optical component interaction with singly-charged inert gases (Xe) are presented. Critical issues under study include: radiation enhanced diffusion, radiation induced segregation, preferential sputtering, collisional mixing, surface segregation, surface amorphization, thermal diffusion and thermal spike evolution. Experiments in PRIME will be complemented with atomistic modeling to study how these mechanisms modify surfaces and how these mechanisms can work synergistically to introduce solutions to enhance component lifetime of electrode and condenser optic materials.
Experimental investigation of materials damage induced by hot Xe plasma in EUV lithography devices
Author(s):
Ahmed Hassanein;
Tatiana Burtseva;
Jean Paul Allain;
Bryan J. Rice;
Vivek Bakshi;
Valery Safronov
Show Abstract
Small plasma-pinch devices operating at a gas mixture of Xe and He with a frequency of 5-10 kHz and pulsed energy of 1-100 J are very promising sources of EUV radiation for lithography. A key issue in design of EUV sources is erosion of the pinch facing material under the hot Xe plasma and electric currents. Material erosion limits the lifetime of device components and thereby reduces the economical feasibility of these devices. Selection of high-resistant materials is critically important for development of future commercial EUV sources.
Experiments are being carried out at plasma gun facilities in well-diagnosed and controlled conditions. The plasma gun is applied as a source of pulsed energetic Xe plasma capable of generating Xe plasma streams with a velocity 4 106 - 4 107 cm/s and duration of the plasma pulse 10-40 microseconds. Xenon plasma stream velocity of 4-10 106 is sufficient to obtain plasma temperture of 30-50 eV, i.e., typical for pinch EUV devices. The formation of plasma could makes possible to study erosion and surface damage induced by particles and radiation of Xe plasma at these temperatures.
Initial results of material testing by Xe plasma particles are presented. Samples of copper and tungsten, which are currently being used as electrode materials in pinch devices, were exposed to multiple irradiations by pulsed energetic Xe plasma. Material erosion and surface damages are analyzed. Future results will permit identification of the erosion mechanisms induced by Xe plasma particles, plasma radiation, and electric currents and their contributions to the net material erosion. The experimental data are being used for validation of numerical models developed in the HEIGHTS-EUV package for evaluation of material erosion in EUV sources.
EUV source power and lifetime: the most critical issues for EUV lithography
Author(s):
Uwe Stamm;
Juergen Kleinschmidt;
Kai Gaebel;
Henry Birner;
Imtiaz Ahmad;
Denis Bolshukhin;
Jesko Brudermann;
Tran Duc Chinh;
Frank Flohrer;
Sven Goetze;
Guido Hergenhan;
Diethard Kloepfel;
Vladimir Korobotchko;
Bjorn Mader;
Rainer Mueller;
Jens Ringling;
Guido Schriever;
Christian Ziener
Show Abstract
Semiconductor chip manufacturers are expecting to use extreme ultraviolet (EUV) lithography for high volume manufacturing of DRAMs and ICs starting by the end of this decade. Among all the technologies and modules which have to be developed EUV sources at 13.5 nm are considered to be the most critical issue. Specifically the required output power of 115 W at the entrance of the illuminator system in combination with the required lifetimes of source components and collector optics make the source technology critical for EUV lithography.
The present paper gives an update of the development status of EUV light sources at XTREME technologies, a joint venture of Lambda Physik AG, Goettingen, and Jenoptik LOS GmbH, Jena, Germany. Results on both laser produced plasma (LPP) and gas discharge produced plasma (GDPP), the two major technologies in EUV sources, are given.
The LPP EUV sources use xenon-jet target systems and pulsed lasers with 500 W average power at up to 10 kHz developed at XTREME technologies. The maximum conversion efficiency from laser power into EUV in-band power is 1.0 % into 2p solid angle. 2.0 W EUV radiation is generated at 13.5 nm in 2p sr solid angle. The small source volume of < 0.3 mm diameter will allow large collection angles of 5 sr. The intermediate focus power is estimated to 1 W. Collector mirror lifetime tests showed 5 million pulses lifetime without debris mitigation. With debris mitigation in place lifetimes of more than 1 billion pulses are estimated. For the next generation of higher power EUV LPP sources a laser driver has been tested at 1.3 kW average laser power. This will lead to 5 W EUV power in intermediate focus.
The GDPP EUV sources use the Z-pinch principle with efficient sliding discharge pre-ionization. Prototype commercial gas discharge sources with an EUV power of 35W in 2p sr were already delivered for integration into EUV microsteppers. These sources are equipped with a debris-filter which results in an optics lifetime exceeding 100 million discharges at 1 kHz repetition frequency. The same lifetime was achieved for the components of the discharge system itself.
The progress in the development of high-power discharge sources resulted in an EUV power of 150 W in continuous operation at 4.5 kHz repetition rate by implementation of porous metal cooling technology. The EUV plasma has a FWHM-diameter of 0.5 mm and a FWHM-length of 1.5 mm. The intermediate focus power is calculated to be in the range of 15 W - 20 W, depending somewhat on the transmission of the optical path to the intermediate focus and on the etendue specification. The typical fluctuations of the EUV energy are standard deviation s<5% without any active stabilization.
Discharge sources with Sn as emitter were investigated as more efficient alternative to Xenon. Estimates regarding Sn sources reveal the potential of achieving 65 W intermediate focus power by using developed porous metal cooling technology. Improvement of cooling could open the path to 115 W of power for high volume manufacturing using EUV lithography. However, Sn-sources are technologically risky und much less advanced than Xe sources, since fuel-handling and debris mitigation is much more challenging in comparison to Xe-sources.
GDPP and LPP sources still compete for the technology of high volume manufacturing sources for EUV lithography. Optimization potential of the etendue of the optical system of EUV scanners will certainly influence any technology decision for HVM sources.
High-power short-pulse laser modules for laser-produced-plasma EUV source
Author(s):
Samir Ellwi;
Andrew Comley;
N. Hay;
Michael Brownell
Show Abstract
We have recently made significant advances in the performance of our laser driver module employed in our laser produced plasma (LPP) EUV source. We increased the average power output from the laser whilst minimising the overall Cost of Ownership (CoO) and footprint of the system. In addition to minimising the CoO of the laser solution, it is necessary to choose an appropriate target that can attain the overall requirements of EUVL. We are currently investigating xenon in its various phases, as well as other target materials, in order to increase the conversion efficiency of the source and therefore further drive down its CoO. We have prepared a source roadmap in response to industry demands, and it shows that the combination of our demonstrated laser technology with available targets will meet the objectives for a production level source.
Status of Philips' extreme UV source
Author(s):
Joseph Pankert;
Klaus Bergmann;
Juergen Klein;
Willi Neff;
Oliver Rosier;
Stefan Seiwert;
Christopher Smith;
Sven Probst;
Dominik Vaudrevange;
Guido Siemons;
Rolf Apetz;
Jeroen Jonkers;
Michael Loeken;
Guenther Derra;
Thomas Kruecken;
Peter Zink
Show Abstract
The paper describes progress of the Philips’ hollow cathode triggered (HCT) gas discharge EUV source. The program
has been focussed on three major areas: (1) Studying the basic physics of ignition, pinch formation and EUV
generation. The paper reports on progress in this area and particularly describes the underlying atomic physics both for
Xe and Sn. (2) Discharge based on Sn. Results on overall efficiency more than 5 times the Xe efficiency are reported as
well as high frequency operation up to 6.5 kHz. This system shows all the necessary ingredients for scaling to
production power levels. (3) Integration of the Xe source in an alpha tool. Results on integration issues like electrode
life time, collector life time and dose control will be presented.
Performance of a 10-kHz laser-produced-plasma light source for EUV lithography
Author(s):
Tamotsu Abe;
Takashi Suganuma;
Yousuke Imai;
Hiroshi Someya;
Hideo Hoshino;
Masaki Nakano;
Georg Soumagne;
Hiroshi Komori;
Yuichi Takabayashi;
Hakaru Mizoguchi;
Akira Endo;
Koichi Toyoda;
Yasuhiro Horiike
Show Abstract
The main technological challenge of a future extreme ultraviolet (EUV) light source is the required average power of
115W at the intermediate focus. High repetition rate laser produced plasma (LPP) sources are very promising to face
this challenge. We report the current status of the laser produced light source system we started to develop in 2002. The
system consists of the following main components: The plasma target is a liquid xenon jet with a maximum diameter of
50 micrometer and a velocity of more than 30 m/s. A Nd:YAG laser oscillating at 1064 nm produces the plasma. The
laser is a master oscillator power amplifier (MOPA) configuration with a maximum repetition rate of 10 kHz and an
average power of 1kW. The EUV system currently delivers an average EUV in-band power of 4 W (2% bandwidth, 2π
sr) having a stability of 0.54 % (1σ, 50-pulse moving average). In order to evaluate a further increase of the repetition
rate, xenon jet characteristics and EUV plasma images have been investigated at 10 kHz. In addition, a conversion
efficiency of 0.67% (2% bw, 2π sr) has been obtained at low repetition rate operation. This paper presents the progress
of our LPP light source development.
Performance and scaling of a dense plasma focus light source for EUV lithography
Author(s):
Igor V. Fomenkov;
Richard M. Ness;
Ian Roger Oliver;
Stephan T. Melnychuk;
Oleh V. Khodykin;
Norbert R. Boewering;
Curtis L. Rettig;
Jerzy R. Hoffman
Show Abstract
Over the past several years, a continuous improvement of the performance parameters of discharge produced plasmas as potential sources of 13.5 nm radiation for commercial EUV lithography systems has been achieved. At Cymer we have continued developing the dense plasma focus (DPF) discharge as an EUV source. The majority of the data presented here is focused on DPF operation with xenon gas. We have recently started investigating the DPF operation with Sn, as well. A significant improvement in conversion efficiency (CE) was observed. We have investigated DPF configurations with different polarity of the drive voltage. Central to both configurations is the pulsed power system, which is being developed to operate in continuous mode at 5 kHz while delivering approximately 10 J to the load. Significant differences have been observed for the energy deposition profiles in the positive and negative polarity systems. Calorimetric data show that the fraction of energy deposited into each discharge electrode depends on the polarity. The thermal engineering of the central electrode remains a major challenge. With the present generation DPF we have demonstrated operation at 5 kHz in burst mode and at 2.3 kHz in continuous mode, with 76 W of in-band energy generated at the source. We observed that certain transient effects in the EUV output were correlated with the degree of energy coupling during the burst. However, we found that the pulsed power system is well matched to the load with >90% of the stored energy coupled to the discharge and electrodes. The conversion efficiency of the DPF operated with Xe is near 0.5% for both polarities, while measurements with Sn show a CE ~1.7%. Plasma modeling supported the optimization of the pinch dynamics and electrodes. Debris mitigation studies were also carried out and the carbon contamination was reduced.
Fabrication process of molecular memory circuits by nanoimprint lithography
Author(s):
Gun-Young Jung;
S. Ganapathiappan;
Xuema Li;
Dougleas A. A. Ohlberg;
Deidre L. Olynick;
Yong Chen;
Wei Wu;
Shih-Yuan Wang;
William M. Tong;
R. Stanley Williams
Show Abstract
We have utilized the nanoimprint lithography process described this paper to fabricate a rewritable, nonvolatile memory cell with an equivalent density of 6.4 Gbits/cm2. The architecture of the circuit was based on an 8x8 crossbar structure with an active molecular layer sandwiched between the top and bottom electrodes. A liftoff process was utilized to produce the top and bottom electrodes, made of Pt/Ti bilayers. The active molecular layer was deposited by the Languir-Blodgett technique. We proposed the use of a new class of nanoimprint resist formulated by dissolving a polymer in its monomer, such as poly(benzyl methacrylate) dissolved in benzyl methacrylate (~8%/92% wt). The new resist enabled us to achieve Pt /Ti lines of 40 nm in width and 130 nm in pitch, as described in this paper. Our overall nanofabrication process has the advantages of relatively low temperature (~70°C) and pressure (~500 psi or 4.5 MPa), both of which are critical to preserving the integrity of the molecular layer.
Nanostructuring of polymers by hot embossing lithography
Author(s):
Hella-Christin Scheer;
Thomas Glinsner;
Matthias Wissen;
Rainer Pelzer
Show Abstract
While researchers of ever more advanced NGL systems are still struggling to demonstrate the feasibility to manufacture
features well below 100 nm at an affordable cost and a reasonable throughput, nanoimprint technologies are emerging as
a possible answer to these challenges. 100 nm patterns are imprinted with a fully patterned 4 inch diameter stamp in a
low-temperature embossing process. In low temperature imprinting processes with polymers having very low glass
transition temperatures heating and cooling cycles are minimized. This enables to increase the throughput of a hot
embossing process, which is important for potential industrial applications.
Four-inch photocurable nanoimprint lithography using NX-2000 nanoimprinter
Author(s):
Mingtao Li;
Hua Tan;
Linshu Kong;
Larry Koecher
Show Abstract
Photo-curable nanoimprint lithography (P-NIL), a low pressure and room temperature process, is developed on Nanonex NX-2000 nanoimprintor. The process is capable of achieving uniform imprinting over large area in less than 60 seconds, which is mainly attributed to the Nanonex patented air cushion press (ACP) technology. Nanostructures such as 200nm pitch grating have been successfully demonstrated on 4-inch wafer level using P-NIL on NX-2000 nanoimprintor.
Current status of Nanonex nanoimprint solutions
Author(s):
Hua Tan;
Linshu Kong;
Mingtao Li;
Colby Steere;
Larry Koecher
Show Abstract
Nanoimprint lithography (NIL) has the advantage of high-throughput, sub-10 nm resolution and low cost [1]. It has been included into 2003 ITRS as the Next Generation Lithography (NGL) for 45 nm node [2]. This paper summarized current status of Nanonex imprint technologies. Nanonex imprint process includes thermal nanoimprint (T-NIL) and photo-curable nanoimprint (P-NIL). Both T-NIL and P-NIL utilized a proprietary air cushion press (ACP), which has the advantage of ultra-uniformity, low lateral stress, less damage to the mold and substrate, and higher alignment accuracy. Nanonex Corporation delivers user-friendly nanoimprint lithography tools and solutions for both experts and non-experts of micro and nanofabrication. Nanoimprint machines, resists, molds and processes have been developed and are available today.
Step and Repeat UV nanoimprint lithography tools and processes
Author(s):
Ian McMackin;
Jin Choi;
Philip Schumaker;
Van Nguyen;
Frank Xu;
Ecron Thompson;
Daniel Babbs;
S. V. Sreenivasan;
Mike Watts;
Norman Schumaker
Show Abstract
Step and FlashTM Imprint Lithography (S-FILTM) process is a step and repeat nano-replication technique based
on UV curable low viscosity liquids. Molecular Imprints, Inc. (MII) develops commercial tools that practice
the S-FIL process. The current status of the S-FIL tool and process technology is presented in this paper. The
specific topics that are covered include:
• Residual layer control
• Etch process development
• Patterning of lines, contacts and posts
• CD control
• Defect and process life
• Alignment and magnification control
Development of imprint materials for the Step and Flash Imprint Lithography process
Author(s):
Frank Xu;
Nicholas A. Stacey;
Mike Watts;
Van Truskett;
Ian McMackin;
Jin Choi;
Philip Schumaker;
Ecron Thompson;
Daniel Babbs;
S. V. Sreenivasan;
C. Grant Willson;
Norman Schumaker
Show Abstract
The Step and Flash Imprint Lithography (S-FILTM) process is a step and repeat nano-replication technique based on UV
curable low viscosity liquids. Molecular Imprints, Inc. (MII) develops commercial tools that practice the S-FIL process.
This talk will present the imprint materials that have been developed to specifically address the issue of process life and
defects.
The S-FIL process involves field-to-field dispensing of low viscosity (<5 cps) UV cross-linkable monomer mixtures.
The low viscosity liquid leads to important advantages that include:
• Insensitivity to pattern density variations
• Improved template life due to a lubricated template-wafer interface avoids “hard contact” between template and
wafer
• Possibility for lubricated (in-situ) high-resolution alignment corrections prior to UV exposure
The materials that are optimal for use in the S-FIL process need to possess optimal wetting characteristics, low
evaporation, no phase separation, excellent polymer mechanical properties to avoid cohesive failure in the cured material,
low adhesion to the template, and high adhesion to the underlying substrate.
Over 300 formulations of acrylate based monomer mixtures were developed and studied. The imprint materials were
deemed satisfactory based on the process of surviving imprinting more than 1500 imprints without the imprints
developing systematic or repeating defects. For the purpose of these process studies, printing of sub-100 nm pillars and
contacts is used since they represent the two extreme cases of patterning challenge: pillars are most likely to lead to
cohesive failure in the material; and contacts are most likely to lead to mechanical failure of the template structures.
Production of low-thermal-expansion EUVL mask blanks with low-defect multilayer, buffer, and absorber
Author(s):
Frank Sobel;
Lutz Aschke;
Frauke Rueggeberg;
Holger Seitz;
Nathalie Olschewski;
Torsten Reichhardt;
Hans Becker;
Markus Renno;
Steffen Kirchner;
Thomas Leutbecher;
Guenter Hess;
Konrad Knapp
Show Abstract
Schott Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks - ranging from Low Thermal Expansion Material (LTEM) via high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the roadmap requirements. The goal is pilot production of EUV blanks for the 45 nm node end of 2005.
There are several technology options for achieving the mechanical, optical and chemical specs for substrates and coatings. Some of them are already integrated in our processes. An important focus of this paper is the understanding of defect sources starting from the LTEM bulk material to the fully coated blanks with multilayer, buffer and absorber. We present details on some production steps controlling defect detection sensitivity dedicated to various layers and report on new results on defect reduction research after the different process steps.
EUVL ML blank fiducial mark generation via local heating
Author(s):
Pei-Yang Yan;
Cameron Wagner
Show Abstract
One of the greatest challenges for extreme ultraviolet lithography (EUVL) technology is the development of a nearly defect-free mask blanks. For EUVL mask blanks with a few printable multi-layer (ML) defects, several defect mitigation methodologies have been proposed. It includes directly repairing small ML phase and amplitude defects,1-2 mask absorber pattern proximity repair,3 and using absorber pattern to cover the ML defects. In each case, the ML defects first need to be identified and located during the ML blank defect inspection. To precisely locate the ML defects on the blank, fiducial marks on the ML blank are needed for mask alignment and defect location identification.
In this study, we have demonstrated that EUVL mask ML blank fiducial mark with 60nm trench depth, which is more than 10X the required minimum detectable height of the defect inspection tool, can be generated via local heating. No material removal occurs. Therefore, it is a very clean process. These fiducial marks work for many inspection tools such as optical inspection tool, e-beam inspection tool, and EUV Aerial Image Monitor (AIM) inspection tool.
The fiducial marks generated in the experiment were realized via laser heating. The locally heated Mo/Si ML converts to molybdenum silicide that has a low volume than that of Mo/Si ML. As a result, a trench or a mark is generated. The process is expected to have large process margin as the depth of the mark is determined by completely silicide the ML. Additional heating will not be able to further deepen the trench. Therefore, the temperature and heating time control should be loose.
Development of phase shift masks for extreme ultraviolet lithography and optical evaluation of phase shift materials
Author(s):
Sang-In Han;
Eric Weisbrod;
James R. Wasson;
Rich Gregory;
Qianghua Xie;
Pawitter J. S. Mangat;
Scott D. Hector;
William J. Dauksher;
Kristine M. Rosfjord
Show Abstract
Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 32-nm node. The concept of EUV PSM structures can be implemented either by adding absorber structures on top of the Mo/Si multilayers (additive approach) or by partial etching into the multilayers (subtractive approach). Among many technical challenges, evaluating optical constants of absorber materials is the most important issue particularly for PSM by the additive approach (PSM-ADD), while the etch stop capability and the etch selectivity with vertical sidewall profile are the main technical challenges for PSM fabricated by the subtractive method (PSM-SUB). For fast turn around of PSM development, the indirect optical constant evaluation through material analysis is a useful metrology technique. The optical constant of TaSiN extracted from Rutherford Backscattering Spectroscopy (RBS) data agrees well with that obtained from the direct measurement by transmission interferometric technique. For PSM-SUB, the concept of embedding B4C and NiFe etch stop layer (ESL) is verified by measuring reflectivity of the ESL embedded substrates and demonstrating good etch stop capability.
Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging
Author(s):
Yoshihiro Tezuka;
Masaaki Ito;
Tsuneo Terasawa;
Toshihisa Tomie
Show Abstract
The development of defect-free mask blanks including inspection is one of the big challenges for the implementation of
extreme ultraviolet lithography (EUVL), especially when the introduction of EUVL is rescheduled to a later technology
node. Among others, inspection of multilayer coated mask blanks with no oversight of critical defects and with
minimal detection of false defects is a challenging issue for providing mask blanks free of defects or with thorough
characterization of any existing defects. MIRAI Project has been developing a novel actinic (at-wavelength)
inspection tool for detecting critical multilayer defects using a dark-field imaging and a laser-produced plasma (LPP)
light source, expecting better sensitivity and better correlation with printability. The first experimental set up is
completed for proof-of-concept (POC) demonstration using 20x Schwarzschild imaging optics and a backsideilluminated
CCD. An in-house LPP light source is integrated to optimally illuminate the area of interest by EUV with
a wavelength of 13.5nm. For its illuminator, a multilayer-coated elliptical mirror is used to illuminate a mask blank
with the EUV that is collected within a wide solid angle from the light source. The first EUV dark-field image is
obtained from a mask blank with programmed multilayer defects which are manufactured by locating well-defined
patterns before depositing Mo/Si multilayer on EUV mask substrate. All the fabricated multilayer defects down to
70nm in width and 3.5nm in height are detected as clear signals that are distinguishable from the background intensity
arising from the scattering by the surface roughness of the multilayer-coated mask blank. We have also detected a
phase defect as low as 2nm in height. False defect count was not only zero within the area of view but also statistically
confirmed to be less than one within the whole area of a mask blank assuming the extrapolation of observed fluctuation
of background intensity is applicable. EUV pulse energy measurements and a CCD speed scaling suggested that the
inspection throughput of 2 hours per mask blank will be feasible. The actinic tool based on this scheme will, not only
serve for benchmarking with non-actinic tools or support multilayer deposition process improvements, but also be a
viable choice for qualification of premium EUV mask blanks.
Effect of absorber material and mask pattern correction on pattern fidelity in EUV lithography
Author(s):
Minoru Sugawara;
Takeo Hashimoto;
Iwao Nishiyama
Show Abstract
The printability of a dense line pattern and a model pattern using two configurations of absorber and buffer materials for the mask were examined through simulations. An absorber material with a small extinction coefficient of 0.025 must be thicker than one with a large extinction coefficient fo 0.040 to ensure sufficient reflectance contrast. However, a thick absorber enhances the adverse influence of off-axis incidence on the critical dimensions and pattern fidelity of a dense pattern layout, and the influence increases markedly when the incident angle is over 6.2°. Thus, and absorber material with a large extinction coefficient is advantageous in reducing the influence of off-axis incidence because it allows the use of a thinner layer. Another approach to reducing this influence is mask pattern correction. A newly developed algorithm makes the mask near-field energy for off-axis incidence the same as that for normal incidence. This simple correction compensates effectively for the influence of off-axis incidence and provides excellent pattern fidelity. The algorithm enable the use of absorber and buffer materials with a small extinction coefficient and also a large incident angle of over 6.2°.
Modeling for sub-50-nm x-ray application with phase masks
Author(s):
James W. Taylor;
Daniel H. Malueg;
Franco Cerrina;
Mumit Khan;
Don Thielman
Show Abstract
The CNTech Advanced Lithography Toolset uses a beam propagation method to calculate the intensity profile as it propagates through the mask and into the photoresist. One can construct the membrane, absorber, gap, and resist, each as a series of n-slices to achieve unusually precise calculations. Here a clear X-ray phase mask is modeled with silicon nitride in a configuration called a Bright Peak Enhanced X-ray Phase Mask (BPEXPM).
For the optimized structure of this mask, which relies on both diffraction and phase shifting to produce the reduced wafer image, four factors must be controlled; these are: absorber thickness - material and wavelength dependent, absorber wall slope, gap, and resist threshold. A central composite experimental design showed that a 100 nm mask would print a wafer at 35 nm CD using the 70% maximum intensity threshold when the wall slope was 0.5° from the vertical. Additionally: 1) a 100 nm increase in absorber thickness decreased the CD by 1.0 nm; 2) every 1.0 um increase in gap decreased the CD 0.8 nm; and 3) every 1.0 nm increase in mask CD increased the linewidth only 0.1 nm. Other mask processing materials were examined in addition to the 180° (π) phase-shift absorber thickness. Experimental verifications of the modeling results are in progress to demonstrate device construction for devices with lower wafer coverage than would be required for memory devices.
Nanofabrication with water-dissolvable polymer masks of polyvinyl alcohol (PVA): MxL
Author(s):
Charles D. Schaper
Show Abstract
The paper describes the use of water-dissolvable masks, formed from a polyvinyl alcohol film forming solution, for high-resolution pattern definition and materials-transfer printing. The approach replicates surface patterns as water-soluble polymer masks (templates) by spin-casting the film-forming solution onto a master pattern. The water-soluble mask is coupled to a substrate by polymer adhesion to form a solid two-layer structure. Water is used to dissolve the mask layer to uncover the formed pattern in the adhesive layer, thereby providing a new release mechanism for contact-based methods of pattern formation. Moreover, the patterned polymer adhesion transfer process enables a large-area, conformable, single-use template addressed towards meeting registration and defect control challenges in contact printing. The process further incorporates the capability to replicate with loaded nanostructured materials to form a composite of nanoparticles in a soluble polymeric matrix with a patterned surface. The embedded particles are accessible at the surface of the template and thereby are concurrently transferred to the substrate through the polymer adhesion process and subsequently released from the soluble template after water-dissolution in a structured manner. The paper also describes applications of PVA in forming polymer masks as (a) suspended thin-film templates, (b) imprinting templates for repeated use, and (c) as templates for nanoparticle formation by collimated deposition. Polyvinyl alcohol thus provides an additional material for consideration as a mask (template) for nanofabrication, and would be an alternative to quartz, silicon, and polydimethylsiloxane (PDMS) in that regard. The class of printing techniques using PVA as a mask material is referred to as molecular transfer lithography (MxL).
Combined nanoimprint and photolithography technique with a hybrid mold
Author(s):
Xing Cheng;
Meng-Han Chang;
L. Jay Guo
Show Abstract
Nanoimprint lithography (NIL) has been successfully employed in nanoscale patterning, however, it is known to have limitations in replicating large scale (hundreds of microns and larger) and nanoscale patterns simultaneously. We present a new lithographic technique that integrates photolithography into the NIL patterning process. This technique uses a hybrid mold that has large metal pads embedded in a transparent NIL mold. Such a hybrid mold allows both large and nanoscale patterns to be replicated in one step by a combination of imprinting and photolithography. In addition, this new technique offers the advantages of simplifying residual layer distribution and avoiding NIL failures resulting from insufficient polymer flow, and can also eliminate the oxygen plasmon etching process used in NIL to remove the residual polymers.
Mesoscale modeling for SFIL simulating polymerization kinetics and densification
Author(s):
Ryan L. Burns;
Stephen C. Johnson;
Gerard M. Schmid;
Eui K. Kim;
Michael D. Dickey;
Jason Meiring;
Sean D. Burns;
Nicholas A. Stacey;
C. Grant Willson;
Diana Convey;
Yi Wei;
Peter Fejes;
Kathleen A. Gehoski;
David P. Mancini;
Kevin J. Nordquist;
William J. Dauksher;
Douglas J. Resnick
Show Abstract
Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles.
This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.
Microsystems manufacturing via embossing of photodefinable thermally sacrificial materials
Author(s):
Celesta E. White;
Travis Anderson;
Clifford L. Henderson;
Harry D. Rowland;
William P. King
Show Abstract
Substantial recent interest in microelectronics manufacturing has motivated significant work on
non-traditional processes such as embossing-based lithography. This work has been generally
limited to manufacturing conventional microelectronics, producing two dimensional patterned
surfaces and structures. To date, little work has been done to produce microelectromechanical
systems (MEMS), which can require production of complex three-dimensional and possibly free
standing structures.
This paper reports a novel method for manufacturing three-dimensional microstructures that can
be freely standing and/or fully released. The method involves the use of thermally sacrificial
polymers, i.e. materials that can be cleanly decomposed to gaseous products upon heating at
elevated temperatures. Such sacrificial polymers can be directly embossed and subsequently
overcoated with a variety of materials including other polymers, dielectrics, semiconductors, and
metals. Following the deposition of the overcoat layer, further processing can be performed on
the overcoat layer (e.g. selective etching or deposition of additional materials). Finally, the entire
structure is heated to the decomposition temperature of the sacrificial polymer which results in
the “dry” removal of the sacrificial layer, thus releasing the desired structures. The various
sacrificial materials that have been investigated are polynorbornenes and polycarbonates, and the
overlayer materials include polyimides, silicon oxide, and metals. This paper discusses the
various properties of these sacrificial materials, the printing and processing conditions for these
materials, and the use of this method for the fabrication of a MEMS based microfluidic system
with free standing and suspended obstructions.
This novel manufacturing technique meets the needs of MEMS manufacturing in that it can
produce three dimensional and free standing microstructures. It permits the fabrication of devices
and systems in only a few process steps that would otherwise be either substantially more
complicated or impossible to achieve. This process of coating, embossing, and overcoating can
also be repeated to build-up complex multi-layered structures.
Sub-80-nm contact hole patterning using Step and Flash Imprint Lithography
Author(s):
David P. Mancini;
Ngoc Le;
Kathleen A. Gehoski;
Steven Young;
William J. Dauksher;
Kevin J. Nordquist;
Douglas J. Resnick
Show Abstract
Recently, the International Roadmap for Semiconductors (ITRS) has included imprint lithography on its roadmap, to be ready for production use in 2013 at the 32 nm node. Step and Flash Imprint Lithography (S-FILTM) is one of the promising new methods of imprint lithography being actively developed. Since S-FIL is a 1X printing technique, fabrication of templates is especially critical. S-FIL has previously demonstrated the ability to reliably print high resolution line/space and contact hole features into a silicon-rich etch barrier material. Beyond printing with S-FIL however, there is the requirement to develop low or zero bias, high selectivity dry etch processes needed to transfer printed images into the substrate. In this study, the feasibility and methodology of imprinting sub-80 nm contacts, and pattern transferring this image into an underlying oxide layer is demonstrated. Critical parameters such as e-beam dose and etch biases associated with template pillar fabrication, and biases associated with pattern transfer processes for sub-80 nm 1:1 and 1:2 pitch contacts are discussed. Wafer imprinting was done on 200 mm wafers using Molecular Imprints Inc., Imprio 100TM system.
Particle-cluster tin target for a high-conversion efficiency LPP source for EUVL
Author(s):
Toshihisa Tomie;
Tatsuya Aota;
Jing Quan Lin;
Yoshifumi Ueno;
Hidehiko Yashiro;
Noriaki Kandaka;
Hiroki Moriwaki;
Gohta Niimi;
Isao Matsushima;
Kentaro Nishigori
Show Abstract
Particle-cluster tin target is presented as the solution of a 100W EUV source for EUVL. Theory for maximizing conversion efficiency of a laser-produced plasma is derived and the theory is experimentally confirmed by using a dispersed SnO2 particles. The EUV intensity 4 times higher than that from a plasma on a solid Sn plate target is observed at the optimized density. The achieved conversion efficiency for dispersed particles is estimated to be as high as 3%/(2π str 2%BW) or higher from the value for a Sn plate of 0.8% measured by using two multilayer mirrors and a calibrated photodiode. Theoretical consideration reveals that larger diameter plasma enables higher EUV power. The particle-cluster can be delivered at multi kHz rep-rate by using water droplet. Experimental confirmation of delivering particles by droplets is also reported.
Development of magnetohydrodynamic computer modeling of gas-discharge EUV sources for microlithography
Author(s):
Bruno S. Bauer;
Roberto C. Mancini;
Volodymyr Makhin;
Ioana Paraschiv;
Andrey Esaulov;
Radu Presura;
Irvin R. Lindemuth;
Peter T. Sheehey;
Bryan J. Rice
Show Abstract
A magnetohydrodynamic (MHD) model of gas discharges has been developed to accelerate the development of compact, intense sources of EUV radiation for microlithography. The model is an MHD numerical simulation with atomic and radiation physics. The plasma evolution is simulated with the MHRDR (Magneto-Hydro-Radiative-Dynamic-Research) 2D, three-temperature, MHD computer code. The MHD results are postrocessed witha code that caluculated the radiation spectrum from Xe ions, including 13.4-nm EUV output, based on a detailed collisional-radiative atomic kinetics model. A variety of gas discharges relevant to microlithography can be modeled with this new tool.
Theoretical simulation of extreme UV radiation source for lithography
Author(s):
Kazumi Fujima;
Katsunobu Nishihara;
Toru Kawamura;
Hiroyuki Furukawa;
Takashi Kagawa;
Fumihiro Koike;
Richard More;
Masakatsu Murakami;
Takeshi Nishikawa;
Akira Sasaki;
Atsushi Sunahara;
Vasillii Zhakhovskii;
Takashi Fujimoto;
Hajime Tanuma
Show Abstract
A possible design window for extreme ultraviolet (EUV) radiation source has been introduced, which is needed for
its realistic use for next generation lithography. For this goal, we have prepared a set of numerical simulation codes to
estimate the conversion efficiency from laser energy to radiation energy with a wavelength of 13.5 nm with 2 %
bandwidth, which includes atomic structure, opacity and emissibity and hydro dynamics codes. The simulation explains
well the observed conversion efficiency dependence of incident power using GEKKO XII laser system as well as spectral
shapes. It is found that the conversion efficiency into 13.5 nm at 2% bandwidth has its maximum of a few percent at the
laser intensity 1-2 x 1011 W/cm2.
Simulation and optimization of DPP hydrodynamics and radiation transport for EUV lithography devices
Author(s):
Ahmed Hassanein;
Valeryi Sizyuk;
Vladimir Tolkach;
Vitali Morozov;
T. Sizyuk;
Bryan J. Rice;
Vivek Bakshi
Show Abstract
Discharge produced plasma (DPP) devices are being used as a light source for Extreme Ultraviolet (EUV) Lithography. A key challenge for DPP is achieving sufficient brightness to support the throughput requirements of exposure tools for high-volume manufacturing lithography. An integrated model is being developed to simulate the environment of the EUV source and optimize the output of the source. The model describes the hydrodynamic and optical processes that occur in DPP devices. It takes into account plasma evolution and magnetohydrodynamic processes as well as detailed photon radiation transport. The total variation diminishing scheme in the Lax-Friedrich formulation for the description of magnetic compression and diffusion in a cylindrical geometry is used. Several models are being developed for opacity calculations: a collisional radiation equilibrium model, a self-consistent field model with Auger processes, and a non-stationary kinetic model. Radiation transport for both continuum and lines with detailed spectral profiles is taken into account. The developed models are being integrated into the HEIGHTS-EUV computer simulation package. Preliminary results of a numerical simulation of xenon gas hydrodynamics and EUV radiation output are presented for various plasma conditions.
Compact laser-induced EUV source for metrology
Author(s):
Klaus Mann;
F. Barkusky;
Stefan Doering;
Sebastian Kranzusch;
A. Meyer;
Christian Peth
Show Abstract
At Laser-Laboratorium Goettingen different types of laser-plasma EUV sources
based on gas and cluster targets were tested to optimize the spatially resolved EUV
radiation with respect to maximum EUV intensities, small source diameters, and
pointing stability. The EUV radiation is generated by focusing a Q-switched Nd:YAG
laser at 1064nm into a pulsed gas puff target. By the use of different target gases,
broad-band as well as narrow-band EUV radiation is obtained, respectively. The
influence of the laser and target gas parameters on the plasma shape and EUV intensity
was investigated by the help of specially designed EUV pinhole cameras, utilizing
evaluation algorithms developed for standardized laser beam characterization. The
properties of the gas jet determine crucial parameters of the source. A directed gas
jet in vacuum with a high number density is needed for an optimal performance of
the source. Therefore, conical nozzles with different cone angles were drilled with an
excimer laser to produce a supersonic gas jet. The influence of the nozzle geometry
on the gas jet was analyzed with a Hartmann-Shack wavefront senor, the first time to
our knowledge. The deformation of a planar wavefront after passing the gas jet was
analyzed with this sensor, out of which the gas density distribution was reconstructed.
Thus, the gas jet was optimized resulting in an increase of EUV emission by a factor
of two and decreasing the plasma size at the same time.
Debris-free low-cost commercial EUV source for at-wavelength metrology
Author(s):
Andre Egbert;
Boris Tkachenko;
Stefan Becker;
Boris N. Chichkov
Show Abstract
A commercial extreme ultraviolet (EUV) source for at-wavelength metrology is developed. The source concept is based
on the transfer of advanced microfocus x-ray tube technology into the EUV spectral range. This concept allows the
realization of a compact, debris-free, and long-term stable EUV source. In the EUV tube, silicon targets are used to
generate radiation at 13.5 nm. Detailed characteristics of the source performance are reported and different applications
of the source in the field of at-wavelength metrology are presented.
EUV resist imaging below 50 nm using coherent spatial filtering techniques
Author(s):
Michael D. Shumway;
Eric L. Snow;
Kenneth A. Goldberg;
Patrick Naulleau;
Heidi Cao;
Manish Chandhok;
James Alexander Liddle;
Erik H. Anderson;
Jeffrey Bokor
Show Abstract
Lithography results using spatially-filtered coherent EUV radiation are presented. These experiments were done using a new 10× Schwarzschild optic and other significant upgrades for high stability and throughput of the system. Included are both single- and multiple-pitch images. A chemically-amplified EUV resist is shown performing at dense 50-nm linewidths and loose 25-nm features. High resolution polymers (HSQ and PMMA) were also tested and demonstrate dense 40-nm linewidths, which are the smallest 1:1 multi-pitch features attempted at this time.
Total performance of Nikon EB stepper R&D tool
Author(s):
Tomoharu Fujiwara;
Noriyuki Hirayanagi;
Jin Udagawa;
Junji Ikeda;
Sumito Shimizu;
Hidekazu Takekoshi;
Kazuaki Suzuki
Show Abstract
The development of Electron Projection Lithography (EPL) has proceeded for more than 10 years since its first description. EPL is regarded as a practical technology for 65 nm technology node and below. Nikon has been developing an EPL tool, named as the EB stepper. NSR-EB1A is the first EPL tool that has full functions for practical R&D use such as dynamic exposure by combination of electron beam deflection and stage scanning, wafer alignment, and so on. Some features of the EB stepper, which uses a 100 kV electron beam, are high resolution, and a large process margin associated with large depth of focus (DOF). Large DOF is a major feature of electron beam lithography.
In the previous paper, we reported data of dynamic resolution and subfield stitching accuracy as preliminary performances that were obtained by NSR-EB1A. Recently the development of EPL reticle is significantly progressed. Today, high quality 200 mm diameter EPL reticle is available from plural mask suppliers. Using 200mm EPL reticle, we achieved subfield stitching accuracy about 20nm (3s). And we also evaluated total performance such as CD uniformity, overlay accuracy. This paper reports the latest performance of NSR-EB1A.
Preliminary results of EB stepper in the application of 65-nm process
Author(s):
Hiroshi Takenaka;
Kaoru Koike;
Takahiro Tsuchida;
Fumihiro Koba;
Hiroshi Sakaue;
Masaki Yamabe
Show Abstract
Electron projection lithography (EPL) is a promising candidate for next-generation lithography (NGL) at the 65 nm technology node and beyond. Nikon has developed the world's first full-field EPL exposure tool, Nikon's NSR-EB1A. This tool was shipped to Selete in June 2003. Final installation is still in progress, but we have begun evaluating its applicability to the 65 nm technology node through trial fabrication of a test element group (TEG). A TEG of via-hole chains consisting of 1st metal, 1st via, and 2nd metal layers was fabricated using optical/EPL mix-and-match lithography.
We applied EPL to the via layer. The purpose of the first fabrication is to clarify practical hole resolution of the EPL tool because EPL is expected to define finer hole patterns and enable denser integration than optical lithography. To prevent defects in metal layers from adversely affecting evaluation, we used moderate pattern layouts in metal layers. Metal layers were defined by an ArF scanner to obtain good pattern fidelity and sufficient pattern yield. We used a single damascene process with a low-k insulator and Cu interconnection. Practical hole resolution was evaluated by electrical measurement and SEM and TEM observation. SEM confirmed that via holes of 70 nm were resolved. TEM confirmed that via-hole chains of 80 nm were fabricated. Electrical measurement confirmed electrical conduction through via-hole chains of 75 nm. These results suggest that applying EPL to hole layers could realize denser integration than optical lithography. EPL application to TEG trial fabrication demonstrates its high-resolution capability in practical use.
Performance and stability of electron projection lithography tool
Author(s):
Hiroshi Sakaue;
Kaoru Koike;
Hiroshi Takenaka;
Takahiro Tsuchida;
Fumihiro Koba;
Masaki Yamabe
Show Abstract
The world’s first electron projection lithography (EPL) R&D exposure tool was installed at our laboratory in June 2003, and we have evaluated its basic performance. The most feasible introduction of EPL into ultra-large-scale integration (ULSI) is mix-and-match use with an optical tool for critical layers at the 65 nm technology node (TN) and beyond. Overlay is the most crucial issue in mix-and-match lithography, so we focused on overlay in this evaluation. We found that the overlay performance of the EPL tool in mix-and-match use is 48.0 nm in the X direction and 45.7nm in the Y direction. To clarify details of deteriorated overlay accuracy, we divided it into 7 factors, finding underlayer distortion to be about 15 nm, residual reticle distortion 5 nm, subfield (SF) distortion 15 nm, main-field (MF) distortion 20 nm, reticle alignment accuracy 15 nm, repeatability 25 nm, and exposure field distortion 25 nm. We also demonstrated that overlay accuracy was 30 nm using previous overlay data.
Design rule of hole-layer for electron projection lithography
Author(s):
Kaoru Koike;
Hiroshi Sakaue;
Hiroshi Takenaka;
Fumihiro Koba;
Takahiro Tsuchida;
Masaki Yamabe
Show Abstract
Electron projection lithography (EPL) is a potential candidate for next-generation lithography (NGL) at the 65 nm technology node and beyond. EPL presents two key issues influencing design, because EPL uses EB and a stencil mask: beam blur and mask image placement (IP). Beam-blur deterioration depends on the Coulomb effect and is proportional to the beam current on the wafer, which depends on pattern density and the beam current on the mask. Pattern density in each subfield (SF) must be limited if the beam current on the mask is decided from throughput. IP accuracy of the stencil mask depends on the pattern layout. Intrinsic stress vanishes at openings, and distorted stress distribution causes IP error. To determine the influence of pattern layout on mask IP accuracy, simulation is checked in two steps. In the first step, simulation calculates the correlation between maximum displacement and pattern density in the entire SF. In the second step, simulation calculates the correlation between the side length of local area L and maximum additional displacement. The result of the first simulation shows that pattern deformation depends on the difference between half of the SF’s patterns density difference. To estimate the influence of pattern density imbalance in an area smaller than half of the SF, additional deformation of local area (L x L) is calculated in the second simulation step. Maximum additional displacement increases with L and pattern density. Based on the correlation between beam blur and pattern density and simulations results, the design rule (DR) for EPL is defined as the maximum pattern density in each entire SF and local area (L x L).
LEEPL production tool: EBPrinter LEEPL-3000
Author(s):
Norihiko Samoto;
Hideaki Takano;
Akihiro Endo;
Akira Yoshida;
Toyoji Fukui
Show Abstract
Low Energy Beam Proximity Projection Lithography (LEEPL) has emerged as a lithographic production tool, named as LEEPL-3000, for a 60nm-node DRAM and MPU. The characteristics of this system are wide exposure field, highly-accurate overlay, deep depth of focus (DOF) and little proximity effect. A scanner or a stepper mono-field is able to be exposed by this system and maximum exposure filed size is 46mm x 46mm exclusively for two-or four-divided complementary masks. The acceleration voltage is 2kV and the exposed current varies up to 20μA. The critical dimension (CD) uniformity, including a mask-pattern deviation, is about 8nm as 3σ at 100-nm line and space patterns in 46mm x 46mm filed. A CD-dose margin for 60-nm isolated lines is over 12% and the focus margin is greater than 20μm. The accuracy (3σ) of machine-itself is less than 14nm and that of machine-to-machine is 20-25nm.
Evaporated resist for the fabrication and replication of LEEPL mask
Author(s):
Melanie Cloutier;
Yousef Awad;
Eric Lavallee;
David Turcotte;
Jacques Beauvais;
Dominique Drouin;
Lau Kien Mun;
Pan Yang;
Pierre Lafrance;
Ron Legario;
Akira Yoshida;
Hiroshi Nozue
Show Abstract
Masks for low energy electron proximity projection lithography (LEEPL) require thin membranes, which in turn make the development of low-distortion masks a critical issue for this technology. By using an evaporated resist, a flip side fabrication process is presented here in which mask patterning is carried out with the mask in the same orientation that it will have in the stepper. This new process reduces distortions of a typical LEEPL mask which usually requires patterning on the opposite side of the membrane causing a gravitational sag effects. In addition, an evaporated resist has significant advantages for mask fabrication as membrane distortion is reduced due to the absence of centrifugal force during the resist deposition process. Uniform heat distribution across the membrane during the etching process is also expected since the membrane can now be placed in direct contact with a cooled metal electrode, thereby improving the etch rate uniformity. Also, for large scale production, several mask replicates from the original mask must be made because they have limited lifetime when used in a stepper. Image placement distortion can be minimized and the yield can be improved in mask replication by using an evaporated resist.
LEEPL (low-energy electron beam proximity-projection lithography) over-lay status
Author(s):
Norifumi S. Nakajima;
Takuji Atarashi;
Hiroyuki Sakai;
Toyoji Fukui;
Hideaki Takano;
Daizo Amano
Show Abstract
The image placement (IP) error correction is one of the advantages for E-beam lithography tool. LEEPL (Low Energy Electron beam Proximity-projection Lithography) 1,2) which is using stencil mask is able to shift the mask patter image by e-beam angle control. To use this unique technique week point of the stencil mask distortion is compensated. The flexibility of LEEPL E-beam IP correction for over lay is evaluated. The LEEPL E-beam IP correction is done by Sub-Deflector beam control. The feature to improve the over lay accuracy is introduced. It is not only for Mask IP error correction but also for Mask distortion by holding, under layer shot distortion and wafer chucking distortion.
First via-hole TEG fabricated by using 90-nm CMOS technology and proximity electron lithography: electric and lithographic results
Author(s):
Tetsuya Kitagawa;
Masaki Yoshizawa;
Kazuya Iwase;
Shinji Omori;
Shoji Nohama;
Hiroyuki Nakano;
Shigeru Moriya;
Hiroichi Kawahira
Show Abstract
Low-energy electron-beam proximity-projection lithography (LEEPL) is considered the best candidate for the next-generation lithography (NGL) tool because a production tool will be available for 65nm-node mass production. Resolution capability has already exceeded the 65nm-node requirement and possibly also the 45nm-node requirement. Although LEEPL requires a resist less than 100nm thick to obtain the resolution, our tri-layer resist process provides the critical-dimension (CD) uniformity and dry-etching resistance necessary for fabricating 90nm-node via holes. As regards an overlay, a LEEPL tool aligned to an under layer printed by an ArF scanner attained 21.3nm (three sigma) overlay error, which exceeds the requirement for the 65nm node. Another concern with LEEPL application is mask contamination growth during exposure, however the contamination growth rate is gradual that the CD shift due to the contamination is under control. We applied LEEPL to 90nm-node via hole fabrication to examine whether it provides a higher resolution than an ArF scanner. We determined that the electrical-resistance limit for LEEPL is approximately 100nm diameter for a via hole and the limit for an ArF scanner is approximately 125nm diameter. Even without process optimization, LEEPL showed its advantages for via-hole fabrication over an ArF scanner.
Near-field x-ray lithography to 15 nm
Author(s):
Antony J. Bourdillon;
Gwyn P. Williams;
Yuli Vladimirsky;
Chris B. Boothroyd
Show Abstract
It is time to revisit X-ray. By enhancing, in the Near Field, Proximity X-ray Lithography (PXL), the technique is demonstrated that extends to 15nm printed feature size with 2:1 ratio of pitch to line width. "Demagnification by bias" of clear mask features is positively used in Fresnel diffraction together with rapid, multiple exposures of sharp peaks. Pitch is kep small by multiple, stepped exposures of the intense image followed by single development. The optical field is kept compact at the mask. Since the mask-wafer gap scales as the awaure of the mask feature size, mask feature sizes and mask-wafer gaps are comparatively large. A Critical Condition has been identified which is typically used for the highest resolution. Many devices, including batches of microprocessors, have been demonstrated previously by traditional 1X PXL which is the most mature of the Next Generation Lithographies and which is now further extended. Throughput and cost are conventional.
Shrink assist film for enhanced resolution (SAFIER) process for printing of 20-nm trench with high aspect ratio
Author(s):
XiaoMin Yang;
Harold Gentile
Show Abstract
SAFIERTM process has been applied to electron beam lithography for the fabrication of the write pole for thin film heads (TFH). The SAFIER process is a physical shrinkage process designed to shrink trench patterns and contact holes with very little deterioration of the profile. This process also improves line edge roughness (LER). In this paper, we will present the experimental results about our evaluation of the SAFIER process for write pole process. To understand the SAFIER process, our evaluation will focus on the following key processing issues: 1) SAFIER resolution capability; 2) repetition of the SAFIER process; 3) process optimization for the minimum CD variation; 4) resist sidewall profile; and 5) LER with the SAFIER process. We demonstrate the capability of printing narrow write pole trench patterns with a critical dimension (CD) of 20 nm in 0.30 μm resist (aspect ratio=15:1) and a CD of 30 nm in 0.60 μm resist (aspect ratio=20:1) using the electron beam SAFIER process.
Validation of lithography based on the controlled movement of light-emitting particles
Author(s):
Benjamin Yong Park;
Rabih Zaouk;
Marc Madou
Show Abstract
A novel particle-based lithography is proposed. In this approach a particle moving in a liquid in contact with a light-sensitive
substrate creates traces on that substrate (for example on a photoresist or on a photographic film). The light-emitting particle causes photochemical/photoelectric changes in the light-sensitive substrate, creating a latent image. A
group of these particles can be used to write many features on the same substrate in a parallel manner. We investigate
the use of electrokinetic forces to move the particles over the light-sensitive substrate. We also report on the use of
high-aspect-ratio carbon MEMS (C-MEMS) electrodes as 3D dielectrophoretic traps for the light-emitting particles and
investigate the feasibility of using these carbon electrodes to manipulate the light-emitting particles to trace sub-micron
patterns on a light-sensitive surface. We propose two types of particle-based lithography schemes and discuss
applicable scaling laws. Feasibility experiments were carried out using microscale devices.
Collimated laser-plasma lithography (CPL) for 90-nm and smaller contacts and vias
Author(s):
Richard Forber;
Celestino Gaeta;
Heinz Siegert;
Scott McLeod;
Brent Edward Boerger
Show Abstract
Collimated laser-Plasma Lithography (CPL) offers potential to match Next Generation Lithography (NGL) needs, ending a pursuit of ever-larger lens NA and ever-smaller k1 process resolution factor. Powered by a laser-produced plasma (LPP) source at 1nm, it capitalizes on mature development of x-ray lithography, which is the only NGL that has produced working chips. JMAR is upgrading its CPL system to increase overall throughput (system power) and is focusing on solving a known industry problem for which CPL presents an advantage: printing sub-90nm contacts in memory chips.
The paper will discuss CPL system characteristics and performance. Supporting information on the upgrades to the laser and x-ray generator will be included. Specific resists and mask techniques and the roadmap leading to multi-generational support capability down to the 45nm node will be described.
Manufacturing concerns for advanced CMOS circuit realization EBDW alternative solution for cost and cycle time reductions
Author(s):
Laurent Pain;
M. Jurdit;
Yves T. LaPlanche;
J. Todeschini;
Serdar Manakli;
G. Bervin;
Ramiro Palla;
A. Beverina;
R. Faure;
X. Bossy;
H. Leininger;
S. Tourniol;
M. Broekaart;
F. Judong;
K. Brosselin;
P. Gouraud;
Veronique De Jonghe;
Daniel Henry;
M. Woo;
Peter Stolk;
B. Tavel;
F. Arnaud
Show Abstract
The introduction of Electron Beam Direct Write lithography into production represents a
challenging alternative to reduce cost and cycle time increase induced by the introduction of new
generation nodes. This paper details the development work performed to insert transparently direct
write lithography process and alignment strategies into CMOS process flows. Finally, this
interchangeability between E-Beam and optical lithography steps offers a complete flexibility for
device architecture validation and allowed the development of a complete low cost 65nm platform
including low-power and general-purpose applications.
Projection maskless lithography
Author(s):
Christoph Brandstatter;
Hans Loeschner;
Gerhard Stengl;
Gertraud Lammer;
Herbert Buschbeck;
Elmar Platzgummer;
Hans-Joachim Doring;
Thomas Elster;
Olaf Fortagne
Show Abstract
Recent studies have shown the feasibility of Projection Mask-Less Lithography (PML2) for small and medium volume device production (2-5 WPH) for the 45nm technology node. This PML2 tool concept comprises a combined electrostatic-magnetic electron optical column with 200x de-magnification factor. Instead of a mask there is a programmable aperture plate enabling dynamic beam structuring. Wafer exposure is done stripe-by-stripe with a scanning 300mm wafer stage. Detailed calculations of the PML2 optical column (2-step demagnification) including Monte-Carlo simulations of Coulomb interactions are presented. The extendibility of PML2 technology for the 32nm node will be discussed.
Advanced low-complexity compression for maskless lithography data
Author(s):
Vito Dai;
Avideh Zakhor
Show Abstract
A direct-write maskless lithography system using 25nm for 50nm feature sizes requires data rates of about 10 Tb/s to maintain a throughput of one wafer per minute per layer achieved by today’s optical lithography systems. In a previous paper, we presented an architecture that achieves this data rate contingent on 25 to 1 compression of lithography data, and on implementation of a real-time decompressor fabricated on the same chip as a massively parallel array of lithography writers for 50 nm feature sizes. A number of compression techniques, including JBIG, ZIP, the novel 2D-LZ, and BZIP2 were demonstrated to achieve sufficiently high compression ratios on lithography data to make the architecture feasible, although no single technique could achieve this for all test layouts. In this paper we present a novel lossless compression algorithm called Context Copy Combinatorial Code (C4) specifically tailored for lithography data. It successfully combines the advantages of context-based modeling in JBIG and copying in ZIP to achieve higher compression ratios across all test layouts. As part of C4, we have developed a low-complexity binary entropy coding technique called combinatorial coding which is simultaneously as efficient as arithmetic coding and as fast as Huffman coding. Compression results show C4 outperforms JBIG, ZIP, BZIP2, and 2D-LZ, and achieves lossless compression ratios greater than 22 for binary layout image data, and greater than 14 for grey-pixel image data. The tradeoff between decoder buffer size, which directly affects implementation complexity and compression ratio is examined. For the same buffer size, C4 achieves higher compression than LZ77, ZIP, and BZIP2.
Mixed-signal data interface for maskless lithography
Author(s):
Benjamin Warlick;
Borivoje Nikolic
Show Abstract
A future maskless lithography system that replaces traditional masks with an array of electro-mechanical mirrors relies on a very high rate data interface to achieve the wafer throughputs comparable to today's optical lithography systems. In order to write one layer per minute in 45nm technology node, a throughput of 12Tb/s using 5-bit grayscale data is needed. With EUV light source flash rates limite to below 10kHz, 240 million 1μm x 1μm micromirrors have to be integrated on the writer chip, each driven with 32 possible voltage levels.
This paper explores the system design for various wafer throughputs, with or without data compression. In particular, the design tradeoffs for the mirror interface datapath, implemented on the same silicon die with the writers are discussed. The design of the digita-to-analog converters (DACs) that compensate for the nonlinearity of the mirror transfer function and fit into the required datapath pitch is presented. Extrapolated data from the designs in 0.13μm CMOS technology indicate that DACs will likely limit the throughput to about 30 wafers per hour in 45nm node.
Maskless lithography using drop-on-demand inkjet printing method
Author(s):
Yan Wang;
Jeffrey Bokor;
Arthur Lee
Show Abstract
Writing process patterns directly on a substrate with small liquid droplets generated by Drop-On-Demand (DOD) inkjet devices offers a low cost, non-contact, low temperature, flexible, and data driven patterning approach. Existing inkjet printheads have a limited number of inkjet devices, which generate droplets on the scale of tens of microns. In our research, we have developed a monolithic inkjet printhead for maskless lithography based on silicon micro-machining technology. This printhead is composed of a large array of thermal bubble inkjet devices fabricated on a single silicon wafer. A high-resolution video imaging system has recorded stable generation of water droplets down to 3.5 micron. Au patterns as small as 8 micron have been formed on silicon substrates by printing with suspensions of Au nano-particles. Smaller droplet dimensions extending to the nano-scale is supported by theoretical analysis. This printhead technology can form the basis of a maskless lithography system with moderate throughput for building micro and nano-scale electronic circuits and MEMS devices.
ESH assessment of advanced lithography materials and processes
Author(s):
Walter F. Worth;
Ram Mallela
Show Abstract
The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.
Origins of debris and mitigation through a secondary RF plasma system for discharge-produced EUV sources
Author(s):
Ernesto Vargas Lopez;
Brian E. Jurczyk;
Michael A. Jaworski;
Martin J. Neumann;
David N. Ruzic
Show Abstract
RF plasma based mitigation has been studied as an improved debris mitigation scheme for extreme ultraviolet (EUV) sources. The RF plasma ionizes sputtered neutral debris and, when used in conjunction with a collimator (also known as a foil trap), inhibits that debris from reaching the collector optics. An ionization fraction of 61±3% has been measured. In addition, increased scattering of the ion component of the debris has led to a decrease in erosive flux reaching the diagnostics. Results from in-situ high-precision quartz crystal oscillators, ex-situ surface characterization (Auger, XPS), and secondary plasma characterization is presented for a series of mitigation schemes, including a foil trap in conjunction with the RF plasma.
Scaling studies of capping layer oxidation by water exposure with EUV radiation and electrons
Author(s):
W. Miles Clift;
Leonard Elliott Klebanoff;
Charles Tarrio;
Steven Grantham;
Obert R. Wood II;
Stefan Wurm;
Nora V. Edwards
Show Abstract
Silicon capped [Mo/Si] multilayer mirrors (MLM’s) can undergo oxidation by the combined effects of radiation (Extreme Ultraviolet [EUV], electron) and water vapor. This parametric study provides silicon-capped MLM oxidation rate data. The goal of this study was to determine the dependence of silicon oxidation on water vapor pressure and radiation flux density over three orders of magnitude. Previous work1 has shown that electron and 95.3 eV EUV exposures produce similar oxidation. The present study verifies that correlation and examines the effects of EUV and electron flux on the oxidation rate of the Si-capping layer. E-beam and EUV exposed areas on silicon-capped MLM samples were analyzed following radiation exposure by Auger depth profiling to determine the thickness of the oxide grown. A ruthenium (Ru) capped MLM was also exposed for 4-hours, however it showed very little oxidation under the most extreme conditions of our test matrix. Also the effect of varying the primary e-beam voltage (0.5-2.0 keV) on Si-capped MLM was examined, which showed that exposures in the 1-2 keV range produce similar results.
Modeling carbon contamination of extreme ultraviolet (EUV) optics
Author(s):
Jeromy Todd Hollenshead;
Leonard Elliott Klebanoff
Show Abstract
A mathematical model is used to describe the processes that contribute to the deposition of a carbon film on EUV multilayer optics when the optic is exposed to EUV radiation in the presence of residual hydrocarbon gases. The key physical and chemical processes taken into account within the model include the transport of residual hydrocarbons to the irradiated area, molecular diffusion across the optic surface, and the subsequent dissociation or "cracking" of the hydrocarbon by both direct EUV ionization and secondary electron excitation. The dissociated hydrocarbons are reactive and form a carbonaceous film that reduces the reflectivity of the optic and the overall throughput of the EUV lithographic system. The model, which provides estimates of hydrocarbon film growth under various conditions of hydrocarbon partial pressures and EUV power, is validated by predicting the carbon thickness associated with a series of EUV exposures performed in the laboratory. The model is then used to evaluate the effects of hydrocarbon partial pressure, EUV power, hydrocarbon mass, and temperature on the growth of the carbonaceous contaminate layer.
Image optimization for maskless lithography
Author(s):
Yashesh A. Shroff;
Yijian Chen;
William G. Oldham
Show Abstract
This paper discusses image optimization challenges posed by a mirror based pattern generation scheme. We address defocus related image drift encountered with mirror based maskless lithography. While off-grid contacts printed with piston mirrors are most severely affected most other features can be printed with minimum loss of telecentricity. A novel double-piston mirror architecture based on a combination of tilting and piston mirrors is introduced. It operates as a pseudo-tilt mirror but also has the advantage of allowing strong phase-edges due to pure-phase wavefront modulation. Exposure latitude versus depth-of-focus process window curves of typical features show that the new mirror design behaves as well as tilting mirror. An image optimization algorithm is presented that iteratively updates the mirror array phase-map to optimally print dense layout, accounting for inter and intra feature proximity effects.
Evaluation of resist outgassing by EUV irradiation
Author(s):
Hideo Hada;
Takeo Watanabe;
Kazuhiko Hamamoto;
Hiroo Kinoshita;
Hiroshi Komano
Show Abstract
Extreme ultraviolet (EUV) lithography requires a vacuum environment for exposure. Therefore the understanding of the outgassing hydrocarbon ion species of the photoresist becomes important. Contamination due to the hydrocarbons affects the optics of the EUV tool such as the reflectivity of the mask and the imaging mirror.
We discuss here of the outgassing dependence of resist polymer structure and solvent type under the EUV irradiation. The resist components require photochemical stability and low evaporating species for EUV lithography.
The Methacryl backboned polymer has indicated larger outgassing value rather than PHS backboned polymer, which due to the quaternary carbon induced de-polymerization reaction. The main reaction is seemed to be due to the ester structure decomposed reaction. The selection of the basic polymer structure, ester ratio in the backbone and protecting group are very important for a low outgassing resist design.
Our results show resist which contain PGME, MAK, and MMP as the solvent, have lower outgassing characteristics under the EUV irradiation. This characteristic is own to the low residual solvent content in resist film prior to the EUV irradiation. As for results, the high annealing type CA resist based on the PHS polymer and PGME solvent have the lowest outgassing characteristics under the EUV irradiation.
Resist outgassing in electron projection lithography
Author(s):
Tasuku Matsumiya;
Tomoyuki Ando;
Masaaki Yoshida;
Kiyoshi Ishikawa;
Sumito Shimizu
Show Abstract
The Electron Projection Lithography (EPL) has already presented high resolution capabilities and been developed as one of the candidates of post optical lithography. However, much discussion has not been made for resist chemistry, especially on outgassing during exposure, regardless of utilizing high acceleration voltage and applying vacuum system. Moreover, two types of resist system, positive and negative tones, are required for a complete device manufacturing due to its stencil mask structure. Both resist tones with chemically amplified system were experimentally formulated to examine the partial and total pressure changes after exposure. The mass number of outgassing species was also measured in vacuum. The positive tone resist sample indicated many peaks at high mass numbers, in contrary to that negative tone resist sample showed strong peaks at low mass numbers. In addition, it was found that there was a clear trend between the total exposure doses and the total pressure changes in a certain positive-tone resist formulation. The fact may suggest the necessity of high sensitivity resists for EPL from the different standpoint of high throughput in mass production. The dependency of resist base polymer backbone was also examined under an accelerated exposure condition. The resist comprising of methacrylate base polymer indicated high amount of outgassing than that of poly(hydroxystyrene) (PHS) base polymer, with the same resist formulation. The polymer decomposition other than deprotection was considered since the exposure energy in EPL was much greater than that of optical lithography. We developed a new resist adopting the low outgassing concepts such as high sensitivity, non-methacrylate part, and low protecting ratio. The resist presented 56nm 1:2 contact resolution with resist sensitivity of 5.7μC/cm2.
Illinois Debris-Mitigation EUV Applications Laboratory (IDEAL)
Author(s):
Brian E. Jurczyk;
Ernesto Vargas Lopez;
Martin J. Neumann;
David N. Ruzic
Show Abstract
Gaseous discharge light sources are leading candidates for generating 13.5 nm wavelengths needed for next-generation optical lithography. Electrode debris reaching the first collector optic is a serious concern for device lifetime and cost of ownership. This paper describes the experimental setup and initial data obtained for testing secondary-plasma-based debris mitigation for EUV gas discharge light sources. Operation of a dense plasma focus, secondary RF debris mitigation system, and several in-situ diagnostics were successfully tested, achieving first measurements for debris attenuation. It was also found that fast ion and fast neutral particle erosion processes at the optical mirror location dominate over deposition of sputtered metal if a collimator or “foil trap” is positioned between the hot pinch plasma and the first collector optic.
The erosion of materials exposed to a laser-pulsed-plasma (LPP) extreme ultraviolet (EUV) illumination source
Author(s):
Richard J. Anderson;
Dean A. Buchenauer;
Leonard Elliott Klebanoff;
Obert R. Wood II;
Nora V. Edwards
Show Abstract
A critical issue in the realization of EUV lithography (EUVL) as a production technology is the lifetime of the condenser, the optic in closest proximity to any compact, high-power EUV source. During operation of the Engineering Test Stand (ETS), a full-field, high-power EUVL alpha tool, the silicon/molybdenum multilayer mirrors used as a condenser were eroded by extended exposure to the LPP source. The erosion rate varied considerably, and diagnostic instrumentation on the ETS was not intended to address this issue, so the cause of this erosion was not determined at the time. We present here the results of experiments in which samples of gold, molybdenum, and silicon were exposed to an LPP using a liquid xenon jet as the target. The measured erosion rates suggest a sputtering mechanism. Observations of the plasma environment at the condenser position show the presence of fast ions, which, if they are xenon, have kinetic energies of tens of keV. Such ions would contribute significantly to condenser erosion.
EPL data conversion system
Author(s):
Masahiro Shoji;
Nobuyasu Horiuchi
Show Abstract
PATACON-EPL is a software product for converting semiconductor mask CAD data to the EB data of masks for EB steppers. This software has several functions, such as pattern placement corresponding to the structure of masks for EB steppers, complementary division for stencil masks, stitching for deforming the shape of a portion for connecting divided portions, proximity effect correction, machine control data generation, mask inspection data generation, and alignment mark insertion. This software operates in the environment in which several to some hundreds of Linux PC's are connected by a high-speed network.
200-mm EPL stencil mask fabrication and metrology at DNP: IP and CD accuracy within subfield
Author(s):
Tadahiko Takikawa;
Mikio Ishikawa;
Satoshi Yusa;
Yoshinori Kinase;
Hiroshi Fujita;
Morihisa Hoga;
Naoya Hayashi;
Hisatake Sano
Show Abstract
Electron beam projection lithography (EPL) has been developed for application to 65 nm node devices and beyond. 200-mm EPL masks have also been developed keeping pace with the exposure tool. Image placement (IP) accuracy is a necessary quality assurance item to bring masks into production. A suspension type electrostatic chuck designed for EPL mask measurement for an IP metrology tool Leica LMS IPRO was prepared for measurement of local IP errors, defined for each subfiled. The chuck holds the mask on its membrane-side surface right side up. Three 200-mm stencil masks with tensile membrane stresses of 8, 18, and 43 MPa were fabricated. The IP error is found to increase as the stress increases. Marks in the area of a high pattern density with a void fraction of 0.2 moved toward the area of a low pattern density with a void fraction of 0.016. The IP errors did not strongly depend on the kinds of dummy patterns (either hole or L&S) having the same void fraction of 0.25 and macroscopic uniformity. If the stress is less than 10 MPa, the IP error (3 sigma) is less than 10 nm, satisfying the EPL mask requirement. Local CD accuracy was also evaluated for a mask with a membrane stress of 8 MPa.
Lithographic characterization of EUVL mask blank defects
Author(s):
Takeo Hashimoto;
Hiromasa Yamanashi;
Minoru Sugawara;
Iwao Nishiyama
Show Abstract
The strong smoothing effect resulting from recent progress in multilayer deposition technology has a great influence on the imaging characteristics of mask blank defects. The imaging characteristics of such defects were investigated through accurate simulations employing the FDTD method; and the effect of multilayer smoothing on the aerial image was examined. Strong smoothing was found to suppress the degradation in the aerial image due to phase defects while at the same time giving rise to phase defects that are undetectable iwth a visible-light inspection tool. 3-dimensional simulations also indicated the existence of such defects. Moreover, the aerial image of phase defects after repair with an electron beam was also investigated. Repair was found to be effective when there was no smoothing, but not so effective when there was strong smoothing. Experimental verification of these results will be attempted in the near future.
Aerial image characterization for the defects in the extreme ultraviolet mask
Author(s):
Myoung-Sul Yoo;
Seung-Wook Park;
Jong-Hoi Kim;
Yeong-Keun Kwon;
Hye-Keun Oh
Show Abstract
Simulation has been used to predict the aerial images for masks with defect free multilayer and with defect in multilayer. Mask defects are easily produced in extreme ultraviolet lithography mask fabrication process because 40 Mo/Si multilayer films are stacked and each stack is made from 2 to 4 nm. In this case, multilayer can be stacked with defects and with slightly different heights. It is hard to achieve an aerial image which we want to get. This paper discusses various image properties when there are no defects and when there are different kinds of defects on multilayer. The results were calculated by using SOLID-EUV of Simga-C. The aerial images caused by defects on the multilayer are characterized.
EUV absorbance and dry-etching characteristics of TaGeN films for EUVL mask absorber
Author(s):
Yuusuke Tanaka;
Dongwan Kim;
Hiromasa Yamanashi;
Iwao Nishiyama
Show Abstract
EUV absorbance and dry-etching characteristics of TaGeN film for use as the absorber material in EUVL masks were evaluated. Measurement of the EUV reflectivity of TaGeN on a Mo/Si multilayer revealed the linear absorption coefficient of TaGeN film to be about 35 μm-1 at the wavelength of 13.5 nm. Reflectivity profiles obtained by simulations using this value fit measured profiles for several absorber thicknesses very well. Since the reflectivity of TaGeN on a multilayer is affected by the interference between the EUV light reflected from the absorber surface and that reflected from the multilayer underneath, the mask contrast varies periodically with absorber thickness. To obtain a mask contrast of 100, the TaGeN has to be 66 nm thick, if interference is not taken into account, and 80 nm thick, if it is. TaGeN film was ethced with an ECR plasma etcher using CI2 gas. The etching selectivity was found to be about 1.0 for TaGeN/resist and about 20 for TaGeN/Cr. 275-nm L/S patterns with vertical sidewalls were successfully fabricated in TaGeN. There were no serious problems with the CD control or LER of etched TaGeN patterns.
Simulation of fine structures and defects in EUV etched multilayer masks
Author(s):
Yunfei Deng;
Bruno La Fontaine;
Adam R. Pawloski;
Andrew R. Neureuther
Show Abstract
Rigorous electromagnetic scattering simulation is used to characterize mask diffraction for fine structures of various types of EUVL masks. The Cr/SiO2 absorber mask, the etched multilayer mask and the new refilled multilayer mask are studied for lithography performance for line and space features for 32 nm node. The combined process window of 25 nm ISO line, 50 nm METAL1 line and 30 nm POLY line in a 90 nm pitch, are compared at s of 0.6. The biased Cr/SiO2 absorber masks have 182 nm DOF, while the biased etched binary mask has a higher DOF of 190 nm and the biased etched refilled binary mask has a DOF of 192 nm. The biased Cr/SiO2 absorber masks show twice of CD variation and process window degradation due to variations in sidewall profiles than the etched and refilled multilayer binary masks. The void defect in the reflection region of multilayer structures can be repaired via deposition of transparent materials instead of absorbing materials when patterning the refilled multilayer masks. Simulations show that target CD and process window can be fully restored when the depth and width of repairing materials deposited for repair is optimized.
Enhanced model for the efficient 2D and 3D simulation of defective EUV masks
Author(s):
Peter Evanschitzky;
Andreas Erdmann
Show Abstract
Predictive simulation of EUV (extreme ultraviolet) lithography is important for a better understanding of the technology
because the capabilities for experimental studies are still limited. One of the most critical and important issues in EUV
lithography modeling is the description of the mask including multilayer defects. Such defects can have a significant
impact on the lithographic process.
An earlier presented model for the simulation of defective EUV masks, developed at Fraunhofer IISB, is the basis for the
new enhanced simulation model. The basic model is a combination of a rigorous electromagnetic field (EMF)
computation, based on a finite-difference time-domain (FDTD) algorithm, and an analytical thin film computation. This
approach leads to significant reduced computation time and memory requirements compared to other methods, such as
fully rigorous EMF simulations. The model can be applied to two and three dimensional defects and masks.
This contribution reports on the enhancement of the basic model, including new simulation results. The higher efficiency
of the new simulation model is based on the assumption that only certain discrete mask illumination angles, the multiples
of the discrete diffraction orders of the mask near field, are allowed. This approach allows for an decrease of
computation time and memory requirements in half, compared to the computations of the predecessor-model allowing
arbitrary angles. Comparisons of the two models, based on the reflected mask near fields, and the aerial images of typical
mask structures in combination with a defective multilayer and various defect parameters, are presented.
Furthermore, the alternative wave guide method, also applied to the computation of EUV mask near fields, is presented.
First comparisons of the enhanced simulation model and the wave guide method are performed.
Membrane mask aeroelastic and thermoelastic control
Author(s):
Dryver R. Huston;
James O. Plumpton;
Brian Esser;
Sonja Hoelzl;
Xiaoguang Wang;
Gerald A. Sullivan
Show Abstract
This paper describes the mechanics and control of mechanical distortions imposed on membrane masks during proximity (X-ray) lithography. Two sources of mechanical distortions are examined. The first is aeroelastic distortion caused by the coupling of aerodynamic fluid forces in the gap between the membrane and the wafer with the elastic mechanics of the membrane. Aerodynamic loadings on the membrane arise when the gap between mask and wafer is adjusted and during lateral stepping maneuvers. Results of stepping and gap closing experiments are presented. The results are correlated with numerical calculations based on Reynolds lubrication equation. Possible methods for reducing these aeroelastic distortions are examined. The second set of mechanical distortions contains those that give rise to some of the in-plane overlay errors. A thermoelastic technique for controlling in-plane errors using thermoelectric devices placed on the mask perimeter is described. Numerical and experimental results are presented.
Predicting image placement accuracy of x-ray masks
Author(s):
Gerald A. Dicks;
Roxann L. Engelstad;
Edward G. Lovell;
Brent Edward Boerger;
Daniel J. Fleming;
Karen H. Brown
Show Abstract
A new fabrication process flow is being developed for X-ray lithography masks to simplify the wafer bonding procedure while allowing for the use of a standard, non-distortive mount in the e-beam tool. A conventional flow includes a support ring that is anodically-bonded to the mask wafer prior to writing the pattern in the e-beam tool. The new flow includes a support ring that is bonded to the mask wafer at a “single point” after the pattern is written. Because mask membrane distortions due to fabrication, pattern transfer, and mounting give rise to image placement errors on the device wafer, this research focused on the impact the new process flow has on mask membrane distortions in comparison to those that result from a conventional process flow. The resulting simulations showed that distortions that lead to image placement errors decrease when employing the new fabrication process. The results also illustrate that mechanical modeling provides an invaluable tool for quantifying image placement errors, and, ultimately, optimizing the system parameters to successfully meet the stringent error budgets at the 45-nm node (and below).
High-throughput EUV reflectometer for EUV mask blanks
Author(s):
Rainer Lebert;
Christian Wies;
Larissa Juschkin;
Bernhard Jaegle;
Manfred Meisen;
Lutz Aschke;
Frank Sobel;
Holger Seitz;
Frank Scholze;
Gerhard Ulm;
Konstantin Walter;
Willi Neff;
Klaus Bergmann;
Wolfgang Biel
Show Abstract
A prototype of a reflectometer for masks and mask blanks has been set-up in autumn 2003 for in-house quality check of EUV mask blanks at Schott Lithotec. The target specifications are those under discussion as SEMI standard for EUV mask blank reflectometry. Additionally, the identified demands for semiconductor capital investment for future actinic EUV metrology, high throughputs and small measuring spots, were taken into account for the tool development. Effective use of the emission from a laboratory discharge source is achieved by using polychromatic reflectometry, which has been shown to deliver results about a factor of 100 faster with the same source power and needs less mechanical overhead than a monochromatic reflectometer. The hardware concept, first results and discussion of a test of the performance with respect to resolution, uncertainty and reproducibility will be represented. Jointly with the Physikalisch-Technische Bundesanstalt’s laboratory for radiometry at BESSY II the traceability to storage ring metrology, the calibration and the validation of the concepts will be assessed.
Anisotropic EUV flare measured in the engineering test stand (ETS)
Author(s):
Sang Hun Lee;
Manish Chandhok;
Christof Krautschik;
Michael Goldstein
Show Abstract
We demonstrate direct flare measurements of the 4-mirror projection optics in the Engineering Test Strand (ETS). By using a conventional resist clearing method, also called the Kirk method, flare was directly measured in the ETS. Although flare has been verified to be constant across the field in our study, different flare values have been observed in different directions. The flare anisotropic variation makes flare compensation more complicated, and it may even result in non-uniform CD changes in different directions. In order to fully identify the directional flare variations of the projection optics in the ETS, a special flare measurement feature, a spoke pattern, has been created. The spoke pattern has been used to measure flare in azimuthal directions (every 15 degrees), and rigorously measured flare in all directions is presented in this study. Measured flare is highest in horizontal direction and lowest in vertical direction. The azimuthal flare variation is believed to be due to anisotropic mirror polishing. Measured flare in all directions qualitatively agrees with the polishing signatures of individual mirrors in the measured optics.
Lateral shearing interferometer for EUVL: theoretical analysis and experiment
Author(s):
Yucong Zhu;
Katsumi Sugisaki;
Chidane Ouchi;
Masanobu Hasegawa;
Masahito Niibe;
Akiyoshi Suzuki;
Katsuhiko Murakami
Show Abstract
We present the theoretical measurement accuracy analysis for at wavelength characterization of the projection lens to
be used in extreme-ultraviolet lithography (EUVL) and the first experimental result from the lateral shearing
interferometer (LSI) test system. LSI is one of the potential candidates for high Numerical Aperture (NA) optics testing
at the EUV region during alignment of the projection optics. To address the problem of multiple-beam interference, we
propose a general approach for derivation of a phase-shift algorithm that is able to eliminate the undesired 0th order
effect. The main error source effects including shear ratio estimation, hyperbolic calibration, charge coupled device
(CCD) size effect, and CCD tilt effect are characterized in detail. The total measurement accuracy of the LSI is
estimated to be within 7mλ rms (0.1 nm rms at 13.5 nm wavelength).
Compensation for imaging errors in EUV lithography
Author(s):
Paul Harris;
Martin McCallum
Show Abstract
In this paper we will examine some of the fundamental imaging effects that must be considered with the intended implementation of Extreme Ultraviolet Lithography (EUVL). The paper will show how simulation can be used to examine issues such as image placement and the effect of mask dimension errors. We will show how the exact structure of an EUV mask need not be simulated, but the use of Fourier boundary conditions may be used as an accurate substitute, considerably speeding up computation time. Further, this technique is used to show the positional error that is inherent in an off-axis reflective optic design such as that proposed for EUV exposure tools. Any dimension error that is produced on the mask will not linearly transfer to the printed wafer, this is known as Mask Error Factor (MEF). We will present simulation data showing that the off-axis nature of the incident light leads to different rates of change of printed CD, at defocus, for features orientated perpendicular to each other. These effects must then be taken into account when we consider reticle error budget for EUVL technology.
Ion damage analysis on EUV collector mirrors
Author(s):
Hiroshi Komori;
Georg Soumagne;
Hideo Hoshino;
Tamotsu Abe;
Takashi Suganuma;
Yousuke Imai;
Akira Endo;
Koichi Toyoda
Show Abstract
Collector mirror lifetime evaluation and damage prevention are important technical challenge for the EUV light source
development. High-energy xenon ions emitted from laser-produced EUV plasmas are expected to considerably damage
the collector mirror of the light source. Related to future collector mirror lifetime considerations, fast ions from the laserproduced
plasma have been characterized by time-of -flight (TOF) measurements. Using a low repetition rate 8-ns, 100-
mJ Nd:YAG laser, Xe+ to Xe6+ ions were observed with Xe2+ being the main charge state. In addition, the effects of fast
ions on Mo/Si multilayer mirrors have been studied using a Xe ion gun. Ion sputtering of the multilayer structure is the
main damage mechanism but layer boundary mixing and surface roughness increase are also observed. A magnetic
confinement scheme is evaluated for ion mitigation.
Measuring thermal expansion variations in ULE glass with interferometry
Author(s):
Brian L. Harper;
Kenneth E. Hrdina;
W. David Navan;
Joseph Ellison;
Andrew Fanning
Show Abstract
Corning has focused its recent efforts on coefficient of thermal expansion (CTE) metrology improvements. Due to the unique environment required for EUVL technology, EUVL optics (and photomasks) require extremely uniform CTE properties, with targeted variations of less than 1ppb/K. Until now, no practical metrology technique existed that could accurately verify if a material met such requirements due to the lack of precision. Corning has previously introduced the idea of measuring CTE in ULE (registered trademark) Glass using Phase Measuring Interferometry (PMI) by discovering the correlation between refractive index and CTE in ULE (registered trademark) Glass. However, refinement of the correlation was necessary. This paper focuses on the progress made towards that end, which has resulted in the ability to non-destructively measure peak to valley CTE variations to within 57 parts per trillion per degree Kelvin (ppt/K) at possible spatial resolutions in the micron range on thick or thin samples.
Comparison of techniques to measure the point spread function due to scatter and flare in EUV lithography systems
Author(s):
Manish Chandhok;
Sang H. Lee;
Christof G. Krautschik;
Guojing Zhang;
Bryan J. Rice;
Michael Goldstein;
Eric Panning;
Robert Bristol;
Alan R. Stivers;
Melissa Shell
Show Abstract
The source of flare in EUVL systems is mostly from the mid-spatial frequency roughness (1 /μm - 1 /mm spatial periods) of mirrors. Due to the challenges in polishing mirrors to a small fraction of the wavelength, flare in EUV lithography tools is expected to be greater than flare in current DUV tools. Even though EUV flare is constant across the field, there can be within-die flare variations due to variations in layout density. Hence, it is expected that to meet the CD control requirements for the 32 nm node, Flare Variation Compensation (FVC), akin to Optical Proximity Correction (OPC) would be required. FVC needs the within-die flare level estimated by convolving the Point Spread Function due to scatter (PSFsc) with the mask layout. Thus, accurate knowledge of the system PSFsc is essential for FVC. Experimental results of the Modulation Transfer Function (MTF) technique to estimate flare and the PSFsc of the Engineering Test Stand (ETS) are presented. It was also determined that due to the nature of the PSFsc in EUVL tools a more accurate measure for flare would be to use the 0.5 μm line as opposed to the current 2 μm line standard for measuring flare on DUVL tools.
Techniques for directly measuring the absorbance of photoresists at EUV wavelengths
Author(s):
Manish Chandhok;
Heidi Cao;
Wang Yueh;
Eric M. Gullikson;
Robert L. Brainard;
Stewart A. Robertson
Show Abstract
Absorbance is a key characteristic of photoresists that can limit resolution, wall angle, and practical resist film thicknesses. Currently, however, there is limited data for absorbance of resists at EUV (13.5 nm) wavelengths. The most common way of estimating the absorbance of materials at EUV wavelengths is to use an experimentally measured value of density along with stoichiometric chemical information of the material in a theoretical calculation such as that available through the Center for X-Ray Optics at Lawrence Berkeley National Laboratory website.1 However, there is limited validation of these estimates for photoresists. The paper will compare calculations using density measurements of EUV-2D by a variety of methods: traditional weight measurements and Specular X-ray reflectivity (SXR) to determine density; and against grazing incidence and normal incidence reflectivity measurements to determine absorbance directly. It will be shown that inaccurate density measurements can result in 10% or greater absorbance estimates from the calculations. Based on the results, recommendations will be made for measurement techniques and accurate density based calculations of photoresist absorbance.
EUV generation using water droplet target
Author(s):
Jing Quan Lin;
Hidehiko Yashiro;
Tatsuya Aota;
Toshihisa Tomie
Show Abstract
In this paper, we described a laser plasma source for Extreme Ultraviolet Lithography (EUVL) based on a water droplet target. We successfully generated stable multi-kHz water droplets with several hundred μm diameter using our experimentaql setup. We realized a good synchronization of laser with droplet by employing droplet-probing photo diode (PD) signal to trig YAG laser timely. We got EUV emission with pulse to pulse stability of 3.4% (1σ) from this droplet region without being destroyed due to hot laser plasma formation from the previous droplet.
Properties of EUV emissions from laserproduced tin plasmas
Author(s):
Yoshinori Shimada;
Hiroaki Nishimura;
Kazuhisa Hashimoto;
Michiteru Yamaura;
Keisuke Shigemori;
Mitsuo Nakai;
Shinsuke Fujioka;
Shigeaki Uchida;
Tomoharu Okuno;
Takahiro Hibino;
Nobuyoshi Ueda;
Ryoji Matsui;
Yezheng Tao;
Keiji Nagai;
Takayoshi Norimatsu;
Toru Kawamura;
Atsushi Sunahara;
Katsunobu Nishihara;
Noriaki Miyanaga;
Masahiro Nakatsuka;
Yasukazu Izawa;
Chihiro Yamanaka
Show Abstract
Extreme ultraviolet (EUV) emission from laser produced plasma attracts much attention as a next generation lithography
source. The characterization of EUV emission has been carried out using GEKKO XII laser system. The twelve beams
irradiated tin or tin-oxide coated spherical targets uniformly and dependence of EUV spectra on laser intensity were
obtained with a transmission grating spectrometer and two grazing incidence spectrometers. The EUV Conversion
Efficiency (CE, the ratio of EUV energy at the wavelength of 13.5 nm with 2 % bandwidth to incident laser energy) was
measured using an absolutely calibrated EUV calorimeter. Optimum laser intensities for the highest conversion were
found to be 0.5- 1x1011 W/cm2 with CE of 3 %. The spectroscopic data indicate that shorter wavelength emission
increases at higher laser intensities due to excessive heating beyond optimum temperatures (20- 40 eV). The CE was
almost independent on the initial coating thickness down to 25 nm.
Estimation of emission efficiency for laser-produced EUV plasmas
Author(s):
Toru Kawamura;
Atsushi Sunahara;
Kouhei Gamada;
Kazumi Fujima;
Fumihiro Koike;
Hiroyuki Furukawa;
Takeshi Nishikawa;
Akira Sasaki;
Takashi Kagawa;
Richard More;
Takako Kato;
Masakatsu Murakami;
Vasillii Zhakhovskii;
Hajime Tanuma;
Takashi Fujimoto;
Yoshinori Shimada;
Michiteru Yamaura;
Kazuhisa Hashimoto;
Shigeaki Uchida;
Chiyoe Yamanaka;
Tomoharu Okuno;
Takahiro Hibino;
Nobuyoshi Ueda;
Ryoji Matsui;
Yezheng Tao;
Mitsuo Nakai;
Keisuke Shigemori;
Shinsuke Fujioka;
Keiji Nagai;
Takayoshi Norimatsu;
Hiroaki Nishimura;
Katsunobu Nishihara;
Noriaki Miyanaga;
Yasukazu Izawa
Show Abstract
Extreme Ultra Violet (EUV) light source produced by laser irradiation emits not only the desired EUV light of
13 ~ 14 nm (about 90 eV) but also shorter x-rays. For example, emissions around 4 ~ 8 nm (about 150 ~ 300 eV)
and 1 ~ 2.5 nm (about 0.5 ~ 1.2 keV) are experimentally observed from Sn and/or SnO2 plasmas. These
emissions are correspond to the N-shell and M-shell transitions, respectively. From the view point of energy
balance and efficiency, these transitions should be suppressed. However, they may, to some extent, contribute
to provide the 5p and 4f levels with electrons which eventually emit the EUV light and enhance the intensity.
To know well about radiative properties and kinematic of the whole plasma, atomic population kinetics and
spectral synthesis codes have been developed. These codes can estimate the atomic population with nl-scheme
and spectral shapes of the EUV light. Radiation hydrodynamic simulation have been proceeding in this analysis.
Finally, the laser intensity dependence of the conversion efficiency calculated by these codes agrees with that of
the corresponding experimental results.
Linearity of silicon photodiodes for EUV radiation
Author(s):
Frank Scholze;
Roman Markus Klein;
Ralph Mueller
Show Abstract
Photodiodes are used as easy-to-operate detectors in the extreme ultraviolet spectral range. The Physikalisch-Technische Bundesanstalt calibrates photodiodes with an 0.3% or better relative uncertainty for the spectral responsivity. These calibrations are based on the comparison of the photodiodes to a primary detector standard using monochromatized synchrotron radiation with a rather low radiant power of about 1 μW. At the customer’s, these diodes may be used for strongly pulsed radiation and very different radiant powers. The linearity of the photodiode signal with incident radiant power was studied with EUV radiation. We used quasi-monochromatic direct undulator radiation to achieve high radiant power. The linearity of the photodiodes was tested with quasi-DC illumination for different photon beam spot sizes. A systematic and significant variation of the maximum external photocurrent with the photon beam spot size is shown. The maximum current in linear operation (less than 1% relative saturation) decreased from about 3 mA for 6 mm photon beam diameter to 0.2 mA for 0.25 mm diameter. The corresponding irradiance increased from 30 mW/cm2 for the 6 mm aperture to about 2 W/cm2 for the 0.25 mm aperture. This behaviour is attributed to a change in the effective serial resistance with the photon beam size. The values derived from the saturation measurement vary between 65 Ohm for a 6 mm and 540 Ohm for a 0.25 mm beam. The effect can be explained by the finite conductivity of the thin front contact layer which carries the current to the electrode.
High-repetition-rate MPC generator-driven capillary Z-pinch EUV source
Author(s):
Yusuke Teramoto;
Hiroto Sato;
Kazunori Bessho;
Takahiro Shirai;
Daiki Yamatani;
Tetsu Takemura;
Toshio Yokota;
Kohkan C. Paul;
Kiyoyuki Kabuki;
Koji Miyauchi;
Mitsuru Ikeuchi;
Keisuke Okubo;
Kazuaki Hotta;
Masaki Yoshioka;
Koichi Toyoda
Show Abstract
Discharge-produced plasma (DPP) based EUV source have been studied and developed at EUVA/Gotenba Branch. Among the several kinds of discharge scheme, a capillary Z-pinch has been employed in our source. An all-solid-state magnetic pulse compression (MPC) generator was used to create a Z-pinch plasma. Low inductance MPC generator provides a pulsed current with about 17 kA of peak amplitude and 350 ns of pulse duration, and allows 2-kHz continuous operation. A water-cooled discharge head was coupled with the MPC generator. In order to evaluate the source performance, electrical energy input to the discharge, EUV radiation power, radiation spatial profile, pinhole image and spectra were observed. 54.4 W/2%BW of 13.5-nm EUV output was achieved at 2-kHz operation. Through the radiation profile measurement and pinhole-camera observation, spatial image of EUV radiation was understood.
Status of EUV-lamp development and demonstration of applications
Author(s):
Rainer Lebert;
Christian Wies;
Bernhard Jaegle;
Larissa Juschkin;
Ulrich Bieberle;
Manfred Meisen;
Willi Neff;
Klaus Bergmann;
Konstantin Walter;
Oliver Rosier;
Max Christian Schuermann;
Thomas Missalla
Show Abstract
Compact, flexible laboratory sources offer advanced flexibility in developing components for EUV-lithography by supplementing beamlines at storage rings. Hence, they are the basis for transferring EUV-metrology and technology to individual, industrial and university R&D labs. Laboratory sources have features similar to the sources planned for EUVL production on one hand and offer high flexibility like storage ring beamlines on the other hand.
Discharge based EUV sources offer some flexibility, which allow for tuning of the spectral and spatial characteristics of their emission. Depending on the system complexity sources can be supplied in various forms ranging from low budget semi-manual systems over OEM components to fully automatic stand-alone sources. As power scaling has been demonstrated by just adding higher power generators and cooling, these sources can be matched to various levels of flux requirements.
AIXUV’s discharge based EUV-sources have been used as beamline supplement for tasks closely connected with the development of EUV-Lithography. Examples are: development of tools for EUV source characterization (prototype testing, qualification and calibration), “in-band-EUV” open frame resist exposure, reflectometry of EUV mask blanks and EUV mirrors and for basic research using XUV radiation as thin film analytics and EUV microscopy.
Debris studies for the tin-based droplet laser-plasma EUV source
Author(s):
Kazutoshi Takenoshita;
Chiew-seng Koay;
Somsak Teerawattanasook;
Martin C. Richardson
Show Abstract
We are developing a mass-limited, laser plasma target concept that utilizes excited state transitions in tin ions as the source of 13.5 nm radiation, offering in-band conversion efficiencies greater than 1%. The ultimate objective of this EUV source strategy is the utilization of a target that is completely ionized by the laser. To determine the viability of this source for EUVL, we are making extensive measurements of the debris emanating from the target. Here we report on some of these measurements. Also under investigation are various methods of debris mitigation. We have previously shown the effectiveness of electrostatic fields for repelling ions from mass-limited targets, demonstrating improvements in multilayer mirror lifetimes in excess of an order of magnitude, positioning water droplet targets within reach of the EUVL roadmap requirements. Our investigation of debris utilizes various diagnostic techniques including ion collection, ion sputtering and witness-plate capture of particulate debris, and extensive post-mortem microscopic materials analysis.
Spectroscopic studies of the Sn-based droplet laser plasma EUV source
Author(s):
Chiew-Seng Koay;
Kazutoshi Takenoshita;
Etsuo Fujiwara;
Moza M. Al-Rabban;
Martin C. Richardson
Show Abstract
We have previously reported encouraging results with a new type of laser plasma source. As a radiation source at 13.5nm spectral band, tin has several advantages over xenon, not the least of which is the number of ion species within the plasma that contribute to the in-band emission.
In this paper we report results from spectroscopic measurements of the laser plasma emission from 12 - 19nm from this target, together with hydrodynamic code simulations of the source, towards developing a suitable laser plasma source for EUV lithography.
Laser plasma EUVL sources: progress and challenges
Author(s):
Martin C. Richardson;
Chiew-Seng Koay;
Kazutoshi Takenoshita;
C. Keyser;
S. George;
Somsak Teerawattansook;
Moza M. Al-Rabban;
H. Scott
Show Abstract
The most pressing technical issue for the success of EUV lithography is the provision of a high repetition-rate source having sufficient brightness, lifetime, and with sufficiently low off-band heating and particulate emissions characteristics to be technically and economically viable. We review current laser plasma approaches and achievements, with the objective of projecting future progress and identifying possible limitations and issues requiring further investigation.
Silanized polymeric working stamps for hot embossing lithography
Author(s):
Matthias Wissen;
N. Bogdanski;
R. Jerzy;
Z. E. Berrada;
Marion Fink;
Freimut Reuther;
Thomas Glinsner;
Hella-Christin Scheer
Show Abstract
Pattern replication into curable imprint resists by hot embossing offers the opportunity to use the replication after crosslinking
as a working-stamp. The replications of a 4” Si stamp into the thermoset imprint polymer mr-I 9000R-XP with a
commercial hot embossing system (EVGroup) have been coated with an anti-adhesive layer (trichlorosilane) from the
gas-phase at ambient pressure. The investigation of the quality and durability of these anti-adhesive coatings reveals
that the contact angles and the replication performance of the working-stamps were independent from the fact whether
the polymer was already cured or still thermoplastic during the anti-adhesive coating. The prepared 4” working-stamps
themselves have been successfully replicated into a low glass transition temperature resist (mr-L 6000.5 XP) and into
PMMA.
Evaluation of the Imprio 100 Step and Flash Imprint Lithography tool
Author(s):
Kathleen A. Gehoski;
David P. Mancini;
Douglas J. Resnick
Show Abstract
Step and Flash Imprint Lithography (S-FIL) is one of several new methods of imprint lithography being actively developed. As with other nanoimprint methods, S-FIL resolution appears to be limited only by template resolution, and offers a significant cost of ownership reduction when compared to other NGL methods such as EUVL and 157 nm lithography. Market segments capable of being addressed with S-FIL technology include nanodevice fabrication, compound semiconductors, photonic and optical devices, data storage, and advanced packaging. Successful implementation will require a commercial supplier of S-FIL tools, as well as an infrastructure that will support fabrication of the necessary 1X templates. The Imprio 100, manufactured by Molecular Imprints, Inc. is the first commercially available S-FIL tool. The purpose of this paper is to describe the performance and capabilities of the Imprio 100.
Performance related to several tool parameters including layer-to-layer overlay, pre-aligner precision, residual layer thickness and uniformity, resolution, wafer throughput, and exposure lamp intensity uniformity was evaluated. Several spin-coatable organic materials were evaluated for their efficacy as transfer layers. Contact angle analysis of each material along with a comparison of the spread time and resulting residual layer, and overall resolution using each material was also done. This paper will present the results of both the factory and site acceptance tests, and will also cover the imprinting capability of the tool.
Plasticizer-assisted polymer imprint and transfer
Author(s):
Li Tan;
Yen Peng Kong;
Stella W. Pang;
Albert F. Yee
Show Abstract
We have developed a new method to pattern polymeric materials, including non-thermoplastic polymers, at low
temperature and low pressure. In this method, plasticizers are added to increase the chain mobility of the polymers,
resulting in lower imprinting temperature and/or pressure. Two established imprinting and transfer techniques were
chosen to demonstrate this method, namely, conventional nanoimprint lithography (NIL) and microcontact printing
(μCP). These two techniques were used to pattern poly(3,4-ethylenedioxythiophene) (PEDOT). PEDOT was chosen
because it is a non-thermoplastic polymer and therefore cannot be easily patterned using conventional NIL. Successful
imprint of PEDOT films from the PDMS mold was achieved at a low pressure of 10 kPa and 25°C by controlled
addition of glycerol as a plasticizer using conventional NIL; well-defined arrays of 2μm wide, 185 nm high PEDOT
dots have also been demonstrated by μCP. In contrast, patterning of PEDOT film without plasticizer requires higher
temperature (80°C) and pressure (10 MPa), which could cause severe deformation of the transferred patterns. This
method of plasticizer-assisted imprint lithography (PAIL) broadens the applicapability of NIL to a wide range of
polymeric materials.
Controlling template response during imprint lithography
Author(s):
Scott D. Schuetter;
Gerald A. Dicks;
Greg F. Nellis;
Roxann L. Engelstad;
Edward G. Lovell;
Brad F. Schulteis
Show Abstract
Step-and-Flash Imprint Lithography (S-FILTM) is a principal candidate for the next-generation lithography at the 45-nm node (and below). In imprint lithography, a monomer solution is dispensed onto the wafer. The monomer fills small features in a template that is lowered onto the wafer. The monomer is cured, causing it to solidify so that a three-dimensional replica of the template features is produced and remains on the wafer after the template is removed. Because this is a one-to-one process, any distortions of the template during the squeezing process will be manifested directly as errors in the features that are imprinted on the substrate. A finite element (FE) structural model of the S-FIL template has been created to predict the distortions due to mounting, gravity, and the fluid pressure distribution that arises from the viscous flow of the polymer liquid during the imprint process. Distortions take the form of both in-plane and out-of-plane displacements. An axisymmetric, finite difference (FD) model is used to predict the pressure distribution over the template due to viscous flow and surface tension effects. The FE and FD models are coupled using an iterative process in which the pressure distribution and template distortions are calculated at progressing time intervals until the final, desired gap height is achieved, nominally 200 nm. The coupled models are capable of characterizing the fluid-structure interaction that occurs during the imprint process. The results of the model will facilitate the design of system components that are capable of meeting the stringent error budgets associated with the sub-45-nm nodes.
LEEPL data conversion system
Author(s):
Masahiro Shoji;
Nobuyasu Horiuchi
Show Abstract
PATACON-LEEPL is a software product for converting semiconductor mask CAD data to the EB data of masks for LEEPL transcribing devices. This software has several functions, such as pattern placement function corresponding to the structure of the LEEPL mask, which is different from the mask for optical steppers, complementary division function for stencil masks, correction of distortion due to mask internal stress, and alignment mark insertion. This software operates in the environment in which several to some hundreds of Linux PC's are connected by a high-speed network.
Recent progress of LEEPL mask technology
Author(s):
Hiroshi Nozue;
Akira Yoshida;
Akihiro Endo
Show Abstract
LEEPL mask specifications for LEEPL volume production tool "EBPrinter LEEPL-3000" have been fixed and 1X LEEPL stencil masks for 65nm node device fabrication have been developed and evaluated. "EBPrinter LEEPL-3000" handles a 6025 type mask which is compatible with a 6025 photo mask and a 200 mm wafer type mask. Both of masks have silicon based membranes with a thickness of 0.5 μm - 2.0 μm. Exposure field size of "EBPrinter LEEPL-3000" is 46mmX46mm, and pattern area of the LEEPL mask is the same which covers four fields of an optical stepper and a filed of an optical scanner. Obtaining small patterns, high CD accuracy and high image placement (IP) accuracy are very important for a 1X mask. Less than 70 nm patterns with a CD accuracy of 6.9 nm were obtained. As "EBPrinter LEEPL-3000" has a mask global IP error correction function using a sub-deflector, specification of IP error is not so critical. Spedification of global IP error is less than 30 nm, and local IP error is less than 10 nm. Those are easily obtained with membrane stress control and a multiple exposure method of electron beam mask exposure systems.
Analysis of critical dimension uniformity for LEEPL
Author(s):
Masaki Yoshizawa;
Kazuya Iwase;
Hiizu Ohtorii;
Kumiko Oguni;
Hiroki Hane;
Keiko Amai;
Shigeru Moriya;
Hiroyuki Nakano;
Tetsuya Kitagawa
Show Abstract
Low-energy electron-beam proximity projection lithography (LEEPL) has been developed for sub-65 nm lithography. Critical dimension (CD) control of resist patterns is critical to be a production-worthy lithography technique. In this study, the LEEPL mass-production tool was used to print 180-nm-pitch contact holes in a tri-layer resist and the CD uniformity of the contact holes was analyzed to know primary issues degrading process maturity. The intra-wafer CD uniformity in an 8" wafer was 15.8 nm. Temperature fluctuation during a resist-baking process had little impact on the inter-shot CD uniformity of 3.5 nm because the CD variation was less than 0.4 nm when the baking temperature increased by 1 degree C. The CD uniformity of the 8" silicon stencil mask used in this study was 4.7 nm, which was a primary factor of the intra-shot CD uniformity of 8.8 nm. The impacts of causes of a mask error enhancement factor (MEEF) on the intra-shot CD uniformity were calculated based on the quantitative analysis of the blur of a latent image profile. The electron-optical blur caused by lens aberrations and the Coulomb effect accounted for 4.5 nm of the total uniformity, and it would be improved by 4.0 nm if there was no blur by scattering of 2 keV electrons in a 70-nm-thick resist. Although causes of residual 12.6 nm were attributed to pattern edge roughness (10.1 nm), statistical fluctuation of exposure dose (3.2 nm), and traceability of a scanning electron microscope (1.6 nm), the origin for 6.7 nm remained unknown. This unknown CD variation jumped from 2.6 nm to 6.7 nm when the CD shrank from 150 nm to 90 nm. Since the pattern edge roughness accounts for the largest portion of the CD uniformity, making the contact holes perfectly round by optimizing process conditions is most effective in improving the CD uniformity for the current LEEPL process.
Way for LEEPL technology to succeed in memory device application
Author(s):
In-Sung Kim;
Sang-Gyun Woo;
Han-Ku Cho;
Woo-Sung Han;
Joo-Tae Moon
Show Abstract
Lithography for 65nm-node device is drawing a lot of attentions these days especially because lithography solution for this node is not clear and even tool makers tend to wait for the consensus in lithography roadmap to avoid the risk of erroneous amount of investment. Recently proposed concept of low energy electron-beam proximity-projection lithography (LEEPL)1,2 technology has already released its first production machine in 2003, which is being expected to cover the design rule down to 65nm-node and even smaller3. Although production of semiconductor device has been pursuing optical lithography, without any optical technology that is proved as a convincing solution for 65nm node and below, we need to take account of all the candidates. So we made an investigation on LEEPL technology and evaluated beta and first production tool to see the feasibility of printing sub-70nm resolution and of optic-first mix-and-match overlay from a chip maker’s point of view. Two different kinds of stencil masks were fabricated for the evaluation, which are fabricated in SiC and Si membrane. The former mask is for sparse contact holes(C/H) and the latter for dense C/Hs. Beta-tool showed a good resolving power of sub-70nm sparse C/Hs of SRAM with negligibly small proximity effect. It implies that LEEPL does not require much effort for proximity correction comparing to that required in optical lithography, which is one of the biggest issues in low-k1. LEEPL also showed a good capability of optic-first mix-and-match overlay correction and this is the most stringent and important functionality for optic-first mix-and-match application. However random intra-membrane image placement(IP) error that is a little bit larger than the requirement for sub-70nm node was observed, which is interpreted to come from the larger stress of 100MPa in 3X3mm2 dry-etched SiC unit membrane. For dense C/Hs, we failed, to the contrary, to obtain any good quality of stencil masks for DRAM cell patterns because of e-beam proximity effect which is unavoidable in the reversed order of front-side forward direct writing and back-side later membrane formation. Pros and cons of LEEPL technology are discussed based on the evaluation results and estimation from the memory device standpoint. We also propose a novel concept of stencil mask that can be helpful in memory device application.
Modeling LEEPL mask fabrication processes
Author(s):
Xabier Azkorra;
Andrew R. Mikkelson;
Roxann L. Engelstad;
Edward G. Lovell;
Jaehyuk Chang;
Jaewoong Sohn;
Madhura Nataraju;
Hideyuki Eguchi
Show Abstract
The challenges in fabricating next-generation lithography (NGL) masks are distinct from those encountered in optical technology. The masks for electron proximity lithography, as well as those for ion and electron projection, use freestanding membranes incorporating layers that are different from the traditional chrome-on-glass photomask blanks. As a promising NGL technology, low-energy electron-beam proximity-projection lithography (LEEPL) will be subject to strict error budgets, requiring high pattern placement accuracy. Meeting these stringent conditions will necessitate an optimization of the design parameters involved in the mask fabrication process. Consequently, comprehensive simulations can be used to characterize the sources of the mechanical distortions induced in LEEPL masks during fabrication, pattern transfer, and mounting. For this purpose, finite element (FE) structural models have been developed to identify the response of the LEEPL mask during fabrication and chucking. Membrane prestress, which is used as input in the FE models, was measured on a 200-mm test mask and found to low in magnitude with excellent cross-mask uniformity. The numerical models were also validated both analytically and experimentally considering intrinsic and extrinsic loading of the mask. Finally, simulations were performed to predict the response of the LEEPL mask during electrostatic chucking. FE results indicate that the mask structure is sufficiently stiff to remain relatively flat under gravitational loadings. The results illustrate that mechanical modeling and simulation can facilitate the timely and cost-effective implementation of the LEEPL technology.
System considerations for maskless lithography
Author(s):
Thomas Karnowski;
David Joy;
Larry Allard;
Lloyd Clonts
Show Abstract
Lithographic processes for printing device structures on integrated circuits (ICs) are the fundamental technology behind Moore's law. Next-generation techniques like maskless lithography or ML2 have the advantage that the long, tedious and expensive process of fabricating a unique mask for the manufactured chip is not necessary. However, there are some rather daunting prblems with establishing ML2 as a viable commercial technology. The data rate necessary for ML2 to be competitive in manufacturing is not feasible with technology in the near future. There is also doubt that the competing technologies for the writing mechanisms and corresponding photoresist (or analogous medium) will be able to accurately produce the desired patterns necessary to produce multi-layer semiconductor devices. In this work, we model the maskless printing system from a signal processing point of view, utilizing image processing algorithms and concepts to study the effects of various real-world constraints and their implications for a ML2 system. The ML2 elements are discrete devices, and it is doubtful that their motion can be controlled to the level where a one-for-one element to exposed pixel relationship is allowable. Some level of sub-element resolution can be achieved with gray scale levels, but with the highly integrated manufacturing practices required to achieve massive parallelism, the most effective elements will be simple on-ofrf switches that fire a fixed level of energy at the target medium. Consequently gray-scale level devidces are likely not an option. Another problem with highly integrated manufacturing methods is device uniformity. Consequently, we analyze the redundant scanning array concept (RSA) conceived by Berglund et al. which can defeat many of these problems. We determine some basic equations governing its application and we focus on applying the technique to an array of low-energy electron emitters. Using the results of Monte Carlo simulations on electron beam profiles, we determine an empirical "impulse response" for each emitter and thus determine how each emission manifests itself in the final printed lithographic pattern. We apply methods to determine the best printable image for a variety of RSA geometries, including different levels of redundancy and achieved printer element spacing. We use concepts of total printing error to help quantify the printing quality. Through simulation, we report the effects of dead or missing elements. We also present some error analysis to account for non-ideal array positioning. Ultimately, we believe that printing quality should be the grounds for determining the necessary data rates to support competitive manufacturing with ML2 devices.
Layout decompression chip for maskless lithography
Author(s):
Borivoje Nikolic;
Ben Wild;
Vito Dai;
Yashesh A. Shroff;
Benjamin Warlick;
Avideh Zakhor;
William G. Oldham
Show Abstract
Future maskless lithography systems require data throughputs of the order of tens of terabits per second in order to have comparable performance to today’s mask-based lithography systems. This work presents an approach to overcome the throughput problem by compressing the layout data and decompressing it on the chip that interfaces to the writers. To achieve the required throughput, many decompression paths have to operate in parallel. The concept is demonstrated by designing an interface chip for layout decompression, consisting of a Huffman decoder and a Lempel-Ziv systolic decompressor. The 5.5mm x 2.5mm prototype chip, implemented in a 0.18μm, 1.8V CMOS process is fully functional at 100MHz dissipating 30mW per decompression row. By scaling the chip size up and implementing it in a 65nm technology, the decompressed data throughput required for writing 60 wafers per hour in 45nm technology is feasible.
Exposure simulation of electron beam microcolumn lithography
Author(s):
Sang-Kon Kim;
Hye-Keun Oh
Show Abstract
We propose an improved method to describe the electron-resist interaction based on Dill’s model for exposure simulation. For this purpose, Monte Carlo simulation was performed to obtain the energy intensity distribution in the chemically amplified resist. Tabulated Mott data for elastic scattering, Moller and Vriens cross sections for inelastic scattering, and Modified Bethe equation plus discrete energy loss for energy loss are used for the calculation of the energy intensity distribution. Through the electron-resist interaction, the energy intensity distribution changes resist components into the exposure production such as the photoacid concentration or the photoacid generator inside resists with various pattern shapes by using the modified Dill’s model. Our simulation profiles show a good agreement with experimental profiles.
Resist formulation effects on contrast and top-loss as measured by 3D-SEM metrology
Author(s):
Andrew R. Eckert;
Carl Seiler;
Robert L. Brainard
Show Abstract
We have implemented 3D-SEM metrology to measure resist height as a function of dose for negative e-beam resists. Converting the resist height to a dissolution rate produces a new way to determine resist contrast. We have used this method to demonstrate improved aspect ratios for a low contrsat resist compared to a high contrast resist. We have also found that increasing the cross-linker concentration causes an increase in the resist dissolution rate and contrast. We have measured this change in contrast using the 3D-SEM technique for three resists systems with varying cross-linker concentration. We have plotted the dissolution rate as a function of e-beam exposure intensity, and used this information to model how contrast effects the final resist profile. Both the model and the experimental data suggest that the higher contrast resist gives a straighter side-wall angle with a negligible effect on the final CD.
Metrology tools for EUV-source characterization and optimization
Author(s):
Thomas Missalla;
Max Christian Schuermann;
Rainer Lebert;
Christian Wies;
Larissa Juschkin;
Roman Markus Klein;
Frank Scholze;
Gerhard Ulm;
Andre Egbert;
Boris Tkachenko;
Boris N. Chichkov
Show Abstract
The development of suitable radiation sources for extreme ultraviolet lithography (EUVL) is a major challenge. For the optimization of these sources and for the determination of the parameters needed for the system design and the system integration these sources have to be characterized in terms of the absolute in-band power, the spectral distribution in the EUV spectral region and the out-of-band spectral regions, the spatial distribution of the emitting volume and the angular distribution of the emission. For improving the lifetime of such sources, generally accepted as one key risk with EUVL, another task, the debris emitted from sources under development has to be investigated. Therefore, JENOPTIK Mikrotechnik GmbH is co-operating with the Laser Laboratorium Goettingen, the Physikalisch-Technische Bundesanstalt (PTB) and the AIXUV GmbH in developing ready-for-use metrology tools for EUVL source characterization and optimization. The set of the tools employed for EUV-source characterization is presented in detail as well as concepts for calibration and measurement procedures.
Status of EUV micro-exposure capabilities at the ALS using the 0.3-NA MET optic
Author(s):
Patrick Naulleau;
Kenneth A. Goldberg;
Erik H. Anderson;
Kevin Bradley;
Rene Delano;
Paul Denham;
Bob Gunion;
Bruce Harteneck;
Brian Hoef;
Hanjing Huang;
Keith Jackson;
Gideon Jones;
Drew Kemp;
James Alexander Liddle;
Ron Oort;
Al Rawlins;
Senajith Rekawa;
Farhad Salmassi;
Ron Tackaberry;
Carl Chung;
Layton Hale;
Don Phillion;
Gary Sommargren;
John Taylor
Show Abstract
The success of recent static printing experiments at Lawrence Berkeley National Laboratory’s Advanced Light Source (ALS) using the EUV LLC Engineering Test Stand (ETS) Set-2 optic has demonstrated the utility of synchrotron-based EUV exposure stations. Although not viable light sources for commercial lithography, synchrotrons provide clean, convenient, and extremely flexible sources for developmental microfield lithography. The great flexibility of synchrotron-based illumination arises from the fact that such sources facilitate active coherence reduction, thus enabling the coherence function, or pupil fill, to be actively sculpted in real time.
As the commercialization of EUV progresses, the focus of developmental EUV lithography is shifting from low numerical aperture (NA) tools such as the 0.1-NA ETS to higher-NA tools such as the 0.3-NA Micro Exposure Tool (MET). To support printing with MET optics at the ALS, a new printing station has been developed, relying on a scanning illuminator to provide programmable coherence (pupil-fill) control. The illuminator is designed to operate up to a coherence factor (s) of 1 and support the full 200′600 design printed field of view. In addition to a new illuminator design, new focus sensing and dose-control systems have also been implemented. Here we describe the MET printing capabilities in detail and present preliminary printing results with the Sematech Set-2 MET optic.
Short-term vacuum outgassing measurements with application to load-locks and photoresist
Author(s):
Anthony M. Keen;
Neil Condon
Show Abstract
Outgassing of water and hydrocarbon (CxHy) species at short timescales, ~1 minute or less, is an important technological problem when considering contamination in load-locks of extreme ultra-violet lithography (EUVL) production tools, where throughputs of 50-120 wafers/hour are required. In addition EUV stimulated outgassing will be an important property of photo-resist materials for EUV lithography, where tight control of contaminant partial pressures around the projection optics is required to preserve multilayer mirror life. The level, particularly of stimulated outgassing in the short term, has the potential to greatly influence the design of EUVL vacuum systems and contamination mitigation schemes. We have used 2 techniques to measure outgassing rates in the short term: Pressure rise method where sub 1-minute outgassing rates of a mock load-lock assembly have been measured, both empty and with silicon wafers in place; Quasi steady-state measurement where samples are quickly transferred from atmosphere to ultra-high vacuum (UHV) pressures, such that the measurement of outgassing is conducted under conditions of near-constant total pressure. We have initially used this method to measure the short term outgassing from carbon steel. In both experiments the measured outgassing rates are significantly lower than those calculated by extrapolating back from a 1 hour measurement.
Xenon recirculation systems for next-generation lithography tools
Author(s):
Joanne R. Greenwood;
Darren Mennie;
Carolyn Hughes;
Ron Lee
Show Abstract
Next generation lithography tools designed to pattern critical dimensions at <45nm will require extreme ultraviolet (EUV) light sources. Xenon is currently a strong candidate for the source medium for production of EUV light at 13.5nm.
A consistent supply of ultra high purity xenon is required for efficient light source production. Several factors must be considered in the overall operating costs of the EUV tool: The high cost of xenon (typically ~$4/litre); The quantities required for EUV source production, which are typically 4slpm for Laser Produced Plasma (LPP) and 300sccm for Gas Discharge Produced Plasma (GDPP).
On the basis of these figures the annual xenon cost would typically be approximately $11M/year for LPP, $1M/year for GDPP. Therefore recycling of xenon offers a significant operating cost reduction.
This type of re-circulation system is not restricted to high xenon throughput applications, but can be scaled in size, and hence cost, for application to lower throughput process applications.
Additional applications in mainstream silicon processing including a new development in dielectric etch using xenon could also benefit from re-circulation. Present research indicates that for a typical recipe, 675sccm xenon is required per wafer pass, this equates to an annual cost of $0.6M.
BOC Edwards has designed & built a series of five fully integrated xenon re-circulation systems for lithography applications. Each system has been custom designed to exact application requirements, including liquid/solid xenon filament production. Additionally, an important footprint reduction has been achieved during the design evolution, which ideally suits dielectric etch applications.
EUVL defect printability at the 32-nm node
Author(s):
Eric M. Gullikson;
Edita Tejnil;
Ted Liang;
Alan R. Stivers
Show Abstract
The printability of both amplitude and phase defects has been investigated in proximity to absorber lines with widths corresponding to the 45 nm and 32 nm nodes. The single surface approximation was used to simulate defects within the multilayer coating. The printability of Gaussian phase defects was simulated versus width and height and location with respect to the absorber line. For narrow defects the worst location was found to be next to the absorber line, while wide defects had the greatest effect when centered under the absorber. A uniform flare was found to have little effect on the critical defect size. The results of these simulations are aimed at defining the critical defects for EUVL masks designed for the 32 nm node.
Subatomic accuracy in EUVL multilayer coatings
Author(s):
Erwin Zoethout;
P. Suter;
R. W.E. van de Kruijs;
Andrey E. Yakshin;
Eric Louis;
Fred Bijkerk;
H. Enkisch;
Stefan Muellender
Show Abstract
Reported is the production of multilayer EUV coatings on 25000 mm2 large mirror substrates using e-beam based deposition. The accuracy achieved over the full area and the full multilayer stack amounts to an added figure error of 0.02 nm, i.e. in the sub-atomic distance range, thus meeting the future requirements on EUV coating technology.
Fabrication of aspherical mirrors for HiNA (high numerical aperture EUV exposure tool) set-3 projection optics
Author(s):
Tetsuya Oshino;
Takahiro Yamamoto;
Tatsuro Miyoshi;
Masayuki Shiraishi;
Takaharu Komiya;
Noriaki Kandaka;
Hiroyuki Kondo;
Kiyoto Mashima;
Kazushi Nomura;
Katsuhiko Murakami;
Hiroaki Oizumi;
Isa Nishiyama;
Shinji Okazaki
Show Abstract
Aspherical mirror fabrication of HiNA set-3 projection optics was completed. By using a new polishing method, we successfully reduced low spatial frequency roughness (LSFR), mid spatial frequency roughness (MSFR) and high spatial frequency roughness (HSFR) compared with HiNA set-1 and set-2 projection optics. MSFR, which strongly affects the flare of the optics, was remarkably reduced to less than 0.2nm rms. HiNA projection optical system with the numerical aperture of 0.3 consists of two aspheric mirrors (M1 and M2). We had already fabricated two sets of the HiNA projection optics. The wavefront error (WFE) of the set-1 optics was 7.5nm rms and that of the set-2 optics was 1.9nm rms. We tried to reduce the WFE and flare in the set-3 optics. The target number of WFE of the set-3 optics was less than 1nm rms. The LSFR, MSFR and HSFR of the M1 of the set-3 optics were 0.25nm rms, 0.17nm rms and 0.10nm rms, respectively. The LSFR and MSFR are almost half values compared with those of the M1 for the set-2 optics. The HSFR was also reduced from 0.13nm rms (set-2) to 0.10nm rms (set-3). The LSFR and MSFR of the M2 were 0.25nm rms and 0.20nm rms, respectively. The estimated wavefront error calculated from these LSFR numbers is 0.7nm rms.