Show all abstracts
View Session
- Pattern Generation
- Pattern Transfer
- Advancing RETs
- Data Preparation
- 157-nm Mask Tech
- OPC
- Litho Applications
- Mask Business and Management
- Resist Process
- Blanks
- Poster Session
- Defects/Yield
- Inspection
- Cleaning
- Repair
- EUV Technology
- Metrology
- Poster Session
- Defects/Yield
- Cleaning
- Poster Session
- Repair
- Poster Session
- EUV Technology
- Poster Session
- Blanks
Pattern Generation
DUV mask writer for BEOL 90-nm technology layers
Show abstract
Mask CD resolution and uniformity requirements for back end of line (BEOL) layers for the 90nm Technology Node push the capability of I-line mask writers; yet, do not require the capability offered by more expensive 50KeV ebeam mask writers. This suite of mask layers seems to be a perfect match for the capabilities of the DUV mask writing tools, which offer a lower cost option to the 50KeV platforms.
This paper will evaluate both the mask and wafer results from all three platforms of mask writers (50KeV VSB,ETEC Alta 4300TM DUV laser and ETEC Alta 3500TM I-line laser) for a Cypress 90nm node Metal 1 layer, and demonstrate the benefits of the DUV platform with no change to OPC for this layer.
DUV ALTA system aerial image enhancement for improved pattern fidelity
Show abstract
The ALTA 4300 system has been used to successfully write many advanced design layers previously only feasible with 50kV vector shaped beam tools. In order to further enlarge the application space of this high productivity an aerial image enhancement technique has been developed to deliver mask patterns that more closely match pattern data for corners and jogs. This image enhancement is done in real time in the ALTA system’s rasterizer by modifying the gray level mapping of pixels near the corner vertexes. SEM measurements of corner rounding with standard rasterization and the enhanced rasterization show an improvement of corner rounding radius from ~205 to ~132 nm. A direct comparison of SEM micrographs show no qualitative difference between vector scan mask features and those written with aerial image enhancement. This convincingly demonstrates that the ALTA 4300 system with the new image enhancement can write many layers requiring vector scan corner acuity.
DUV laser lithography for photomask fabrication
Show abstract
In the recent past significant work has been done to isolate and characterize suitable single layer Chemically Amplified Resist (CAR) systems for DUV printing applicable to photomask fabrication. This work is complicated by the inherent instability of most DUV CAR systems, particularly in air, showing unacceptable CD degradation over the normal photomask write time in today's DUV mask pattern generators. The high reflectivity of most photomask substrates at DUV wavelengths, creating unacceptable standing waves in the photo resist profile, further compounds this problem. A single layer CAR system suitable for 90nm technology node mask fabrication with DUV printing has been characterized and optimized. Results of this optimization in terms of relevant mask making parameters will be detailed. Furthermore, comparison of the properties of this resist system to other commercially available systems, including FEP-171, will be shown. The pattern fidelity of DUV laser generated masks has been studied in considerable detail. A demonstration of the capabilities of the Etec Systems ALTATM 4300 and Micronic Laser Systems Sigma 7100 DUV mask writing systems will be shown. The pattern fidelity achieved will be compared/contrasted to that achieved with today's leading edge 50KeV vector scan e-beam systems. Advanced methods for modulating the DUV printed patterns' fidelity will be detailed.
Finally, the cost and cycle time implications of inserting the DUV laser pattern generator into the mask manufacturing flow will be discussed.
Cell projection EB exposure for Giga DRAM device mask
Show abstract
We have developed a new method to make cell projection aperture with high degree of accuracy which provides stable and accurate pattern fidelity on wafer and could be adaptable to mask process. As an electron beam mask, deep and vertical silicon pattern is made by MERIE poly etcher. Trench pattern profile can be optimized by etching chemistry. And to obtain fine pattern with cell projection exposure, various techniques are used such as pattern fracturing, modified cell aperture layout and shot shift. As a feasibility of cell projection EB exposure, 0.2μm feature were defined with VSB (variable shaped beam) and CPB (cell projection beam) on wafer and evaluated.
Simulation of mask CD variation for different local densities with in-house developed e-beam lithography simulator
Show abstract
E-beam lithography simulation is one of the effective tools for understanding the complex e-beam lithography process. In-house E-beam Lithography Simulator, ELIS, has been developed in order to analyze the mask CD errors. ELIS adopts the Monte Carlo method to accurately describe the electron scattering and energy deposition on the resist, and fits this result with more than two Gaussians to convolute with pattern shape efficiently and rapidly. This simulator provides the function of the proximity effect correction (PEC) and fogging effect correction. ELIS, moreover, can simulate the post exposure bake step (PEB), therefore, latent image and resist profile is given for chemically amplified resists (CAR). From the exposure simulation with ELIS, the amoung of CD variation regarding different density patterns in various conditions can be predicted. The simulation results are matched with experimented values within 5% error. Even though PEC corrects perfectly, the non-zero mean-to-target (MTT) induces the CD error. The CD errors with dose modulation and GHOST along with the MTT variation have been studied with ELIS. Also, we show these errors increasing after applying fogging effect correction.
Pattern Transfer
New method for approaching the loading-free process for photomask Cr etching
Show abstract
In photomask manufacturing, etch loading effect is one of the most serious problems. The equal size of isolated clear patterns, each of which is surrounded by different pattern density, can show different CD (critical dimension) results after Cr etching process. Furthermore, as the feature size decreases and pattern density increase, the burden of Cr loading effect in mask fabrication is more enlarged than ever. In this paper, we will present the new method for approaching to the loading free process in photomask Cr dry etch.
Optimization of a 65-nm alternating phase-shift quartz etch process
Show abstract
As mask features advance to the 65 nm technology node, the ability to develop advanced phase shifting masks with reliable and repeatable processes is becoming increasingly important. Changes in process conditions (i.e. power, pressure, gases, etc.), play an important role in the reduction of RIE lag, micro-trenching, loading and the improvement of sidewall profiles. In this study, the effects of changing process conditions on the TetraTM II Photomask Etch System were investigated. Process development was conducted to screen for a quartz etch process regime with enhanced performance.
Integrated phase shift measurements for advanced mask etch process control
Show abstract
The phase shift effect in Alternating Phase Shift Masks (AAPSMs) and chrome-less phase shift masks is created by etching trenches directly into the quartz substrate. Since the phase shift is critically dependent on the etch depth, the quartz etch process must be tightly controlled. In the absence of an etch stop for the process, an integrated metrology solution is desirable on the mask tech tool. Traditional methods for measuring etch depth or phase shift, such as interferometry, profilometry, AFM, and SEM, are expensive, slow, and/or destructive. In addition, traditional methods cannot measure quartz etch depth without removing the resist and in some cases the chrome mask, making them unsuitable for integration into the etch process. This paper will present measurements of trench depth and phase shift on quartz phase shift mask using the n&k Analyzer 1512-RT. The n&k Analyzer measures reflectance (R) and transmittance (T) from 190-1000nm, which is analyzed according to the Forouhi-Bloomer dispersion relations to simultaneously determine n, k, film thicknesses, trench depth, and phase shift. The measurement is non-destructive and fast, typically taking 2-3 seconds per measurement point. No special test structures are required for the measurement. In addition, the n&k Analyzer can measure quartz etch depth with the chrome mask, ARC layers, and resist still intact. The n&k Analyzer measurements show good correlation with 193nm interferometer measurements, and good repeatability. The small footprint, ease of use, measurement speed, and the ability to measure quartz depth in the presence of chrome and resist make the n&k Analyzer an ideal candidate for integrated metrology applications on mask etch tools for advanced proces control (APC). The Applied Materials' Tetra II phootmask etch system has the unique capability to accommodate integrated metrology modules through the factory interface. Applications of APC with integrated phase shift measurements will be discussed.
Process monitoring of chrome dry-etching with RF sensor for reticle production beyond 90-nm node
Show abstract
In reticle production for 90-nm node generation and beyond, quite strict chrome CD control is strongly demanded. For chrome dry-etching process, esetablishment of reliable system for chrome endpoint detection is one of critical issues. In this paper, the effectiveness of radio frequency (RF) sensor as a process monitor for chrome dry-etching was examined. As general endpoint detection system, such as Laser endpoint detection (Laser EPD) and optical emission spectroscopy (OES), the system based on RF sensor shows the ability to get chrome endpoint. Experimental data implied its competence as an endpoint detector for the plates of various chrome loads with enough stability and reliability. Moreover, this sensor has an advantage that plasma impedance observed with the sensor has a correlation with etching performance, such as etching bias and its uniformity. This property is useful, because feedback of the variation of sensor output to process condition is able to play important role in control of reticle CD. As a consequence, the concept of advanced process control based on the RF sensor is proposed.
Advancing RETs
Vortex via validation
Show abstract
The first vortex masks composed of rectangles with phases of 0°, 90°, 180° and 270° - as proposed at Photomask 2002 - have been fabricated and shown to print sub-100nm contacts. The walls of the phase trenches are very nearly vertical, with all four phase regions meeting at sharp corners which define the phase singularities. Arrays with pitches down to 210nm have been printed in negative DUV resist using KrF illumination with NA=0.73 and sigma=0.15. The developed contacts are somewhat elliptical, but their shapes can be corrected (if necessary) by OPC techniques. The depth of focus for +/-10% CD variation is >400nm for 85nm CD vias at 210nm pitch and >700nm for 100nm vias at 250nm pitch. The exposure latitude is ~15% at best focus. At constant exposure dose, the via CDs vary with pitch as predicted by simulations. Increasing exposure dose makes the openings smaller, more uniform and more circular. No significant surface development has appeared due to phase-edge printing. However, the spacewidth alternation phenomenon familiar from linear chromeless phase-edge lithography does cause small positional errors for vortex vias, and each of the four vortices in the repeating pattern behaves somewhat differently through focus, potentially limiting the common process window.
Implementing AAPSM in 90-nm product with practical image imbalance correction
Show abstract
Each new technology node tests the limits of optical lithography. As exposure wavelength is reduced, new imaging techniques are needed to maximize resolution capabilities. The phase shift mask (PSM) is one such technique that is utilized to push the limits of optical lithography. Altering the optical phase of the light that transmits through a photo mask can increase the resolution of a lithographic image significantly. There are several types of phase shift mask and each has a general charateristic in which some transparent area of the mask are given 180° shift in optical phase relative to other nearby transparent areas. The interaction of the aerial images between two features with a relative phase difference of 180° create interference regions that can be used to printed images much closer together and with an increased depth of focus than that of a standard chrome-on-glass mask. An AAPSM is fabricated using a subtractive process in which the quartz substrate is etched to a given depth to produce the desired phase shift. However, intensity imbalances between the etched and non-etched regions due to sidewall scattering can cause resolution, phase and placement errors on the wafer. One method to balance the transmission is 40 nm undercut with 16 nm shifter width bias. Based on our previous study, 40 nm undercut with 16 nm shifter width bias showed an improved balance of intensities between the etched and non-etched regions. The object of this experiment is to implement the AAPSM with 40 nm undercut and 16 nm shifter width bias in SRAM product and the exposure wavelength is 193 nm. The main purpose is to proof the technology of AAPSM with 40 nm undercut and 16 nm shifter width bias in real product. Also verifying all issue of AAPSM in production. In this study, the image imbalance has been corrected via 40 nm undercut and 16 nm shifter width bias, and the DOF of AAPSM for wafer print performance is larger than binary mask. The DOF of AAPSM is about 0.5 μm and the conventional binary mask is 0.3μm.
Full-chip application for SRAM gate at 100-nm node and beyond using chromeless phase lithography
Show abstract
High speed circuit usually requires additional gate scaling regardless of its developed technology node. In this paper, we demonstrate the full-chip-level wafer result for 100nm node SRAM gate and the possibility of future gate scaling. Test reticle is manufactured using chromeless phase lithography(CPL). CPL technology uses a COG that consists of p -phased-etched quartz and chrome shield for various gate CD formation. Critical transistor area is 100% transmission PSM. However, less-critical area should be a chrome for adequate CD control. Because light interference is weakened in phase area according to the separation of paired phase edges increase. The optical performance and manufacturing issues of CPL are evaluated compared to other PSM technologies. Finally, we describe how to optimize the CPL mask using simulation and wafer analysis to obtain the acceptable OCV and DOF margin for volume production.
Template fabrication for sub-80-nm contact hole patterning using step and flash imprint lithography
Show abstract
Step and FLash Imprint Lithography (S-FIL) is one of several new methods of imprint lithography being actively developed. Since S-FIL is a 1X printing technique, fabrication of templates is especially critical. The requirement to produce defect-free pillars (needed for imprinting contacts on wafers) in a reliable and manufacturable manner only serves to compound this challenge. In this study, the feasibilty and methodology of fabricating templates having arrays of sub-80 nm pillars is demonstrated. This process involves the use of a Leica VB6 100 keV e-beam system to pattern ZEP 520A resist, followed by a series of chrome and quartz etches to arrive at the final all-quartz template. Wafer printing was done on 200 mm wafers using Molecular Imprints Inc., Imprio-100 system. Critical dimension of template contacts and pillars is shown as a function of e-beam dose. Results of the study have demonstrated that S-FIL templates made with sub-80 nm pillars can be used to reliably replicate 1:1 pitch contact hole arrays on wafers. Sidewall profiles of both template pillars and printed contacts were sloped somewhat, and resulted in an approximately a 20-30 nm bias between contact bottom (smaller) and top opening. Critical dimension uniformity of printed contact arrays within-field and from field-to-field was also explored. Within-field CD uniformity of contacts was found to be less than field-to-field CD uniformity, which was excellent. The feasibility of printing pillar array using S-FIL was also demonstrated. Arrays of pillars measuring 54 nm with a pitch of 1:3 were reliably printed.
Litho-and-mask concurrent approach to the critical issues for proximity electron lithography
Show abstract
The performance of the LEEPL production tool is discussed from the framework of the litho-and-mask concurrent development schemes to establish the feasibility of proximity electron lithography (PEL) especially for contact and via layers in the 65-nm technology node. The critical-dimension (CD) uniformity of 4.7 nm has been achieved for 90-nm contact holes over the 1x stencil mask. Thus, the mask patterns can be transferred onto the resist layer with CD errors of less than 10%, even if the mask-error enhancement factor (MEEF) of 1.6 is taken into account. The mask manufacturability is improved if the MEEF further decreases via the use of thinner resists. Meanwhile, the overlay accuracy of 21.1 nm has been achieved in mix-and-match with the ArF scanner, with the intra-field error of only 5.1 nm owing to the real-time correction for the mask distortion. Also, the conditions for splitting dense lines into two complementary portions have been determined to avoid the pattern collapse in wet-cleaning and drying processes. The critical length of 2 mm is fairly safe for 70-nm lines if the low-damage drying is employed. The inspection tool based on transmission electron images cannot detect all printable defects without further optimization, hence a future challenge.
Data Preparation
Efficient mask data preparation for variable shaped e-beam writing system focusing on memory devices
Show abstract
To cope with sub-100nm technology in the mask making industry, a variable shaped e-beam(VSB) writing system is one of the solutions through its high-electron voltage. The VSB writing system, however, requires a different mask data preparation comparing to the traditional raster scan writing system. Due to the differences, mask making industries are confronted with difficult problems, such as explosively increasing data volume and unpredictably growing mask making time especially for memory devices. VSB system's writing time is determined by the conversion from CAD data to VSB data. The conversion time, especially for the critical layers of memory devices, mostly depends on to what extent optimize CAD data to enhance writing system throughput. For this reason, to shorten the unpredictably growing mask making time, a data conversion tool must consider the throughput of data conversion and mask writing at the same time. To reduce the data conversion time while retaining the optimal writing time, we propose the mixed-mode data processing method, in which the hierarchical data operation is applied on memory cells and the flat data operation is applied on peripheral circuits. For each area, different fracturing strategies are applied, too. The polygon-aware fracturing method is applied to improve the CD control within memory cells, and the selective one-directional fracturing method is applied to reduce the writing time within peripheral circuits.
Parallel processing approaches in RET and MDP: new hybrid multithreading and distributed technology for optimum throughput in a hierarchical flow
Show abstract
The continuous integration trend in design and broad deployment of resolution enhancement techniques (RET) have a tremendous impact on circuit file size and pattern complexity. Increasing design cycle time has drawn attention to the data manipulation steps that follow the physical layout of the design. The contributions to the total turn-around time for a design are twofold: the time to get the data ready for the hand-off to the mask writer is growing, but also the time it takes to write the mask is heavily influenced by the size and complexity of the data. In order to reduce the time that is required for the application of RET and the export of the data to mask writer formats, massively parallel processing approaches have been described. This paper presents such computing algorithms for the hierarchical implementation of RET and mask data preparation (MDP). We focus on the parallel and flexible deployment of a new hybrid multithreaded and distributed processing scheme in homogeneous and heterogeneous computer networks called MTFlex. We describe the new methodology and discuss corresponding hardware and software configurations. The application of this “MTFlex” computing scheme to different tasks in post-tapeout data preparation is shown in examples.
OASIS vs. GDSII stream format efficiency
Show abstract
The OASIS format was designed to be a replacement for the GDSII stream format. Previous papers have reported that OASIS files can be 5-20X smaller than comparable GDSII files. This paper examines the storage capabilities of OASIS, as well as other benefits, in more detail. The primary focus of this study is on OASIS integers, deltas, point-lists, and its explicit support for rectangles & squares. We also show how the two OASIS integer types and four delta types can be implemented using a single core procedure.
Integrating design data with manufacturing data: why you want to use a universal data model (UDM)
Show abstract
Technology complexity has led inevitably to specialization. As a result, most people know their own area well and have only limited access to or knowledge of what goes on in the other areas. Designers and chip customers often have limited understanding of the details of the manufacturing process, and wafer fab engineers seldom have direct access to designers for improvements which would maximize productivity and yield. Masks are often overly expensive because decisions made in design have unintended manufacturing consequences, and mask yields are unnecessarily low because too little information defining the image quality actually needed is available to the mask supplier. These limitations and others can be overcome by integrating the relevant manufacturing parameters, for both mask shops and wafer fabs, into the database and tools used by the designer. This paper discusses the design-to-manufacturing flow and the interfaces currently in use. It then discusses the creation of a Universal Data Model (UDM) and its relationship with standard interchange formats. Specific data items to be included in the UDM database are listed, and a phased approach to initiate implementation is discussed. The emphasis is on specific, practical extensions of existing technologies, and the specific benefits to be derived. Particular attention is focused on the benefits as perceived differently by different users.
Shuttle mask floorplanning
Show abstract
A shuttle mask has different chips on the same mask. The chips are not electrically connected. Alliance and foundry customers can utilize shuttle masks to share the rising cost of mask and wafer manufacturing. This paper studies the shuttle mask floorplan problem, which is formulated as a rectangle-packing problem with constraints of final die sawing strategy and die-to-die mask inspection. For our formulation, we offer a "merging" method that reduces the problem to an unconstrained slicing floorplan problem. Excellent results are obtained from the experiment with real industry data. We also study a "general" method and discuss the reason why it does not work very well.
157-nm Mask Tech
Passivation of the 157-nm pellicle with nanometer thin films
Show abstract
The polymeric 157 nm pellicle was passivated on both sides to isolate it from environmental contamination. TAF pellicles were sputter deposited with 5 nm thick films of CaF2, MgF2, Al, Mg, TiN, SiNx, Si, and PTFE, separately. The light transmission and life expectancy of the coated and uncoated pellicles were investigated. The coated pellicles were also analyzed with ESCA for surface structure changes. The coating process changed the pellicle's deterioration mechanism and life expectancy.
157-nm attenuated phase-shift mask materials with irradiation stability
Show abstract
The next suite of optical lithography tools beyond 193nm will use 157nm irradiation to illuminate the mask pattern onto a semiconductor wafer. As the illumination wavelength decreases, the number of materials that can be used to create attenuated phase shift masks decreases dramatically. Especially the number of materials that maintain constant transmission after prolonged irradiation. The Ta-based and Cr-based materials have been recognized as two such sets of materials that remain optically unchanged due to prolonged VUV irradiation. Optical characterization of these materials by spectroscopic ellipsometry has been used to simulate several material systems to achieve proper transmission and phase shift while simultaneously improving the inspection contrast of the patterned mask. Both simulation and experimental results will be presented for Ta-based and/or Cr-based material systems that maintain relatively constant transmission for more than 50 million pulses under 157nm irradiation.
Development of a new PSM film system for 157-nm extensible to high-transmission 193 nm lithography
Show abstract
A new attenuated phase shifting film system for 157 nm lithography is presented. The system is designed for 6% transmission but is tunable to higher values. Tests for laser stability and chemical durability show excellent performance. First results of defect density and phase and transmission homogeneity are presented. The phase shifting film achieves a high etch selectivity to the substrate. The film system is extensible to be used as a high transmission phase shifter for 193 nm lithography. Further it is feasible to repair the film system using electron beam repair technology.
Porous silica pellicle frame
Show abstract
Two main issues with current pellicle frames are: (1) thermal expansion mismatch between the anodized aluminum frame and the photomask, and (2) the lack of porosity for purging and contamination control. Both issues can be addressed by using a sol-gel-derived porous silica frame. The silica frame has essentially the same thermal expansion coefficient as the fused silica photomask substrate. The porous nature of the silica frame provides contamination control by N2 purging and scavenging capability. The porosity characteristics and mechanical properties of the frame material were determined. Porous silica frame was successfully mounted onto quartz plate by a commercial process, suggesting the suitability of using porous silica as pellicle frame material. The sol-gel derived porous silica represents the first proof-of-concept for an alternative frame material with a potentially significant impact on the photomask industry.
OPC
Improvement of empirical OPC model robustness using full-chip aerial image analysis
Show abstract
With advanced CMOS technologies, model-based optical proximity correction (OPC) has become the most important aspect of post-tape-out data preparation for critical mask levels. While fabrication processes certainly remain the foundation of a qualified
technology, the quality of OPC is increasingly moving into the focus of efforts to further improve yield. For a typical model-based OPC tool, the full OPC model consists of two distinct parts: (1) An aerial image part, based on a few, well-defined optical parameters of the lithography tool to describe the light intensity
distribution in air at the wafer level and (2) an empirical part to model all other aspects of the pattern transfer, based on different black box modeling techniques such as kernel convolution or variable threshold modeling. Most importantly, the parameters for the empirical part are usually determined by fitting the model to proximity data measured from test structures. As a consequence, the robustness of the full OPC model for productive usage correlates directly with the extent to which these test structures provide a representative sampling of the circumstances encountered in an actual product layout. In order to determine the quality of this sampling, full-chip aerial image analyses are performed for various mask levels of a product design. A comparison of the characteristics of the light intensity distributions of this design with the corresponding
information obtained from the test structures reveals configurations that are not well covered by the latter. This insight allows the definition of suitable additional test structures in order to improve the robustness of subsequent empirical OPC models.
Focus latitude optimization for model-based OPC
Show abstract
Variations in manufacturing process introduce uncertainties optical proximity correction. Discrepancies may arise between model extraction and the actual manufacturing conditions. An optimally constructed mask should minimize the sensitivity of line width variation in lithography and prevent pattern failure such a line pinch-off. In this paper, the effect of defocus on OPC mask and wafer patterning is investigated using a physical pattern transfer simulator, LithoScope. We evaluate the impact of defocus on a set of special test patterns and on a real circuit layout. We propose to control defocus effect by a combination of proper design centering and physical model-based data verification.
Model-based methodology for reducing OPC output pattern complexity
Show abstract
One of the best methods to increase correction accuracy in model based OPC is to decrease the correction segment length. As design rules shrink, this methodology is becoming more prevalent in model based OPC corrections. Unfortunately, it increases general mask feature complexity, which leads to reticles that are difficult to manufacture and inspect. With current OPC segmentation methodologies, the smallest correction segment length is generally applied uniformly across an entire correction set. A more targeted segmentation approach using the process model to determine sampling rates and locations could be used to confine complex correction features only to regions where they are absolutely necessary. For example, the choice between a hammerhead or dog-ear serif can be made using process model data so that dog-ear serifs are only used when flat aerial images are generated by the layout. This would lead to a more frugal correction that maintains correction accuracy while reducing mask construction complexity. OPC complexity is a key factor driving mask costs higher as design rules are pushed smaller. Methods for effectively reducing OPC complexity, without compromising OPC effectiveness, are being leveraged to help reduce the rate of NRE cost growth. In previous papers we have discussed methods for identifying features in which OPC accuracy can be sacrificed safely to reduce mask complexity. In addition, we have outlined methods for handling process variation effects for simple OPC shapes in complex regions. In this paper we will discuss another method for reducing OPC complexity while optimally preserving OPC accuracy on every feature. The method leverages pre-correction process simulation to predict the most “cost effective” shape for a feature. With simulated pattern characteristics and with consideration of potential mask rule violations, the method establishes an optimum correction shape “template.” For example, the choice between various line end-treatments can be determined up front, thus focusing the OPC computation on the most effective and least complex shape, and removing the need to perform post-OPC mask constraint shape adjustments. The implementation of this methodology leads to a more frugal correction that maintains correction accuracy while reducing mask construction complexity.
OPC model generation procedure for different reticle vendors
Show abstract
The challenge of delivering acceptable semiconductor products to customers in timely fashion becomes more difficult as design complexity increases. The requirements of current generation designs tax OPC engineers greater than ever before since the readiness of high-quality OPC models can delay new process qualifications or lead to respins, which add to the upward-spiraling costs of new reticle sets, extend time-to-market, and disappoint customers. In their efforts to extend the printability of new designs, OPC engineers generally focus on the data-to-wafer path, ignoring data-to-mask effects almost entirely. However, it is unknown whether reticle makers' disparate processes truly yield comparable reticles, even with identical tools. This approach raises the question of whether a single OPC model is applicable to all reticle vendors. LSI Logic has developed a methodology for quantifying vendor-to-vendor reticle manufacturing differences and adapting OPC models for use at several reticle vendors. This approach allows LSI Logic to easily adapt existing OPC models for use with several reticle vendors and obviates the generation of unnecessary models, allowing OPC engineers to focus their efforts on the most critical layers.
Litho Applications
Effects of reticle reflectance on lithography
Show abstract
We report in this work experimental and theoretical results showing the effects of absorber reflectivity on standard flare measurements, image formation and how this may contribute to various image metrics used in lithography. Our study shows that under typical conditions the reflectance from the absorber film has only a small effect on the image produced by the exposure system.
Mask pattern fidelity quantification
Show abstract
In this paper, a quantitative evaluation of mask quality in the domain of 2D pattern fidelity and a method of assessing the OPC model effectiveness are investigated. The spirit of our algorithm is to characterize the wafer lithographic performances of both the real physical mask and the ideal OPCed layout mask that the physical mask is based on. To acquire these performances, we adopted a CD-SEM image process technique for transforming an actual SEM mask image into a simulation-friendly format like GDSII together with the methods to correctly handle the image transformation and interpret the simulation results. Finally, the images, such as the simulated aerial images, the simulated or observed resist top views, are superposed for comparison using logic operation.
Printability of 2D mask quality
Show abstract
Due to limitations in practical resolution of mask writers and mask fabrication processes, ideally square corners in a design become rounded on the actual mask (loss of pattern fidelity). This paper will show that the 2D quality of the mask does affect the printed image in state-of-the-art lithography, although it is not a major contributor and its effect is typically inferior to that of the design, the exposure conditions and the wafer stack. The influence of corner area loss on the mask has been illustrated before for line-ends. More recently it was demonstrated by simulation that for contact holes (CH) the location of area does matter when comparing the printability of a CH area obtained by a global bias or by serif addition. The purpose of the present study is to evaluate this influence towards wafer printing, i.e., to assess 2D mask features by their printability. The test layout includes CHs of various CDs with a range of global offsets and/or with square serifs on the corners, thereby varying width and placement. It is shown experimentally that the shape of the CH, or specifically the presence of serifs, influences the printed CH width. The deviation between the printing experiment and the simulation (starting from the design) is an indication of the fidelity of the serifs, which can be verified by mask quality assessment, for example by SEM. The work supports the determination of the MEEF (Mask Error Enhancement Factor) based on the square root of the CH area, but besides the area also the shape of the CH should be considered in the MEEF, as will become evident from the results.
Analysis of etched quartz solutions for 65-nm node critical layer lithography
Show abstract
Manufacturability and economical viability of etched quartz solutions for 65nm node critical layer lithography is assessed through evaluation of mask technology conversion complexity, mask process complexity, wafer processing cost and SRAM cell critical layer lithography performance. The etched quartz technologies under consideration are the full-layout alternating phase shift mask solution (FullPhase altPSM) and chromeless hard shifter phase shift mask solution (crlPSM). Using 0.63 NA exposures, we achieve k1 =0.29 (DOF=0.6um) and k1 =0.33 (DOF=0.8um) for altPSM and crlPSM, respectively. 60nm isolated feature DOF is more than 0.8um for altPSM. The crlPSM isolated feature DOF without sub resolution
assist features is about 0.3um. Simulations results of crlPSM isolated features with sub resolution assist features using NA=0.75 show that DOF of 0.3um is attainable for crlPSM. Experimental results are used to calibrate wafer volume cross over model for these competing technologies with specific focus on die size, k1 and DOF related yield as wafer processing cost drivers. Results show that altPSM has lower wafer processing cost due to better lithography yield at smaller die sizes. Thus, though the mask set of altPSM solution is more expensive than crlPSM, the altPSM solution is more economical for high volume production of 65nm node technologies. The wafer volume crossover model allows for the most cost effective mask solution to be employed for a given logic device and wafer volume expectation.
Near-0.3 k1 full pitch range contact hole patterning using chromeless phase lithography (CPL)
Show abstract
Resolution Enhancement Techniques (RET), or low k1 imaging, has been deployed successfully to extend the resolution limits of optical lithography significantly below half-λ for today's poly gate mask in the state-of-the-art manufacturing processes. However, achieving satisfactory contact hole patterning through the full pitch range required for the 90nm and 65nm technology nodes has greatly challenged the leading process development effort. Currently, attenuated PSM's with transmission between 5% and 9% are used to enhance the resolution of dark field contact hole patterns. Using conventional illumination with a low sigma, which is the common method employed for att-PSM, limits the minimum pitch that can be resolved on the wafer. By using off-axis illumination (OAI) it is possible to image smaller pitches. However, the same attributes that enhance imaging for dense patterns severely degrade the imaging of isolated patterns. Using Chromeless Phase Lithography (CPL), sub-wavelength isolated contact patterns can be imaged using strong off-axis illumination, such as Quasar, dipole and double dipole, etc. By applying modeled sub-resolution and non-printing features, we found it is possible to achieve very high-resolution contact imaging with exceptional process latitude. Both phase shifted and non-phase shifted patterns can be much larger than sub-resolution assist features (or anti-Scattering Bars) used on dark field binary reticles (~three times larger), making the reticle pattern easier to manufacture. Using this method, sub-wavelength bright patterns on a dark field can be imaged through the full pitch range. We have shown that it is feasible to push the contact resolution limit to 0.33 k1 or smaller.
Interferometric-probe aberration monitors: aerial image and in-resist performance
Show abstract
Printed resist images of pattern and probe-based aberration monitors at 248 nm wavelength on an AIMS tool and a projection printer at several NA's will be presented. The results will demonstrate the measurement operation of these monitors compared to their performance as designed through simulation. Initial experiments indicate that the focus monitor has sufficient sensitivity to see systematic focus trends across the die. The focus monitor is estimated to measure focus to 25nm accuracy of 1/8 of the Rayleigh depth of focus, indicating a 2-8x improvement over determination of best focus via the point spread function. This work also shows that the optimum conditions for reading the targets is when the intensity of the probe is just at the exposure threshold of the resist. The target designed to detect coma abberation did not work as expected and this is likely due to the electromagnetic performance of the mask and high-NA vector imaging effects.
Mask Business and Management
A common base for mask cost of ownership
Show abstract
There has been a proliferation of examples of mask cost projections for future ITRS nodes and various technologies. This paper reviews the methodology developed at SEMATECH to insure that projected mask costs reflect the geometries being planned. A detailed description provides the development of the mask manufacturing process and develops a projected cost.
Migration of 90-nm mask and wafer lithography learning into 130-nm mask production to improve performance and yield
Show abstract
Improvements in mask making techniques and metrology strategies have been required to satisfy the requirements of the 90nm technology node. With decreasing k1 and increasing MEF, critical dimension uniformity and defect specifications have faced severely tightened requirements. Many of the mask making process enhancements inspired by the 90nm node can be retrofitted into the 130nm node which improves mask quality as well as wafer-level performance. Mask critical dimension uniformity improvements directly impact wafer across chip linewidth variation which results in significantly improved chip performance. Specific examples of 130nm chip performance improvement will be discussed. Mask critical dimension and defect density improvements also result in improved mask yield and reduced mask costs. Driving 90nm mask process learning back into 130nm mask production significantly improves 130nm performance. Close interaction with the wafer lithography team allows focus on critical process window improvements for both the mask maker and wafer lithographer and allows rapid implementation of high-end process learning into older technologies.
Mask industry assessment: 2003
Show abstract
Microelectronics industry leaders routinely name mask technology and mask supply issues of cost and cycle time as top issues of concern. A survey was initiated in 2002 with support from International SEMATECH (ISMT) and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition.1 This paper presents the results of the second annual survey which is an enhanced version of the inaugural survey building upon its strengths and improving the weak points. The original survey was designed with the input of member company mask technologists, merchant mask suppliers, and industry equipment makers. The assessment is intended to be used as a baseline for the mask industry and the microelectronics industry to gain a perspective on the technical and business status of the critical mask industry. An objective is to create a valuable reference to identify strengths and opportunities and to guide investments on critical-path issues. As subsequent years are added, historical profiles can also be created. This assessment includes inputs from ten major global merchant and captive mask manufacturers representing approximately 80% of the global mask market (using revenue as the measure) and making this the most comprehensive mask industry survey ever. The participating companies are: Compugraphics, Dai Nippon Printing, Dupont Photomask, Hoya, IBM, Infineon, Intel, Taiwan Mask Company, Toppan, and TSMC. Questions are grouped into five categories: General Business Profile Information; Data Processing; Yields and Yield loss Mechanisms; Delivery Time; and Returns and Services. Within each category are a multitude of questions that create a detailed profile of both the business and technical status of the mask industry.
Resist Process
90-nm mask making processes using the positive tone chemically amplified resist FEP171
Show abstract
A mask patterning technology for the 90nm technology node has been developed using the FujifilmARCH resist FEP171 and the state-of-the-art mask making tools SteagHamaTech mask coater ASR5000, Leica 50kV variable shaped e-beam writer SB350, SteagHamaTech developer ASR5000 and UNAXIS Mask Etcher III. A resist resolution of below 100nm dense lines and 150nm contact holes was demonstrated. The line width shrinking due to chrome etching varies between 25nm and 50nm per feature and a corresponding resolution of 125nm dense lines in a 105nm thick chrome absorber has been achieved. The global CD-uniformity with a 3σ of 7.7nm and a total range of 10.8nm met the requirements of the ITRS roadmap. The local uniformity with a 3σ of 3.8nm and a range of 5.6nm offers potential for future application of the Leica SB350. Applying of a new correction method taking electron scattering and process characeristics into account provides a linearity of 6.1nm. In addition, the line width of different featurees was kept in a range up to 12nm when the local pattern density was changed. The composite placement accuracy of 12nm fulfills already the requirements of the 65nm node. A special investigation proved the excellent fogging depression of the SB350.
Resist process optimization for a DUV laser pattern generator
Show abstract
For many years, laser pattern generation has been printing on i-line resists. As features sizes continue to shrink, laser pattern generation is moving to DUV laser wavelengths, and a production worthy resist process is needed. Characteristics such as standing waves, resist foot and CD drift under and after exposure have previously challenged efforts to migrate 248nm stepper chemically amplified resists (CARs) to mask making applications. In this study the performance of a commercially available 248nm laser/e-beam resist solution is examined in the Sigma7000 series laser pattern generators. To achieve virtually no resist foot as well as tight CD control the optimum process conditions for DUV laser applications were determined. Cross-sectional and top-down scanning electron microscopy analysis was performed to evaluate the resist and dry etch processes. A comparison is made with the resist DX1100P, used in initial stages for DUV pattern generators development. The new resist also benefits from being well established in mask making e-beam mask writers.
Improving global CD uniformity by optimizing post-exposure bake and develop sequences
Show abstract
Improvements in the final uniformity of masks can be shrouded by error contributions from many sources. The final Global CD Uniformity (GCDU) of a mask is degraded by individual contributions of the writing tool, the Post Applied Bake (PAB), the Post Exposure Bake (PEB), the Develop sequence and the Etch step. Final global uniformity will improve by isolating and minimizing the variability of the PEB and Develop. We achieved this de-coupling of the PEB and Develop process from the whole process stream by using “dark loss” which is the loss of unexposed resist during the develop process. We confirmed a correspondence between Angstroms of dark loss and nanometer sized deviations in the chrome CD. A plate with a distinctive dark loss pattern was related to a nearly identical pattern in the chrome CD. This pattern was verified to have originated during the PEB process and displayed a [Δ(Final CD)/Δ(Dark Loss)] ratio of 6 for TOK REAP200 resist. Previous papers have reported a sensitive linkage between Angstroms of dark loss and nanometers in the final uniformity of the written plate. These initial studies reported using this method to improve the PAB of resists for greater uniformity of sensitivity and contrast. Similarly, this paper demonstrates an outstanding optimization of PEB and Develop processes.
Automated CD-error compensation for negative-tone chemically amplified resists by zone-controlled post-exposure bake
Show abstract
Negative-tone chemically amplified resists (nCARs), like NEB22 are promising candidates for next-generation lithography, e.g. 90 nm and 65 nm technology node and next-generation lithography. For these resists, e-beam exposure and post-exposure bake (PEB) are most critical processes, since these resists show a strong sensitivity to post-exposure delay (PED) in vacuum during e-beam writing of about 0.5 nm/h, and in air while waiting for PEB. Further, such resists show a strong PEB temperature sensitivity of up to 8 nm/K. The multi-zone hotplate approach of the APB AFB 5500 bake system with its use prior temperature uniformity results in excellent global CD-uniformity already. However, all kinds of systematic large area effects of processes, e.g. blank coat/bake, exposure, PED, the PEB itself, etch loading, etc. may transfer in additional systematic CD-errors. Such systematic, repeatable errors can be reduced during PEB by superimposing an appropriate non-uniform temperature profile onto the regular, optimized uniform bake temperature profile, thereby compensating for such CD-non-uniformities. The required temperature profile can automatically be calculated from a suitable gobal CD measurement, determined in a typical process flow. The compensation of CD-errors resulting from vacuum PED and hotplate temperature characteristics is demonstrated here, by using automated temperature profile calculation. The global CD uniformity was improved significantly, the achieved results show a typical reduction of about 20-30%, from a total global range of about 9nm to about 6-7nm on leading-edge production photomasks.
Dehydration bake effects with UV/O3 treatment for 130-nm node PSM processing
Show abstract
As feature sizes of phase shift mask (PSM) have dropped below half-micron, resist adhesion have become a more critical issue, especially during second level lithography. Second writing process requires special consideration, because the resist's mechanical strength of resists on patterned chrome and patterned glass is smaller in comparison to that on the un-patterned chrome blank. If the adhesion strength is not sufficient to withstand the stress during subsequent processes, patterns will be damaged during second level lithography. Resists stress at pattern edges that subsequent processes, pattern will be damaged during second level lithography. Resist stress at pattern edges that weaken its adhesive property, together with the low mechanical strength of resists on glass, creates ample probability for the unwanted phenomenon in PSM process. In this paper, we investigate the effects of property and adhesive strength of resists on surfaces at different treatment before resist coating process, and observe the defects generation after different treatment.
Blanks
Creating direct-write gray-scale photomasks with bimetallic thin film thermal resists
Show abstract
New types of analog gray-scale laser direct-write masks have been created using bimetallic thermal resists and a direct- write laser process. Bimetallic resists consist of two layers of thin films, eg. Bi over In or Sn over In, which react to form a low temperature alloy when a laser raises the films above the eutectic temperature. Depending on the exposure energy, resulting alloyed layers appear to become oxides, causing a change of absorption at 365nm from >3OD to <0.3OD. The thermal resists show near wavelength invariance from IR to UV. The Sn/In films, each layer ~40 nm thick, were DC-sputtered onto glass slides or quartz substrates. To make gray-scale photomasks the samples were placed on a computer-controlled high accuracy X-Y table. A bitmap gray-scale pattern was raster-scanned with a CW Argon laser (514 nm) beam. An optical shutter controlled the actual laser power applied onto the thermal resist film according to the gray-scale value. When exposed to a laser beam greater than 0.6 W, the Sn/In film became nearly transparent (0.22OD) at I-line (365nm) wavelength. Sn/In and Bi/In photomasks have been used together with a standard mask aligner to successfully pattern Shipley SPR2FX-1.3 photoresist. CF4/O2 plasma etching has been used to transfer the three-dimensional pattern to SiO2 and Si substrates. Also a 160 beam laser diode thermal imaging tool was used to create BiIn direct-write binary masks.
Improved phase uniformity control using a new AAPSM etch stop layer technique
Show abstract
One of the major challenges in alternating aperture phase shift mask (AAPSM) production is the variability of the glass etch rate as a function of exposed area (pattern loading) on the mask. The lack of an endpoint system means that the etch is entirely based on time, and the result is increased variability in the mean etch depth as well as decreased yields against ever tightening phase specifications. If a transmissive etch stop layer were placed underneath an appropriate thickness of glass to obtain a 180-degree phase shift, the result is a forced endpoint at exactly 180 degrees every time. Such a film system also leads to many process advantages over conventional AAPSM processes. This paper discusses the film stack deposition and maskmaking at Photronics, Inc. and details the process advantages of using AAPSM blanks with etch stop layers.
Poster Session
Reticle surface contaminants and their relationship to subpellicle particle formation
Show abstract
Sub-pellicle particle formation continues to be a significant problem in semiconductor fabs. We have previously reported on the identification of various defects detected on reticles after extended use. This paper provides a comprehensive evaluation of various molecular contaminants found on the backside surface of a reticle used in high-volume production. Previously all or most of the photo-induced contaminants were detected under the pellicle. This particular contamination is a white "haze" detected by pre-exposure inspection using KLA-Tencor TeraStar STARlight with Un-patterned Reticle Surface Analysis, (URSA). Chemical analysis was done using Time-of-Flight Secondary Ion Mass Spectroscopy (ToF-SIMS) and Raman spectroscopy.
Defects/Yield
193-nm haze contamination: a close relationship between mask and its environment
Show abstract
The integration of 193nm Lithography is close to full production for the 90nm node technology. With the potential of emerging 193nm lithographic resolution down to 65nm, the quality of 193nm reticles including binary, EAPSM and AAPSM must be outstanding so that low K1 factor reticles may be used in production. One area of concern in the IC industry is haze contamination on the mask once the reticle has been exposed to ArF radiation. In this study, haze was found outside of the pellicle and on the quartz side of the mask. Standard through-pell inspections will typically miss the contamination, yet its severity can ultimately affect mask transmission. For this reason, DuPont Photomasks and Cypress joined forces to quickly decipher how it develops. In this investigation, tests were devised which altered conditions such as mask environment, exposure, traditional and advanced cleaning chemistry. This paper describes the relationship between surface and environmental photochemical reactions, the resultant growth, analysis, and how it is controlled.
Yield Mask: the latest developments and their application in a mask house production environment
Show abstract
Yield Mask, the first commercial Yield Management tool specifically developed for a Mask House, has been introduced and the necessity for such Yield Management system, given the current demands on high-end mask production, ascertained. In particular, Yield Mask has been shown to be a highly effective, defect-data analysis tool, with fully automated data collection and a database structure facilitating fast and flexible data retrieval and correlation, for process, inspection, SEM-review and repair data. The latest features of Yield Mask are now reported, including macros, user-definable sampling, user-definable grouping and defect tracking. These features are shown to enhance the efficiency of Yield Mask in a production environment. Macros are shown to significantly decrease the manpower required to run standard analysis routines, accommodating continuous monitoring and analysis of the data. User-definable sampling is shown to allow users to select defects of particular interest, within a given inspection report for subsequent SEM review. This significantly increases the efficiency of review carried out using basic sampling criteria. Lastly, user-definable grouping, along with defect tracking are shown to be advantageous in the selection of any, desired combination of data, for comparison and/or correlation.
Enhanced dispositioning of reticle defects for advanced masks using virtual stepper with automated defect severity scoring
Show abstract
As the semiconductor industry continues to scale down critical dimensions (CD), proximity effects get more and more severe. As such, aggressive Optical Proximity Correction (OPC) features like hammerheads, serifs and assist bars inevitably appear on fabricated masks. The great challenge, however -- to reliably assure the quality of these advanced masks -- is to be able to directly judge a controversial defect under such complex features. It is necessary to find a more effective way to accurately disposition the defects found on these masks. Simulation-based defect disposition strategies have now become much more important for judging defect printability. In this paper, we will study and characterize the printability prediction of various defects on high-end masks by Virtual Stepper® System with its improved Automated Defect Severity Scoring (ADSSTM) function. Both line-space masks with aggressive OPC features like assist bars and attenuated PSM with contact features with small sizes were used to verify the simulation engine and ADSS algorithm in this study. The Virtual Stepper simulation and defect impact analysis results (the automatically calculated Defect Severity Score) will be compared to the SEM images and measurements of wafer prints using 248nm lithography. In addition, production reticles are also used to compare the accuracy and efficiency of ADSS with human review. A new defect disposition flow is also tentatively proposed here to demonstrate that the Virtual Stepper System with its ADSS feature can provide its user with an automated, fast and accurate way of analyzing the impact of a defect. The Virtual Stepper System with ADSS function has been shown to be a suitable tool for photomask defect criticality assessment in mask shops and wafer fabs.
Inspection
Results from a new reticle defect inspection platform
Show abstract
A new DUV high-resolution reticle defect inspection platform has been developed to meet the sub-90nm node 248/193nm lithography reticle qualification requirements of the IC industry. This advanced lithography process typically includes COG layers, EPSM layers, and AltPSM layers; aggressive OPC is typically used which includes jogs, serifs, and SRAF (sub-resolution assist features). The architecture and performance of the new reticle defect inspection platform is described. Die-to-die inspection results on standard programmed defect test reticles are presented showing typically 50nm edge placement defect sensitivity, 80nm point defect sensitivity, 5.5% flux defect sensitivity, and 100nm quartz phase defect sensitivity. Low false detection results are also shown on 90nm node and below product reticles. Direct comparisons with UV wavelength inspections show measurable sensitivity improvement and a reduction in false detections. New lithography oriented defect detectors are discussed and data shown.
Investigation of smart inspection of critical layer reticles using additional designer data to determine defect significance
Show abstract
With expected implementation of low k1 lithography on 193nm scanners for 65nm node wafer production, high resolution defect inspection will be needed to insure reticle quality and reticle manufacture process monitoring. Reticle cost and reticle defectivity are both increasing with each shrink to the next node. Simultaneously, system on chip (SoC) designs are increasing in which a large area of the exposure field typically contains dummy patterns and other features which are not electrically active. Knowing which defects will electrically impact device yield and performance can improve reticle manufacturing yield and cycle time -- resulting in lower reticle costs. This investigation examines the feasibility of using additional design data layers for die-to-database reticle inspection to determine in real time the relevance of a reticle defect by its location in the device (Smart InspectionTM). The impact to data preparation and inspection throughput is evaluated. The current prototype algorithm is built on the XPA and XPE die-to-database algorithms for chrome-on-glass and EPSM reticles, respectively. The algorithms implement variable sensitivity based on the additional design data regions. During defect review the defects are intelligently binned into the different predetermined design regions. Tests show the new Smart Inspection algorithm provides the capability of using higher than normal sensitivity in critical regions while reducing sensitivity in less critical regions to filter total defect counts and allow for the review of just defects that matter.
Performance characterization of a variable sensitivity Smart Inspection algorithm is discussed in addition to the filtering of the total defect count during review to show the defects that matter to device performance. Using seven critical layer production reticles from a system on chip device we examine the applications of Smart Inspection by layer including active, poly, contact, metal and via layers. Data volume for additional data layers show little impact to inspection data prep time. The total area of the reticle where defects do not matter is as high as 70% on some layers. Review capabilities will be examined for various applications such as reviewing defects in the various regions such as SRAM, dummy pattern, and redundant contact/via specified regions. Lastly, the economics of Smart Inspection will be modeled using the collected knowledge of the applications from the production reticle characterized in this investigation.
Aerial-image-based off-focus inspection: lithography process window analysis during mask inspection
Show abstract
The industry roadmap for IC manufacturing at design rules of 90nm and below foresees low k1-factor optical lithography at 193nm exposure wavelength. Aggressive model-based OPC are being used more and more frequently in order to achieve the extremely tight mask CD specifications required by 90nm technology node. State-of-the-art mask inspection is challenged to detect CD defects close to metrology resolution. Inspection of OPC is critical; OPC feature dimensions are usually near or below the resolution limits of mask exposure. In addition, chrome defects can be semitransparent and change the intensity of light on the wafer. In this paper aerial-image based mask inspection is investigated and presented. The concept inspects a given mask based on its aerial image with selected wafer exposure conditions, thus “finds only defect which will print”. This paradigm shift in mask inspection philosophy provides the unique opportunities of verifying and controlling the entire aerial image generated by the inspected mask. As reticle enhancement techniques like OPC are designed to enhance the aerial image of a mask, this concept offers a comprehensive way of inspecting these techniques. The inspection is shifted from detecting every single minor change on mask to detecting what on mask could possibly impact the printing image quality on the wafer. In this paper an advanced application of aerial-image based mask inspection is discussed in more detail. As a standard, the Aera193 uses the best-focus aerial image for defect detection. From HNA mask inspection it is a well-known fact, that shifting the inspection off-focus, can provide a more sensitive detection. In the csase of aerial-image based inspection, going off-focus can be compared with lithography exposure out of focus. In other words, the lithography process window will be taken into account for defect detection. This methodology provides additional important information
·Understand process window printability of defects detected at best-focus
·Detect additional defects, which may print at the borders of the process window.
This information is of extreme value for wafer lithography and may help by decisions about lithography process and mask usage.
Focus of the paper is to analyze the application of aerial image-based off-focus inspection. Advanced OPC test plates are used to analyze detection at best and off-focus. The inspection results are compared to actual wafer results. Wafer lithography benefit is discussed.
Cleaning
Comparative evaluation of mask cleaning performance
Show abstract
Cleaning is one of the most important processes in photomask manufacturing, because the smallest particles may be printable on wafers. Moreover, mask cleaning requirements are stricter than that for wafers because masks are the master image from which all wafers prints will be made. We now face difficult challenges as we enter the 90nm era with 193nm DUV lithography and more prominent use of phase shifting applications. As defect sizes to be controlled in the cleaning process decrease, cleaning performance depends not only on conventional chemical treatments and megasonic hardware, but also on new cleaning methods such as UV/Ozone treatment. We investigated and compared the cleaning performance of UV/Ozone treatment + traditional chemical cleaning methods with standalone conventional wet chemical cleaning methods on glass, chrome, and MoSiON blank surfaces over pattern densities at 70% and 30% clear in the pattern area. Contact angle measurements and wettability tests were performed as well to evaluate cleaning performance results. The cleaning effectiveness with different drying methods on EAPSMs has been also investigated by controlling phase and transmission of KrF EAPSMs to within ±3'and ±0.3% respectively. Overall, it was found that the UV/Ozone pre-treatment combined with the traditional chemical cleaning process results in a better particle removal rate compared to conventional cleaning methods when it comes to removing the smallest mask particles., and it did not adversely affect EAPSM optical properties.
Repair
Advanced FIB mask repair technology for 90-nm/ArF lithography: III
Show abstract
The SIR5000 mask repair system was developed with an FIB system featuring new ion optics, modified SED detectors, new platform software and optimized repair processes to repair 130nm/ArF generation masks. Thereafter we have continuously improved it for 90nm/ArF lithography and evaluated its performance such as edge placement repeatability, lithography simulation and printing tests.
The transmittance of FIB imaging area is more than 95% over 70 times scans, and the printing result data also shows that the imaging damage by FIB scans little affect CD until around 70 times. The ED windows of both repaired clear and opaque defects almost overlap non repaired reference ones, and they show that the printing performance of repaired mask does not have any printing issues. Consequently, we demonstrated that the improved SIR5000 capability has reached the 90nm node mask technology requirement.
Material removal strategies and results for 193-nm lithography using FIB mask repair
David C. Ferranti,
Anthony Graupera,
Jeff Marshman,
et al.
Show abstract
For Chrome binary masks, FIB defect repair has become increasingly challenging as feature sizes and exposure wavelengths are reduced. Chrome opaque defects are typically the most difficult to remove due to the lack of a highly effective gas chemistry for enhancing the FIB removal process. Repair artifacts such as gallium staining from ion beam exposure, quartz damage and re-depsoited material are all important factors to be considered. New processes continue to be developed to extend FIB mask repair to the 100nm lithography node using a 193nm exposure wavelength. FEI, under an International Sematech funded program has developed processes to target the following criteria: Edge Placement: 15nm, 3σ; Transmission: >95%, λ=193nm; Maximum Quartz Damage: <10nm before post processing. Ideally, these specifications should be met simultaneously. However, in the case of quartz damage, it is of greater importance to consider the volume of damage after post-processing. Chrome opaque defects on previous generations of mask have typically been removed by a first step using a combination of etching gases which enable the removal of chrome and a second repair step which, in combination with a focused gallium ion beam, removes the gallium implanted quartz resulting from the initial chrome removal. The primary issue becomes a transmission loss mainly due to excessive quartz damage resulting from the gallium removal and also a trench (commonly referred to as 'riverbed') that was created during the initial chrome removal step. An edge bias into the chrome line is commonly used to restore transmission at best focus, typically measured at the exposure wavelength on AIMS (Aerial Image Measurement System). However when repairs are evaluated against the emerging specification of AIMS through focus variation, it becomes apparent that it is mroe desirable to use a process that provides les CD variation through focus.
EUV Technology
EUV substrate and blank inspection with confocal microscopy
Show abstract
One of the key challenges for the successful implementation of EUV Lithography (EUVL) is the supply of defect free mask blanks. Obviously a reliable defect inspection is a prerequisite to achieve this goal. We report results from a EUVL blank inspection tool developed by Lasertec. The inspection principle of this tool is
based on confocal microscopy at 488nm inspection wavelength. On quartz substrates a sensitivity of 60nm is demonstrated. On buried defects in the multilayer stack a reasonable capture rate down to approximately 25nm defect height has been measured. We compare these results to previously reported data on the wafer version
(M350) of the current M1350.
EUV mask making: an approach based on the direct patterning of the EUV reflector
Show abstract
Extreme Ultraviolet Lithography (EUVL) is the leading candidate for manufacturing integrated circuits beyond the 45-nm technology node. The masks for EUVL are reflective and significantly different from current transmission masks for deep UV lithography. Many authors have demonstrated the patterning of EUVL masks using different types of absorber stacks that were deposited on top of the multilayer reflector. More recently, a new approach based on the etching of the multilayer reflector in order to define the mask pattern was proposed [2]. Using rigorous electro-magnetic simulations, it was shown that this subtractive approach could provide better process latitude, less H-V bias and smaller image-placement errors compared to the traditional masks based on the additive method. Even though the mask processing shows interesting challenges, this approach might offer immediate advantages over the more traditional patterning technique using the absorber stack, beyond those predicted for lithography imaging. These include the possibility to use optical inspection in transmission mode, which can provide the high-contrast images that are essential for high-sensitivity detection of small defects.
In this paper, we present the first results on the patterning of EUVL masks using the direct etching the EUVL multilayer reflector (Mo/Si type) to produce EUV binary masks. In particular, we show how the process parameters can be adjusted to control the pattern sidewall angle. We also present an analysis of the influence of this sidewall angle on lithography imaging, based on lithography simulations. Finally, we show results from the optical inspection of these etched-multilayer binary masks (EMBM).
Optimization of EUVL reticle thickness for image placement accuracy
Show abstract
Extreme ultraviolet lithography (EUVL) is one of the leading candidates for next-generation lithography in the sub-65 nm regime. The International Technology Roadmap for Semiconductors proposes overlay error budgets of 18 nm and 13 nm for the 45 nm and 32 nm nodes, respectively. Full three-dimensional finite element (FE) models were developed to identify the optimal mask thickness to minimize image placement (IP) errors. Five thicknesses of the EUVL reticle have been investigated ranging from 2.3 mm to 9.0 mm. The mask fabrication process was simulated, as well as the e-beam mounting, pattern transfer, and exposure mounting, utilizing FE structural models. Out-of-plane distortions and in-plane distortions were tracked for each process step. Both electrostatic and 3-point mounts were considered for the e-beam tool and exposure tool. In this case, increasing the thickness of the reticle will reduce the magnitude of the distortions. The effect of varying the reticle thickness on chucking was also studied. FE models were utilized to predict how changing the reticle thickness would affect the overall clamping response. By decreasing the reticle thickness (and therefore the effective bending stiffness), the deformed reticle is easier to flatten during chucking. In addition, the thermomechanical response of the reticle during exposure was investigated for different reticle thicknesses. Since conduction to the chuck is the main heat dissipation mechanism, decreasing the reticle thickness results in more energy being conducted away from the reticle, which reduces the maximum temperature rise and the corresponding thermal distortion.
The FE simulations illustrate the optimal thickness to keep IP errors within the allotted error budget as well as provide the necessary flatness during typical chucking procedures.
METROPOLE-3D: a three-dimensional electromagnetic field simulator for EUV masks under oblique illumination
Show abstract
Conventional lithography techniques have been losing their ability to easily support continuous shrinking of feature sizes, especially when the pattern half-pitch is <60 nm. EUV lithography is one of the leading contenders to replace these conventional techniques. Because the EUV mask structure is many times thicker than the illumination wavelength, scalar Fraunhofer diffraction calculations cannot describe the scattering of light from the EUV masks with enough accuracy. In this paper, we present a rigorous 3-D electromagnetic field simulator for EUV masks. The simulator is based on a 3-D waveguide method developed to calculate the characteristics of the light scattered from nonplanar EUV masks. A typical EUV mask contains as many as 80 reflective layers in addition to the absorbing layers, and we have developed a fast method to calculate the scattering matrix of the reflective layers. Also, based on the existing numerical techniques, we can describe the light scattering in the absorbing layers with complex index of refraction. The simulator has been recently modified to handle oblique illumination conditions, and this is the focus of our paper. Aerial images are calculated in the image plane of a typical EUV stepper, and a threshold resist model is used to predict the printed pattern size. We will first compare our work with published results on dense and isolated lines. Then, we will describe the results of our calculations for two-dimensional patterns (e.g., contacts and islands) under oblique illumination. The typical simulation time is less than 10 hours on a desktop workstation for two-dimensional patterns.
Metrology
Fine pixel CD-SEM for measurements of two-dimensional patterns
Show abstract
A fine pixel CD-SEM system is developed. The convnetional CD-SEM Topcon MI-3080UR system consists of main body, 512 pixel SEM image acquisition system and 1D pattern size measurement system. The fine pixel CD-SEM system is added the conventional CD-SEM TOPCON MI-3080UR system. The fine pixel CD-SEM system consists of 2048pixel SEM image acquisition system is used by adjusting a novel measurement algorithm for the SEM image of 2D patterns. Firstly, the necessity of the fine pixel CD-SEM is discussed from the viewpoint of getting good repeatability of pattern size measurements. Effective factors causing the good repeatability for pattern size measurements are studied. The effective factors are mainly SEM image quality and pattern measurement algorithm. Secondly, repeatability of 2D pattern measurements by using the developed fine pixel CD-SEM image and the novel algorithm are evaluated. The evaluated 2D patterns are used for hammer head type OPC patterns for DRAM cell pattern. Finally, we investigate the usefulness of the fine pixel CD-SEM by usign the same novel algorithm for comparison of the conventional and the fine pixel CD-SEM.
Corner roundness and contact area algorithms for reticle metrology through the use of region connectivity extraction
Show abstract
SEM Metrology becomes the standard metrology for the mask industry, as the precision and accuracy requirements tighten continuously. In this paper we consider the basic requirements for performing 2D measurements of the reticle, such as contact area and corner roundness as well as the algorithmic development to generalize a solution for these requirements. We consider three main requirements from such algorithm: a) To be generic and deal with general shape features. b) To measure new geometric metrics - such as contact area and corner roundness. c) TO measure new geometric patterns suhc as OPC features and small CDs. These challenges require the development of new algorithms for CD metrology. These algorithsm perform detection and measurement of new geoemtric objects, and provide the repeatability and robustness for reticle production process control. In the first part of the paper we will describe the novel algorithm for detection of general shape features - "Region Connectivity Extraction". In the second part of the paper, we will provide mathematical tools that we have implemented for analyzis of corner roundness of noisy contours and demonstrate the performance of these algorithms for synthetic contours of different shapes with different noise levels. We will conclude with the application of our algorithm and analysis of real SEM images of the reticle features.
Development of phase-shift and transmittance metrology system for 157-nm PSMs
Show abstract
Direct phase-shift measurement is one of the key technologies to realize Phase-Shift-Mask (PSM) application. Most mask makers are developing practical PSMs for 157nm lithography. Final tuning of the optical parameters and quality assurance of them require accurate measurement tool of phase-shift and transmittance with 157nm light
illumination. In this paper, we will report the development of the system, which measures the phase-shift and transmittance of 157nm PSM at wavelength. This system has a 157nm F2 laser as a light source of the illumination and CaF2 optics with a CCD camera for the imaging. Key component is the interferometer, which has a function of lateral image shearing and phase modulation. The same technology is used in the current UV and DUV tools already exist. N2 purge and vacuum environments are newly introduced for the optical path to minimize attenuation of 157nm light by O2 and H2O. A fluctuation of the attenuation in the optical path significantly affects the short-term measurement repeatability. A new measurement algorithm, which uses two measurement spots on a PSM image, gives better repeatability than using single measurement spot under such unstable condition. Because most fluctuations are common elements to both of the two spots, they can be canceled out by the new calculation algorithms for phase-shift and transmittance measurements. The system with new techniques shows enough performance for the requirement of 157nm PSM measurements with new techniques.
Optical critical dimension (OCD) measurments for profile monitoring and control: applications for mask inspection and fabrication
Show abstract
Optical Critical Dimension (OCD) measurements using Normal-Incidence Spectroscopic Ellipsometry (polarized reflectance) allow for the separation of transverse electric and transverse magnetic modes of light reflected from an anisotropic sample as found in a periodic grating structure. This can provide the means for determining linewidths and analyzing complex profiles for a variety of structures found in mask fabrication. The normal-incidence spectroscopic ellipsometer maintains much of the simplicity in mechanical design found in a standard reflectometer and the additional polarizing element has no effect on the footprint making the system amenable for integration, inline monitoring and advanced process control. The rigourous coupled wave analysis (RCWA) method provides an exact method for calculating the diffraction of electromagnetic waves by periodic grating structures. We have extended OCD technology to critical measurement points in the mask fabrication process: After development inspection (ADI), where OCD evaluates mask writer performance and after etch inspection (AEI) for monitoring and control of etched quartz structures for phase shift applications. The determination of important, critical dimensions via optical techniques is appealing for several reasons: the method is non-destructive to photoresist and the sample is not subject to charging effects; the technique is capable of measuring the critical dimensions of grating structures down to approximately 40 nm; minimal facilities are required for installation (no high vacuum, cooling or shielding of electromagnetic fields); like optical thin film metrology, OCD technology can be integrated into process tools enabling Advanced Process Control (APC) of the etch process. Results will be presented showing the capabilities of OCD metrology for ADI and AEI applications. Comparisons will be made with both CD-SEM and X-SEM and the application to monitoring/controlling the quartz etch process will be discussed.
Poster Session
Improved image placement performance of HL-7000M
Show abstract
HL-7000M electron beam (EB) lithography system has been developed as a leading edge mask writer for the generation of 90 nm node production and 65 nm node development. It is capable of handling large volume data files such as full Optical Proximity Correction (OPC) patterns and angled patterns for System on Chip (SoC). Aiming at the technological requirements of the International Technology Roadmap for Semiconductors (ITRS) 2002 Update, a newly designed electron optics column generating a vector-scan variable shaped beam and a digital disposition system with a storage area network technology have been implemented into HL-7000M. This new high-resolution column and other mechanical components have restrained the beam drift and fluctuation factors. The improved octapole electrostatic deflectors with new dynamic focus correction and gain alignment methods have been built into the object lens system of the column. These enhanced features are worth mentioning due to the achievement of HL-7000M's Image Placement (IP) performance. Its accuracy in 3σ of a 14 x 14 global grid matching result over an area of 135 mm x 135 mm measured by Leica LMS IPRO are X: 6.09 nm and Y: 7.85 nm. In addition, the shot astigmatism correction has been in the development and testing process and is expected to improve the local image placement accuracy dramatically.
Mask patterning technology with KrF photomask repeater
Show abstract
It is intended to evaluate the feasibility of 0.15μm generation mask fabrication with a KrF photomask repeater. Inter-field registration accuracy(3sigma) is 28nm in X direction and 45nm in Y direction on a daughter mask in the KrF photomask repeater process and that is out of the registration specification(3sigma 30nm) of 0.15μm generation mask. The intra-field registration accuracy(3sigma) within a 18.4mm × 23.0mm field on a daughter mask is about 9nm with compensation of mis-registration to a mother mask. Inter-field CD uniformity(3sigma) is 8nm on a daughter mask and intra-field CD uniformity(3sigma) can be improved into 15nm with the compensation of CD error to a mother mask. Pattern fidelity in the KrF photomask repeater is inferior to that of the e-beam process. Hence it is necessary to apply OPC pattern to a mother mask in order to fabricate the 0.15μm generation mask.
Dose-modulation-induced mask CD error on simultaneous correction of fogging and loading effect
Show abstract
In order to analyze a simultaneous correction of fogging and loading effect, the e-beam lithographic simulation was performed with dose modulation method. The in-house e-beam simulator which adopts Monte-Carlo method for electron scattering is used for performing Proximity Effect Correction (PEC) and fogging correction during the e-beam
lithographic processes. Various values of theta, representative parameter which describes the deposited energy by fogging, are used for simulation. Fogging effect is well known phenomenon which is the additional energy deposition into large exposed area by second electron scattering, and this fogging correction is successfully achieved by dose modulation method. However, etch loading cannot be compensated properly by modulating dose due to its unique
property. From the simulation results, it is obviously necessary to correct etch loading effect and fogging effect simultaneously in order to cure global and local CD errors. The bigger loading effect is, the bigger local CD error induced by dose modulation method is to be generated. This global error is reducible but irremovable perfectly owing the discrepancy between the property of etch loading effect and dose modulation. However, the proper selection of eta,
the ratio of the energy deposition into resist from the back scattering electrons verse the forward scattering electrons, can remarkably reduce the global and local CD errors. As a consequence, the method of the dose modulation is not the perfect way to correct the CD errors induced by etch loading or positional induced error. Nevertheless, the dose modulation method with variable eta can be an alternative way to control the designed CD because of its precision and rapidity.
Mask-making study for the 65-nm node
Show abstract
The specifications of mask critical dimension (CD) have become much tighter for sub-100nm nodes to satisfy wafer CD uniformity requirements. The small patterns produced by aggressive optical proximity correction compound the difficulty, thereby necessitating the use of e-beam writers in combination with chemical amplified resists (CARs). Challenges of resists include post coating delay (PCD), post exposure delay (PED) in vacuum, and strong post exposure bake (PEB) sensitivity. CD errors are classified into localized area and global ones; machines causing each type of errors are then identified. Focus variation and fogging effect have to be emphasized for the 65-nm requirements. Although the DOF of e-beam systems is larger than that of the optical systems, high current density and/or plate-to-plate deviation may cause focus variation to result in poor CD uniformity. Therefore, dosage optimization is necessary for getting the best focus. The fogging effective level is around 3~10 nm at various pattern loadings. The paper presents, quantitative results and the methodology leading to them.
Investigation of Cr etch kinetics
Show abstract
Studies on Cr etch and its kinetics were carried out using a 50KeV photomask e-beam writing system, an ICP plasma etcher, chemically amplified resist (CAR), and a scanning electron microscope (SEM) metrology tool. A Cr etch rate equation was developed, showing good agreement with experimental data. Both the theoretical rate equation and experimental results showed that the main Cr etch rate effect parameters were oxygen mass flow rate, oxygen partial pressure, and ICP power. It was found that pressure plays a very important role in critical dimension (CD) uniformity etch contribution, loading effects, isolated/dense (I/D) etch CD bias, and etch CD movement. Etch kinetic information was found to be very helpful for improving CD uniformity, reducing pattern (local loading) effects, and controlling CD movement at the etch step. Some obsolete-pattern photomasks were used in the kinetic study. The main advantages of using obsolete photomasks include reducing resist effects on Cr etch rate investigation, obtaining much more etch kinetics data, and significantly lowering process development costs normally incurred from lithography tool time and raw photomask material consumption.
Plasma and flow modeling of photomask etch chambers
Show abstract
The uniformity of critical dimensions is an important aspect of photomask fabrication, and the etch process can be improved by optimizing the geometry of the focus ring that surrounds the mask. Previous experimental results have shown that the focus ring can have a dramatic impact on the variability of critical dimensions on the photomask. Simulations were performed with the Hybrid Plasma Equipment Model (HPEM) software to examine the impact of different focus ring geometries on the plasma characteristics and improve the understanding of the experimental data.
Use of lower-end technology etch platforms for high-etch loads
Show abstract
In order to meet the needs of multiple customers with varying design specifications, merchant photomask suppliers need to provide photomasks for a wide range of design patterns. Some masks require etching less than 1% of the total mask film, while others require etching over 80% of the mask. Etching masks with these extremes in pattern loads can often require upgrading existing tool sets, particularly as the mask specifications become tighter. One alternative to upgrading tools is to develop new load-specific processes on existing lower-end tools, which requires a substantial amount of development work. Dry etching MoSi Embedded Attenuating Phase Shift Material using sulfur hexafluoride and helium under all etch loads presents challenges in the Unaxis Generation II mask etch platform. Etch processes developed for low load masks cannot always be used for high load masks due to problems in maintaining a stable process with good performance. In order to improve the etch performance for high MoSi loads (> 70% clear), a Gen II specific hardware design which can adversely affect uniformity at high loads was identified and eliminated as a dominant source of non-uniformity. A DOE studying total gas flow, He/SF6 ratio, pressure, ICP, and RIE power was then used to identify a stable process window for high MoSi loads. Another DOE studying the effects of pressure, ICP power, and RIE power on process uniformity was then carried out within the stable process window. Process conditions were identified which produced highly loaded 248nm and 193nm EAPSM masks with phase uniformity below 3°. Sidewall profiles were vertical for 193nm MoSi films but were slightly tapered for 248nm MoSi films, both with less than 5nm of CD bias.
Improvements in binary chrome CD performance utilizing an optimized 4th-generation reactor platform
Show abstract
The ITRS roadmap indicates that significant improvements in photomask dry etching will be necessary to achieve the design goals of 90nm and 65nm technology node masks. Although some existing dry etch systems are capable of R&D work on these masks, a new dry etch system is needed to achieve production worthy results. To this end, a new 4th generation mask etch system was designed and built by Unaxis USA, Inc. In early testing, the Unaxis Mask Etcher 4 has demonstrated significant improvements in CD uniformity and linearity compared to earlier systems. A designed experiment (DoE) was performed on this new system to more fully characterize its performance window. The results of these experiments are presented and compared to a standard process performed on a Unaxis Mask Etcher 3.
Examination of various endpoint methods for chrome mask etch
Show abstract
Accurate determination of endpoint is important for creating a repeatable process that maximizes sidewall profile angle and resist selectivity while maintaining a low etch bias. An Applied Materials EyeD (TM) spectrometer on the Tetra(TM) II photomask etch system is used to examine several endpoint methods to maximize flexibility and productivity. These methods include: slope changes to a single line, slope changes via a ratio of product and etchant species and slope changes of a linear combination of all slope changes. Endpoint identification is typically performed with a single spectral line. In addition, a method using neural networks, or principal component analysis (PCA) has also been created in order to fully optimize and characterize exact endpoint definition. Comparison between these methods will be discussed.
Optimization of data handling prior to fracturing for reduction of mask writing time
Show abstract
As the industry is targeting the sub-100nm nodes, the pressure on the data path and tapeout flow is growing. Design complexity and increased deployment of resolution enhancement techniques (RET) result in rapidly growing file sizes, which turns what used to be the relatively simple task of mask data preparation into a real bottleneck. Previous work indicated that the properties of the incoming layout - hierarchy, grid, aggressiveness of the RET solution have a major impact on the performance of fracturing and mask writing The tuning of OPC can reduce it's impact on subsequent fracturing. This study investigates the impact of OPC setup- various fragmentation parameters like fragment length, density, interaction radius and, various line-end correction schemes on the performance parameters for the mask data preparation and mask writing. Parameters like run-time, file size, shot count and small figures will be reported in dependence on the input layout preparation history. Approaches to optimize the integrated RET and MDP flow while maintaining manufacturability and the required CD control are introduced and evaluated.
Detection and impact of mask manufacturing constraints on OPC efficacy
Show abstract
Much has been said of the impact that advanced RET and OPC are having on the mask manufacturing process. However, increasingly, the limitations of mask manufacturing are impacting the quality and effectiveness of advanced RET and OPC, and they do this often in unpredictable ways. Detection of when these constraints limit the success of RET/OPC before the mask is made is critical to achieving cost and schedule control. An understanding of the conditions under which sub-optimal solutions result is also a key aspect of early RET/OPC recipe development, since this is the time when more options exist for satisfactory resolution. It is therefore a requirement in both production and development to analyze, in both a qualitative and quantitative manner, the effectiveness of the RET/OPC procedures using the actual layout, where it has been applied. The paper will present a methodology for accomplishing this analysis at the full chip level, and demonstrate the results.
Investigation of an enhanced mask data preparation system using unified mask data formats
Show abstract
Mask data preparation is a complicated process because many kinds of EB data files and jobdeck data files are used in mask manufacturers and EB data files continue to become bigger. Therefore we have started to develop new mask data format with efficient data compaction and unification among Variable-Shaped-Beam (VSB) EB mask writers. We have proposed the unified mask pattern data format for EB writers named "NEO"1 in the 22nd annual BACUS symposium. We have proposed the unified mask layout format named "MALY" 2 and the high-compression data processing system3 for NEO in Photomask Japan 2003, too. Then we have decided to develop an enhanced mask data preparation system using NEO4 and MALY5. This system has common MDP functions not to be related to each EB writer. That would be effective in reducing mask data handling cost. In this paper we introduce the abstract of NEO and MALY and new mask data preparation system using NEO and MALY.
Techniques for maximizing yield in nanometer designs
Show abstract
As designs grow more complex, process technologies become smaller, and geometry counts increase, the work required to achieve acceptable yeild becomes increasingly demanding and difficult. Underlying this state of affairs is the need to maximize yield without increasing manufacturing costs. This paper addresses techniques for increasing yield as well as suggestions for determining whether yield enhancement techniques are cost effective.
Study of dry etching pattern profile of chromeless phase lithography (CPL) mask
Show abstract
The chromeless phase lithography is a potential technology for low k1 optical image. Since the image quality is controlled by the phase interference, the phase error that results from mask processing becomes an issue. In the previous study, we have investigated the phase error effect and optimized the etching recipe by using the orthogonal DOE method. However, the 3D pattern profile is another critical factor, which affect the image intensity and needs to be clarified. In this paper, the through pitch L/S pattern with various profile angles were studied. Test patterns were measured, and verified by AFM, SEM, and metrology tools to get profile angles, phase values, and CD dimension. Simulation was used to predict the trend of process performance and analyze the impact on process control. The process windows for specific pattern profiles were also verified by wafer printing result. An optimized etching process and a set of spec recommendations for the CPL PSM was obtained.
Comparisons of 9% versus 6% transmission attenuated phase-shift mask for the 65-nm device mode
Show abstract
The minimum gate pitch for the 65nm device node will push 193nm lithography toward k1 ~ 0.35 with NA = 0.85. Previous work has analyzed the challenges expected for this generation. However, in the simplest terms, optical lithography for the 65nm node will be difficult. Lithographers are, therefore, looking into high-transmission attenuated phase shift mask (high-T attPSM), where T > 14%, to improve process margins. The benefits of a high-t attPSM are substantial, but drawbacks like inspection difficulty, defect free blanks manufacture, and sidelobe printing may make the use of such masks impractical. One possible solution to this problem is to employ medium transmission (med-T) attPSM, such as T = 9%, to image critical levels of the 65nm node with 193nm lithography. Earlier work shows that the problems High-T attPSMs face are manageable for med-T attPSM. Sidelobe printing in particular will be treated in this work with simulation and experiment. A primary goal of this effort is to determine if the lithographic benefit of moving from industry-standard 6% attPSM to 9% attPSM is worth the risks associated with such a transition. This goal will be met through a direct comparison of experimental 0.75NA 193nm λ results for 6% versus 9% attPSM on gate, contact/via, and metal layers at 65nm generation target dimensions with leading edge resists. Additional information on the inspectability and reticle blank manufacture of % AttPSM will also be given to provide a cohesive analysis of the transition tradeoffs.
200-mm EPL stencil mask fabrication and metrology
Show abstract
200-mm electron-beam projection lithography (EPL) masks were fabricated starting from stress-controlled silicon-on-insulator (SOI) substrates. The internal stress of the SOI layer is controlled to be ca. 10 MPa by B doping. The blank fabrication process has been established by the Bosch deep trench etch process. EB patterning was done on a JEOL JBX9000MVII with a positive-tone chemically amplified resist of 400-nm thickness. Resist image of 200-nm wide lines-and-spaces pattern was transferred to 2-um thick SOI layer by a shallow trench etching. A dual-mode critical dimension (CD)-SEM was implemented, and used for mask characterization. Preliminary results on uniformity of CD-shift in the dry etching and final CD were reported. 200-mm EPL masks with a gate layer of a system-on-chip device pattern were fabricated.
A new concept of image imbalance correction for phase-shift mask lithography at 65 nm
Show abstract
As we approach the 65nm node, the impact of the image imbalance phenomenon in phase shift mask lithography is proving to have a serious impact on the robustness of the phase shift mask solution. In this work we describe a new concept for the phase shift imbalance correction. The method is based on an interference concept that allows the manipulation of the image intensity by placing sub resolution features within the zero phase regions. Rigorous 3D simulations illustrate the reduction in the intensity of the 0 degree phase regions to match the intensity of the 180 degree phase intensity, effectively correcting for the image imbalance. We show that the phase shift mask low sigma illumination conditions reduce the risk of printing these sub-resolution binary features increasing the flexibility to vary the size of the feature based on circumstances to fine tune the correction locally.
Application of rigorous electromagnetic simulation to SLM-based maskless lithography for 65-nm node
Show abstract
Maskless lithography imaging based on SLM tilt mirror architecture requires illumination of light on a non-planar reflective topography. While the actual mirror dimensions can be much larger than the wavelength of light, the spacing between mirrors and the tilt range of interest are on the order of the wavelength. Thus, rigorous electromagnetic solution is required to capture light scattering effects due to the non-planar topography. We combine high NA imaging simulation with rigorous simulation of light scattering from the mirrors to study its effects on 65nm maskless lithography imaging. We vary mirror size, mirror tilt arrangemetn, feature type and illumination settings and compare the rigorous light scattering imagign resutls wtih standard imaging simulations using Kirchoff approximation. While electromagnetic scattering effects are present in the form of lateral standing waves and edge streamers in reflected light near-field intensity, they have negligible effects on SLM imaging for mirror sizes more than 1μm2. The effects of mirror tilt arrangement on diffraction orders aer used to study the through-focus behavior of alternating rows arrangement used in the SIGMA maskwriters as well as alternative arrangements. The good imaging properties of the alternating rows arrangement used in the SIGMA maskwriters as well as alternative arrangements. The good imaging properties of the alternating rows arrangement are confirmed and a multipass overlay scheme giving further image fidelity improvements is suggested.
Fourier optic imaging equations for the immersion case
Peter D. Brooker
Show abstract
The purpose of this paper is to extend the Fourier optic imaging equations to the case of immersion lithography. Specifically, the paper will derive the equation describing the complex amplitude at the wafer plane for both the immersion case and the non immersion case. By comparing the two equations, a parameter transformation will be explicitly derived that allows the equation for the non immersion case to describe the immersion case. With these transformations, lithography simulators such as SOLID-C can be used to accurately model immersion lithography.
Experimental investigation of hard pellicle purge processes
Show abstract
Optical lithography with 157-nm light is expected to bridge the gap between 193-nm technology and next-generation lithography. One important practical difficulty facing the implementation of 157-nm technology is gas absorption of 157-nm light. The exposure process for 193-nm technology is carried out in air. However, oxygen and water vapor attenuate 157-nm radiation. Alternatively, the exposure can be carried out in a nitrogen-purged environment. The purification of the volume trapped between the reticle and the hard pellicle is challenging because of the delicate pellicle geometry. In this paper, experimental results are presented that support the design and development of pellicle purge processes for 157-nm optical lithography. Specifically, a hard pellicle was installed in the Pressure Bulge Tool (at the UW Computational Mechanics Center) and experimental measurements of the pressure-induced pellicle distortion were obtained. The pressure loads imposed on the pellicle are representative of those expected during in-tool purge processes. Separate testing quantified the pellicle fracture stress and the flow characteristics of the pellicle/reticle geometry for a particular vent configuration (i.e., frame vent hole size and number, and filter system). These flow characteristics are important, as they ultimately dictate the pressure difference imposed on the pellicle during any purging process. These various experimental measurements, when taken together, facilitate the assessment of the feasibility and requirements associated with alternative pellicle purging strategies for 157-nm lithography.
157-nm alternating phase-shifting mask design and high-NA images
Show abstract
This report is the second series of 157nm alternating phase shifting mask done at ISMT. In this report, we present a comprehensive study of balancing aerial image through various feature sizes and pitches. New resutls of resist imagse are analyzed from a 157-nm alternating PSM with a 0.85 NA lens. The mask is made by dual trench technique with a phase-etch of 115nm and an isotropic under-etch of 90nm based on optimized simulation results. With this dual trenched mask, the wafer printing images show tremendous improvement on 'line paring' phenomena. We also investigate some abnormal CD variation across line array observed during this study. The results from this work give an initial assessment of 157-nm capability of alternating PSM and 157-nm resist imaging quality.
Sol-gel fabrication of high-quality photomask substrates for 157-nm lithography
Show abstract
Modified fused silica is a strong candidate material for photomask substrates in 157-nm lithography. Such a material must possess improved transmission and birefringence characteristics compared to conventional photomask substrates. Although there has been some success in producing modified silica using chemical vapor deposition, substantial improvements in quality and cost are desirable. A novel sol-gel based technique to inexpensively produce high quality 157-nm photomask substrates is being developed to address these issues. The complex relationships between glass properties and glass forming parameters were determined. Methods to improve the ultraviolet transmission at 157-nm were established, and modified silica without striae or optical defects were developed. Glasses produced using the sol-gel process displayed low birefringence and good homogeneity. With further improvement in transmission at 157 nm this glass may demonstrate technical and cost superiority to commercially available 157-nm photomask substrates.
Mask challenges and capability development for the 65-nm device technology node: the first status report
Show abstract
The slow progress of the 157nm-F2 laser exposure tool development results in broad adaptation of high numerical aperture (NA>0.8) 193nm-ArF lithography for the 65nm-node production solution. This decision, however, forces lithographers to increase dependency on very aggressive RET technologies. This in turn demands mask making capabilities the industry has never faced before such as 100nm (@4X on mask scale) size Sub Resolution Assist Features (SRAF). This report covers our early work on our mask making capability development for the 65nm-node process technology development cycle for production in 2005. Our report includes the 65nm node mask technology capability development status for mask CD and registration dimensions control, current inspection capability/issues and development efforts for critical layer masks with aggressive RET (especially of EAPSM with SRAF).
Hotspot detection on post-OPC layout using full-chip simulation-based verification tool: a case study with aerial image simulation
Show abstract
OPC (Optical Proximity Correction) improves the feature CD (critical dimension) uniformity and pattern fidelity in general. However, since model calibration only takes CD measurements at optimum exposure dose and best focus condition, the correction result may not be desirable at non-optimum conditions due to significant sub-resolution process distortion. Certain specific patterns are prone to bridging or pinching (we refer this type of location as hotspot in this paper) when process drifts a little from optimum condition. Simulation based full-chip verification became the method of choice for capturing hotspots on post-OPC layouts prior to mask tape-out to save development time and cost. In this paper, a complete simulation and analysis flow using SiVL was experimented to capture hotspots for a 100nm node process. Calibrated process model and multiple optical models with different focus/threshold conditions were applied for simulation. The method and effectiveness of filtering and analyzing fatal errors from output error database was discussed. The analysis results were then correlated to actual wafer printing. A good match between prediction and experiment was found.
High-accuracy simulation-based optical proximity correction
Show abstract
In times of continuing aggressive shrinking of chip layouts a thorough understanding of the pattern transfer process from layout to silicon is indispensable. We analyzed the most prominent effects limiting the control of this process for a contact layer like process, printing 140nm features of variable length and different proximity using 248nm lithography. Deviations of the photo mask from the ideal layout, in particular mask off-target and corner rounding have been identified as clearly contributing to the printing behavior. In the next step, these deviations from ideal behavior have been incorporated into the optical proximity correction (OPC) modeling process. The degree of accuracy for describing experimental data by simulation, using an OPC model modified in that manner could be increased significantly. Further improvement in modeling the optical imaging process could be accomplished by taking into account lens aberrations of the exposure tool. This suggests a high potential to improve OPC by considering the effects mentioned, delivering a significant contribution to extending the application of OPC techniques beyond current limits.
Effect of mask pattern fidelity on 193-nm lithography performance
Show abstract
As device technology shrinks beyond 0.13um, extensive resolution enhancement techniques such as PSM and OPC are employed in an attempt to gain usable photo process windows. Pattern fidelity on a mask measured in terms of corner rounding and line end shortening significantly influences the expected wafer performance. In this work, we report the effects of mask making parameters on the mask pattern fidelity and the resulting wafer pattern fidelity.
Multichip reticle approach for OPC model verification
Show abstract
The complexity of current semiconductor technology due to shrinking feature sizes causes more and more engineering efforts and expenses to deliver the final product to customers. One of the largest expense in the entire budget is the reticle manufacturing. With the need to perform mask correction in order to account for optical proximity effects on the wafer level, the reticle expenses have become even more critical. For 0.13um technology one can not avoid optical proximity correction (OPC) procedure for modifying original designs to comply with design rules as required by Front End (FE) and Back End (BE) processes. Once an OPC model is generated one needs to confirm and verify the said model with additional test reticles for every critical layer of the technology. Such a verification procedure would include the most critical layers (two FE layers and four BE layers for the 0.13 technology node). This allows us to evaluate model performance under real production conditions encountered on customer designs. At LSI we have developed and verified the low volume reticle (LVR) approach for verification of different OPC models. The proposed approach allows performing die-to-die reticle defect inspection in addition to checking the printed image on the wafer. It helps finalizing litho and etch process parameters. Processing wafers with overlaying masks for two consecutive BE layer (via and metal2 masks) allowed us to evaluate robustness of OPC models for a wafer stack against both reticle and wafer induced misalignments.
Flows for model-based layout correction of mask proximity effects
Show abstract
In this paper, we will investigate methods for adapting model-based OPC tools to do layout correction (biasing) for mask makgin proximity effects. (Mask Proximity Correction). We will discuss three aspects of this problem: (1) typical models to use for mask making; (2) calibration of the model using mask measurement data; (3) one-pass versus two-pass flows for correction of mask making proximity effects.
Characteristics of an autofocus system on a grating with period smaller than the focus-beam wavelength
Show abstract
In this paper, characteristics of an autofocus system on a grating with period smaller than the focus beam wavelength are investigated. The through-the-lens autofocus system, which has a visible light source of 670nm wavelength and light radiation mechanism for causing light to be obliquely incident on a sample surface, has been prepared for experiments. It is shown in experiments that the focusing error for a grating with 0.6-micrometer period is larger than 0.2 micrometer, and polarization of reflected light is changed from circular to elliptic. By performing RCWA simulations, the qualitative correspondence of theoretical expectations with experimental results is obtained. Based on the result of experiments and simulations, methods of reducing the focusing error are proposed. One of the methods is to use the polarization information to correct the focusing error. The method is evaluated experimentally and is shown to achieve autofocus accuracy of ± 0.1 micrometer.
Using location of diffraction orders to predict performance of future scanners
Peter Brooker
Show abstract
Predictions have been made from exposure tool manufacturers as to the dense line lithographic capabilities of present and future tools. In particular, the predictions specify which dense line pitch will be achievable as a function of wavelength, mask type, NA and illumination conditions. Tremendous insight can be gained into these predictions by investigating the location of the first diffraction order with respect to the edge of the objective aperture for each case. Simple rules for dense line/spaces will be developed regarding scanner capabilities from the perspective of diffraction order location. These rules will then be used to predict line/space capabilities for hyper-NA systems. For reference, the diffraction order patterns of dense contacts and a representative DRAM brick wall structure will be presented. Also included in the paper will be a detailed derivation of the diffraction equations for dense lines and spaces for 180 degree alternating aperture phase shift masks. This derivation shows explicitly how the n=0 order is suppressed. It is the extension of previous work that derived the diffraction equations for a non phase shifted array of slits.
Beyond k1=0.25 lithography: 70-nm L/S patterning using KrF scanners
Show abstract
The extendibility of optical lithography using KrF and ArF exposure tools is still being investigated, even, being demanded strongly now, due to the unforeseen issues, high cost, and general difficulty of NGLs - including F2 and immersion lithography. In spite of these challenges Moore's Law requires continued shrinks and the ITRS roadmap still keeps its aggressive timetable. In order to follow the ITRS roadmap, the resolution must keep improving by increasing the lens NA for optical exposure tools. However, the conventional limit of optical resolution (kpitch=0.5) is very close for the current technologies, perhaps limiting progress unless NGL becomes available quickly. Therefore we need to find a way to overcome this seemingly fundamental limit of optical resolution. In this paper, we propose two practical two-mask /double-exposure schemes for doubling resolution in future lithography. One method uses a Si-containing bi-layer resist, and the other method uses Applied Materials' APF (a removable hard mask). The basic ideas of both methods are similar: The first exposure forms 1:3 ratio L/S patterns in one resist/hard mask layer, then the second exposure images another 1:3 ratio L/S pattern in-between the two lines (or two spaces) formed by the first exposure. The combination of these two exposures can form, in theory, kpitch=0.25 patterns. In this paper, we will demonstrate 70nm L/S pattern (140nm pitch) or smaller by using a NA0.68 KrF Scanner and a strong-RET reticle, which corresponds to kpitch = 0.38 (k1=0.19). We will also investigate the critical alignment and CD control issues for these two-mask/dual-exposure schemes.
Influence of antireflection coatings in ArF lithography
Show abstract
The impact of wafer and reticle anti-reflection coatings (ARCs) on the aerial image of ArF lithography scanners is measured using contrast curves and critical dimension (CD) analysis. The importance of a good ARC layer on the wafer appears to be greater than that of the reticle-ARC. In fact, for state-of-the-art lithography scanners, the influence of the reticle-ARC is practically undetectable. Numerical simulations are used to understand the relative contributions of the lens, the wafer and the reticle to the overall loss of contrast associated with non-optimized ARCs.
Manufacturing of ArF chromeless hard shifter for 65-nm technology
Show abstract
For logic design, Chrome-less Phase Shift Mask is one of the possible solutions for defining small geometry with low MEF (mask enhancement factor) for the 65nm node. There have been lots of dedicated studies on the PCO (Phase Chrome Off-axis) mask technology and several design approaches have been proposed including grating background, chrome patches (or chrome shield) for applying PCO on line/space and contact pattern. In this paper, we studied the feasibility of grating design for line and contact pattern. The design of the grating pattern was provided from the EM simulation software (TEMPEST) and the aerial image simulation software. AIMS measurements with high NA annular illumination were done. Resist images were taken on designed pattern in different focus. Simulations, AIMS are compared to verify the consistency of the process with wafer printed performance.
Bias optimization through simulation for contact array pattern
Show abstract
A binary mask with a 2D contact array pattern was simulated for the purpose of determining optimum amounts of bias for various pitches. The objective was to center the elliptical process windows for a given set of pitches about a nominal dose. The nominal dose was set by the center of the process window for smallest pitch case with a fixed bias of 15nm. Calculating the optimal bias values required calculation of process windows, which in turn required the calculation of CD through defocus and dose (or threhsold). CDs for the procss windows were calculated in three ways: 1. From aerial image (simplest, fastest, no 3D resist simulation required). 2. From resist image (fast, no 3D resist simulation required). 3. From resist profile (slow, 3D resist simulation required). Straightforward, brute-force "batching" is employed in the first two methods. To minimize the number of 3D resist simulations in the 3rd method, special "scripted" algorithms were employed. The algorithm run times for each of the three methods were compared. The three methods are observe to yield different values of bias. It is shown that, under the assumption that the resist model is accurate, the resulting biases obtained from the faster (1st and 2nd) methods are incorrect, that relying on CD measurements from aerial or resist images is insufficient for the task at hand, and that 3D resist simulation is required.
Resist heating effect on e-beam mask writing at 75 kV and 60 A/cm2
Show abstract
Resist heating has been known to be one of the main contributors to local CD variation in mask patterning using variable shape e-beam tools. Increasingly complex mask patterns require increased number of shapes which drives the need for higher electron beam current densities to maintain reasonable write times. As beam current density is increased, CD error resulting from resist heating may become a dominating contributor to local CD variations.
In this experimental study, the IBM EL4+ mask writer with high voltage and high current density has been used to quantitatively investigate the effect of resist heating on the local CD uniformity. ZEP 7000 and several chemically amplified resists have been evaluated under various exposure conditions (single-pass, multi-pass, variable spot size) and pattern densities. Patterns were designed specifically to allow easy measurement of local CD variations with write strategies designed to maximize the effect of resist heating. Local CD variations as high as 15 nm in 18.75 × 18.75 μm sub-field size have been observed for ZEP 7000 in a single-pass writing with full 1000 nm spots at 50% pattern density. This number can be reduced by increasing the number of passes or by decreasing the maximum spot size. The local CD variation has been reduced to as low as 2 nm for ZEP 7000 for the same pattern under modified exposure conditions. The effectiveness of various writing strategies is discussed as well as their possible deficiencies. Minimal or no resist heating effects have been observed for the chemically amplified resists studied. The results suggest that the resist heating effect can be well controlled by careful selection of the resist/process system and/or writing strategy and that resist heating does not have to pose a problem for high throughput e-beam mask making that requires high voltage and high current densities.
Second-level imaging of advanced alternating phase-shift masks using e-beam lithography
Show abstract
When e-beam lithography is applied for second level imaging of Alternating Phase Shift Masks charging effects on the cleared chrome absorber induces placement, overlay and pattern distortion of the second layer. The water soluble conductive to coat Showa Denko ESPACER 300Z has been evaluated in combination with the chemically amplified resist FEP171 for a charging eliminating patterning solution. Application of ESPACER on top of FEP171 kept the resist performance unchanged. Sensitivity, profile, resolution, CD-uniformity and post exposure delay of FEP171 have been investigated and no influence of ESPACER was detected. The bilayer system ESPACER and FEP171 was used for the second patterning of an altPSM test design and the technology performance was characterized. No difference has been figured out between placement of the second level and placement on a non-structured chrome layer. The achieved overlay accuracy proves the ESPACER/FEP171 combination as a promising approach for future altPSM manufacturing.
Modeling thermal reflow of resist contact hole arrays
Show abstract
Accurate contact-hole imaging depends on the relative size of the contact hole to be patterned and the resolution of the stepper. The hardbake process includes desirable thermal reflow of the resist contact-hole arrays; this effect is driven by the temperature dependence of the polymer-based resist that is densified on the silicon substrate. Thermal reflow is completely independent of current and near-term lithographic printing tools. Resist reflow is a thermomechanical phenomenon dominated by the polymer after development, during which thermal reactions of the polymer produce permanent mechanical deformations of the contact holes. Resist behavior can effectively be classified into solid and viscous, below and above the characteristic glass transition temperature, respectively. The basic states are characterized by changes in the stress states and the phases depending on the thermal behavior of the resist material. The thermal transitions of the resist in the process are strongly influenced by the temperature-dependent mechanical properties, i.e., the modulus, the yield stress, and the coefficient of thermal expansion. Other influences include the surface tension and the bake cycle parameters. This analysis assumes conventionally generated contact holes in the resist, followed by thermal cycling until the thermal reflow produces reduced size contact holes of the desired dimensions. Finite element models were utilized to identify the principal physical parameters influencing resist thermal reflow.
CD uniformity dependence on CAR PEB process and its improvement for EUVL mask fabrication
Show abstract
In this work, we analyzed resist CD uniformity on 6025 substrates in terms of resist PEB sensitivity, PEB time, temperature variation during ramp up, hotplate vacuum and the application of a chill plate. We found that the resist PEB sensitivity, PEB time and the final temperature were the most important factors. By selecting low PEB sensitive resist and optimizing the bake conditions, the CD uniformity was greatly improved. The temperature profile of the hotplate configuration used for this study will be discussed along with the CD uniformity obtained using this hotplate under various conditions.
Global CD uniformity improvement in mask manufacturing for advanced lithography
Show abstract
The control of global critical dimension uniformity (GCDU) across the entire mask becomes an important factor for the high-end masks quality. Three major proceses induce GCDU error before after-developing inspection (ADI) including the E-Beam writing, baking, and developing processes. Due to the charging effect, the fogging effect, the vacuum effect and other not-well-known effects, the E-Beam writing process suffers from some consistent GCDU errors. Specifically, the chemical amplified resist (CAR) induces the GCDU error from improper baking. This phenomenon becomes worse with negative CARs. The developing process is also a source of the GCDU error usually appears radially. This paper reports the results of the study of the impact of the global CD uniformity on mask to wafer images. It also proposes solutions to achieve better masks.
Initial results of new photomask-blank deposition tool
Show abstract
In a joint-development, Rohwedder and Osmic have designed and built a low-defect dual-ion beam reactive-sputtering tool. The tool has been specifically targeted for developing low-defect lithography mask photoblank coatings intended as DUV absorbers and phase-shifting films. The Osmic/Rohwedder collaboration will continue into NGL - the present tool also serves as an R&D platform for EUVL mask blanks. The deposition tool and robotic substrate handler have been integrated and delivered to Osmic in the 2nd quarter of 2003. In this paper, we present initial capability for production of thin-film lithography coatings, including spectrophotometric performance, defect levels and film uniformity. Future reports will share results from more in-depth process development and optimization.
EMPOF: electronic mask production order forms
Show abstract
The interface between the customer and the mask shop is one of the most error prone stages of the mask production process. Errors introduced at this stage can often be very hard to detect at subsequent stages of the manufacturing process. To assist their customers, Compugraphics have developed an automated system, called EMPOF, to allow customers to specify their orders using the Internet.
The system was designed as a replacement to the existing Mask Production Order Forms that were being used by Compugraphics, and was deliberately kept simple to encourage the use of the system by a large number of smaller customers, rather than a complementary solution based on the SEMI P10 format that is also available. The paper reviews the structure and user interfaces of the software; showing how a customer is presented with default forms that can be customized to their processes, the default forms can also be partially completed where certain aspects of the orders do not change. A comprehensive on-line help facility is also included within the software. Orders that are submitted with the EMPOF system are then automatically prepared for manufacture using the DO_EMPOF software. This strategy minimizes the need for human interaction resulting in a robust process. Future plans to extend the EMPOF system by integrating it with the SEMI P10 and 2MPOFDB applications are described.
ART structures: a wafer targeting system that relaxes the mean-to-target reticle specification
Show abstract
High-speed production of semiconductor devices demands in-line wafer metrology on a minimum number of sample points. If this data does not represent the average chip feature size, then an in-line monitor may indicate that a wafer is right on target. However, at end-of-line testing, the electrical parameters, incorporating all features within the chip, may be found shifted away from target. This paper presents a solution which increases wafer critical dimension targeting efficiency while notably relaxing the traditional mean-to-target reticle specification. By embedding ART (Average Representative Targeting) Structures into the reticle scribe, Reticle Engineering at LSI Logic leverage off the ability to adjust wafer exposure dose to compensate for off target reticle CDs. The novel targeting structure described in this paper assures average wafer CDs within 2.5 nm of target while effectively doubling the acceptable range for a standard mean to target reticle specification.
Taking advantage of vendor automation with SEMI P10
Show abstract
Although the first version of the SEMI P10 “Specification of Data Structures for Photomask Orders” was introduced over ten years ago and is supported by all of the major photomask vendors, only a few chip suppliers currently take advantage of this automated order entry infrastructure. This is unfortunate because placing a photomask order by transmitting a P10 file reduces errors and improves throughput time. The hierarchical structure and comprehensive support for fracturing software, writing tools, defect inspection systems, and metrology equipment, allow tapeout groups to define very complex photomask orders in a P10 file. Mix and match reticle sets utilizing multiple steppers, multi-layer reticles, process development reticles with dozens of pattern files per layer, and phase shift reticles can all be defined in a P10 file. However, the extensive capabilities and the scalability of SEMI P10 come at a price. The specification is complicated -- the latest release is over 40 pages in length and defines over 500 record types. Before a software system that automates P10 file writing can be successfully implemented, the P10 spec must be studied from a number of perspectives. These include the user interface requirements, present and future photomask needs, vendor preferences for P10 file structure and content, and process file management. This paper will present a brief overview of the P10 structure and capabilities, describe how Agilent Technologies, Photomask Technology Center (PTC) made the decision to upgrade its reticle order management software with RetBuilder from CenterLink.
Research on the origin of particle generation in ICP plasma reactor
Show abstract
As the design rule is decreased rapidly, tighter critical dimension (CD) control is highly requested in photomask process. Accordingly, instead of wet etching to make an isotropic pattern, dry etching has been increasingly applied for an anisotropic pattern transfer in order to get an accurate critical dimension (CD). Since the dry etching process was employed for the fabrication of photomask, particles in plasma reactor has been a big issue. It is being currently recognized that the splinters of polymers, defectively stunk on the reactor wall leading to the particles as plasma is ignited by radio-frequency (RF) power. Hence, wet cleaning used to be performed for the purpose of removing the particle source. Nevertheless, this method is not able to remove particles perfectly in the plasma reactor. Frequently the number of the particle is not changed before and after wet cleaning, particularly in ICP reactor. In this paper, we studied the characteristics of the plasma chemistry and the behavior of ions in ICP reactor. The origin of the particle generation was investigated by the analysis of the composition and configuration of particles. Finally, solutions will be suggested to reduce the particle with low damage on the insulator based on the above studies.
A study of defect measurement techniques and corresponding effects on the lithographic process window for a 193-nm EPSM photomask
Show abstract
Photomasks with small dense features and high mask error enhancement factor (MEEF) lithography processes require stringent reticle quality control. The ability to quickly and accurately measure reticle defects on a high-resolution inspection system and to simulate their impact on wafer printing are key components in ensuring photomask quality. This paper discusses the correlation of measurements made with UV and DUV-based inspection systems; simulation performed with a 193nm aerial image review tool and aerial image simulation software. Ease-of-use is discussed for each technique. Data accuracy is compared to measurements performed by a Scanning Electron Microscope (SEM) on mask and wafer. Tests show that the inspection system can quickly and accurately determine sizes of most defects. The study also indicates that the simulation techniques can accurately tract the lithographic results, and can be used to reduce or eliminate the use of test wafers and expensive lithography and wafer metrology time. The outcome of this study leads to better defect dispositioning by providing techniques to determine the size and printability of reticle defects.
Phase degradation characteristics of programmed AAPSM defects with automatic inspection tool sensitivity
Show abstract
Accurate defect characterization is becoming increasingly more important with the increased implementation of AAPSM applications. Quartz bump/divot defectivity adds a third dimension to the historical definition of photomask defects that included only size and transmission. This new dimension is phase. Past studies have suggested that significant phase degradation occurs even at smaller defect sizes. This characterization is tied closely with the defect capture capability of photomask inspection. Inspection tool sensitivity to phase defects is increasingly important for at least two reasons: the danger of catastrophic defects printing on the wafer, and the newness of these types of defects to the photomask-making community at large. This experiment utilizes two distinct forms of defect characterization -- SEM sizing and surface profilometry. Programmed defect test masks were manufactured for phase shifting properties at both 248nm and 193nm exposure wavelengths. The defects were etched at multiple depths resulting in a variety of phase angle errors. This study will examine the effects of phase degradation on smaller defects along with defect capture from automatic inspection tools.
Induced ESD damage on photomasks: a reticle evaluation
Show abstract
An Electric-field (E-field) exposure tool for Photomasks was designed, assembled, then utilized to subject 250 nanometer technology node reticles to variable electric fields. A similar study had been demonstrated using the Canary Reticle. The goal was to induce an Electrostatic Discharge (ESD), and attempt to damage the reticle's chrome structures via the Field Induced Damage Model. Electrostatic Discharge emits a radio wave in the 100 MHz to 2.0 GHz frequency range, which can be detected using a Digital Sampling Oscilloscope and antenna. Once detected via radio wave sampling techniques, the Field Induced Damage is evaluated on a KLA STARlight inspection tool, and a damage map provided. A Digital Instruments Atomic Force Microscope utilizes the damage map to locate defects for further evaluation.
Defect printability for high-exposure dose advanced packaging applications
Show abstract
Pellicles are used in semiconductor lithography to minimize printable defects and reduce reticle cleaning frequency. However, there are a growing number of microlithography applications, such as advanced packaging and nanotechnology, where it is not clear that pellicles always offer a significant benefit. These applications have relatively large critical dimensions and require ultra thick photoresists with extremely high exposure doses. Given that the lithography is performed in Class 100 cleanroom conditions, it is possible that the risk of defects from contamination is sufficiently low that pellicles would not be required on certain process
layer reticles. The elimination of the pellicle requirement would provide a cost reduction by saving the original pellicle cost and eliminating future pellicle replacement and repair costs. This study examines the imaging potential of defects with reticle patterns and processes typical for gold-bump and solder-bump advanced packaging lithography. The test reticle consists of 30 to 90 μm octagonal contact patterns representative of advanced packaging reticles. Programmed defects are added that represent the range of particle sizes (3 to 30 μm) normally protected by the pellicle and that are typical of advanced packaging lithography cleanrooms. The reticle is exposed using an Ultratech Saturn Spectrum 300e2 1X stepper on wafers coated with a variety of ultra thick (30 to 100 μm) positive and negative-acting photoresists commonly used in advanced packaging. The experimental results show that in many cases smaller particles continue to be yield issues for the feature size and density typical of advanced packaging processes. For the two negative photoresists studied it appears that a pellicle is not required for protection from defects smaller than 10 to 15 μm depending on the photoresist thickness. Thus the decision on pellicle usage for these materials would need to be made based on the device fabrication process and the cleanliness of a fabrication facility. For the two positive photoresists studied it appears that a pellicle is required to protect from defects down to 3 μm defects depending on the photoresist thickness. This suggests that a pellicle should always be used for these materials. Since a typical fabrication facility would use both positive and negative photoresists it may be advantageous to use pellicles on all reticles
simply to avoid confusion. The cost savings of not using a pellicle could easily be outweighed by the yield benefits of using one.
Reticle inspection optimization for 90-nm and 130-nm technology nodes using a multibeam UV wavelength inspection tool
Show abstract
As the lithography design rule of IC manufacturing industry migrates into sub-130nm nodes, low k1 factor prevails, the mask error enhancement factor (MEEF) increases. Low k1 processing calls for aggressive sub-resolution assist features and the use of attenuated phase shift masks (AttPSMs). The aggressive OPC features pose challenges to reticle inspection due to high false detection, which is time-consuming for defect classification and impacts the throughput of mask manufacturing. Moreover, the high transmission of the shifter material of 193 nm AttPSM also challenges the UV-based reticle inspection tools with high nuisance counts due to undesirable optical diffraction effects. For a given reticle inspection tool, it is necessary to calibrate the system contrast between the clear and opaque regions (quartz/chrome or quartz/MoSi) of the reticles. In this study, we present the influences of various calibration conditions on sensitivity, false and nuisance detection of reticle inspections. Both the STARlight contamination inspections and the die-to-die pattern inspections were carried out using the KLA-Tencor TeraStar inspection tools with production masks and programmed defect test masks including binary intensity masks (BIMs) and AttPSMs. Successful applications with low false detection and adapted sensitivity will be illustrated in terms of optimizing the calibration setup.
193-nm EAPSM inspection comparison: commercial versus alternative absorber material
Show abstract
Current commercially available 193nm Embedded Attenuated Phase Shift Mask (EAPSM) blanks are MoSiON-based. In order to obtain the appropriate optical properties of 6% transmission and 180-degree phase shift at 193nm wavelength, these films are built very thin and subsequently have very high transmission at longer wavelengths. Current inspection tools use 364nm as the inspection wavelength; therefore the high transmission of the commercial blanks (>50% at 365nm) causes sensitivity problems in current high-end inspection tools. This problem is only fixed by costly upgrades to the current inspection tools, resulting in much higher mask costs. Photronics, Inc. has developed an alternative film stack that obtains the appropriate optical properties at 193nm (6%T and 180-degree phase shift). This film stack has a relatively low transmission (<15%) at the inspection tool wavelength in comparison to the commercial blanks enabling improved inspection performance with the current tool set. This paper outlines the development of new 193nm EAPSM blanks, the processing of these masks, and the resulting inspection performance in comparison to the commercial EAPSM blanks.
Phase-enhanced DUV inspection of alternating phase-shift reticles
Show abstract
Alternating phase shift reticles are one proposed solution for printing features required at the 90 nm and 65 nm nodes using 193 nm lithography. A key enabler to the adoption of this technology is defect inspection so as to guarantee defect free reticles are delivered to wafer fab production. A test reticle with programmed sub-180 degree phase bump and divot defects has been developed that is representative of the sub-90 nm node. This reticle is characterized by SEM methods. This test reticle in turn is used to determine the defect detection performance of a DUV reticle inspection tool, which uses a phase contrast enhanced optical system to improve the detection of phase defects. This presentation discusses several of the challenges in the design and manufacture of the programmed defect test reticle, the reticle characterization results, and the inspection station results. Defect review methods are described which differentiate between chrome, phase bump, and phase divot defects. Additionally, a best known methodology (BKM) is discussed for the manufacture of alternating phase shift masks based upon detecting killer defects before significant additional value is added.
DUV inspection capability for 90-nm node mask in ArF lithography
Show abstract
As the ArF lithography technology is going to progress to 90nm node from 130nm node, it has been more difficult to inspect all types of mask defects, which influence wafer. Photomask for 90nm node, the aggressive OPC mask and Phase Shift Mask (PSM) might be inevitable in production devices due to the slow progresses in lithography equipment itself compared to shrinkage speed in device manufacturing.
Recently, due to the similiar effect such like MEEF (Mask Error Enhancement Factor) phenomenon many mask defects become to detect difficult even printable defects even the lots of improvements mask inspection equipments. In this paper, we will present the inspection capability of advanced DUV inspection tool LM7000 (NEC) with various programmed defect masks (e.g. aggressive OPC masks, half-tone PSM, tri-tone PSM) with, and discuss the relationship between inspection sensitivity and mask defect printability.
Defects/Yield
High-resolution reticle inspection technique providing a complete reticle qualification solution in advanced 90-nm node wafer fabs
Louie Liu,
Chi-Horng Liao,
Yi-Ming Dai,
et al.
Show abstract
High-resolution contamination inspection for advanced reticles remains crucial in light of the increasing trend of progressive defects such as crystal growth, etc., introduced with DUV lithography, especially for low k1 processes. In most fab environments, routine incoming and re-qualification inspections for photomasks have been implemented. But although this high-resolution inspection provides necessary high-sensitivity, on advanced photomasks it often introduces inspection challenges. Aggressive OPCs and dense primary and secondary geometries are some of the many factors that can result in false-defect problems for the inspection systems. Thus, inspection needs to be desensitized. As an effort to identify a methodology to provide the inspectability while maintaining the necessary high-sensitivity, a characterization has been performed to evaluate a new combination- mode inspection. This technical paper will list the details of this special contamination inspection technique that will allow users to maintain the same high inspection throughput while providing similar or higher resolution inspection for these advanced reticles with superior inspectability.
Cleaning
Immersion system process optimization for 248-nm and 193-nm photomasks: binary and EAPSM
Show abstract
Spin spray and bath immersion systems are still the competing technologies for mask process. The preference for one or the other is largely dependent on factor such as performance, size, throughput, and cost. This paper focuses on the process optimization of the immersion bath technology in relation to the performance such as particulate soft defects, EAPSM optical parameter change, and antireflective layer reflectivity.
Poster Session
Localized exposure technique for isolated Cr defect repair
Show abstract
Large isolated Cr photomask defects (>=20 um) have become difficult to repair due to the fact that advanced repair tool capabilities are aimed almost exclusively at repairing very small defects at high magnification. Advanced focused ion beam (FIB) repair of large Cr defects is not only time-consuming, but in the end, only changes it into a transmission defect due to ion deposition (gallium staining). Current laser repair tools also have problems in that they generate a large amount of debris (splatter) due to the ablation mechanism being thermal. To avoid these problems and still repair the large chrome defect, we have developed a new method using a localized exposure technique to remove large isolated Cr defects. The mask was coated with optical resist and the defective area was exposed using white light. The mask was then sent through standard develop, wet Cr etch, and resist strip processes to remove the Cr spots. Initial results were very promising as the Cr spots were removed completely, and the repaired area showed no sign of glass damage. This repair technique has also been applied to both large and small Cr spots on MoSi phase-shift mask patterns. Future work will include aperture shape/size control and line edge repair will be investigated.
Repair
Optimization of nanomachining repair condition for ArF lithography
Show abstract
Nanomachining is a new technique for repairing photomask defects. The advantages of this technique are no substrate damage, precise edge placement position and Z height accuracy when compared with current Laser zapper or FIB GAE repair techniques. We have reported that this technique can be applied to any type of opaque film material defects, quartz bump defects on Alternating Aperture Phase Sifting Masks (AAPSM) and complex pattern defect repairs. In this report, we have evaluated about the optimization of Nanomachining condition for repairing most advanced photomasks for 193nm lithography on the materials of binary chrome and MoSi HT-PSM. Evaluation items are adequate edge position and Z height for targeting to achieve better printing performance when analyzed with an AIMS 193nm tool.
Poster Session
Photomask repair performance of the SiON/Ta-Hf attenuating PSM
Show abstract
Recently, a new attenuating phase shift film utilizing a bilayer of SiON film and TaHf alloy film was developed for F2 and high transmission ArF lithography. Basic data, showing their optical properties, chemical durability, and dry-etch performance was introduced during the Photomask Japan 2003. Currently a lot of lithographers are paying their attention on this new material, because of its capability for high transmission ArF, as one of the solution for 65nm node with 193nm extension. As it is becoming more and more recognized by both mask manufacturers and mask users, repair capability of the film material has a great impact on the mask yield, which determines the potential cost and cycle time of the photomask. In this paper, we investigated the capability of this material on critical mask repair, focusing on high transmission and attenuating ternary PSM. We evaluated the repair capability with currently used FIB tools. This new material shows excellent repair capability because of the bilayer structure, where the Ta-Hf film, which was initially designed as a transmission control layer and as an etch stop for the SiON etch, works as a stopper during the FIB repair process assisted by β gas. We also report preliminary test results with the nanomachining repair system RAVE.
Advancements in focused ion beam repair of alternating phase-shift masks
Show abstract
As advanced photolithography extends the ability to print feature sizes below the 100 nm technology node, various reticle enhancement techniques (RET) are being employed to improve resolution. An example of RET is the alternating phase shift mask (APSM), which currently challenges the ability of conventional repair techniques to repair even the most basic reticle defect. The phase shifting quartz bump is one defect type critical to the performance of APSM technology masks. These defects on the APSM reticle are caused by imperfections in the resist image during processing, resulting in a localized under or over etch of the quartz substrate. The integrated application of gas assisted etch (GAE), focused ion beam (FIB) reticle repair, and atomic force microscopy (AFM), provides a comprehensive solution for advanced reticle defect repair and characterization. Ion beam repair offers superior accuracy and precision for removal without significant damage to the underlying or adjacent quartz. The AFM technique provides quantitative measurement of 3D structures, including those associated with alternating phase shifters etched into quartz as well as embedded shifters. In the work presented in this paper, quartz bump defects were pre-scanned on an AFM tool and proprietary software algorithms were used to generate defect image and height map files for transfer to the FIB reticle repair tool via a network connection. The FIB tool then used these files to selectively control the ion dose during the corresponding quartz defect repair. A 193 nm APSM phase shift photomask with programmed defects in 400 nm line and space pattern was repaired using an FEI Stylus NanoProfilometer (SNP) and a FEI Accura 850 focus ion beam (FIB) tool. Using the APSM FIB repair method, the transmittance evaluated from 193 nm AIMS at the repair area was more than 90% without post-processing.
EUV Technology
Fabrication of programmed phase defects on EUV multilayer blanks
Show abstract
Programmed phase defects, at desirably specified sizes and known locations, for EUV multilayer blanks were successfully fabricated by the following newly developed simple technique; depositing Cr film on a 6025 glass substrate or a Si wafer, generating Cr patterns of isolated lines and/or dots by EB lithography, and depositing Mo/Si multilayer of 40-bilayers by ion beam sputtering over the Cr patterns. Thereby, programmed bump defects were created on the multilayer surface over the Cr pattern at the bottom. The programmed defects were observed by TEM and AFM, of which images revealed behavior of the multilayer growth on the Cr patterns. The observed images show that height and full width at half maximum (FWHM) of the bump on the multilayer surface strongly depended on the Cr pattern in height and width, and also incident angle of the sputtered molecular flux to the substrate surface. The multilayer coating at near-normal (vertical) incidence provides a significant amount of smoothing near the Cr patterns. A bump phase defect of 2-nm height and 60-nm FWHM, as the result, was obtained on the multilayer surface using a 5-nm thick Cr pattern, which corresponded to a minimum killer defect for EUV lithography at 45-nm node. The multilayer blanks with the programmed phase defects can be effectively used as a standard for defect inspection tool development and defect printability study.
This paper describes a simple fabrication process of the programmed phase defects on EUV multilayer blanks, evaluation results on the programmed phase defects, and growth behaviors of multilayer on various patterns (seed of the defects).
Poster Session
EUV radiation damage test on EUVL mask absorber materials
Show abstract
We have studied the EUV mask absorber stack materials stability under extended EUV radiation exposure using the Synchrotron facility located at Aladdin Synchrotron Radiation Center of UW-Madison. The DUV reflectivity was measured at the area where the absorber stack was exposed to EUV radiation for different period of time to understand the impact on mask inspection during use and potential radiation damage. The longest exposure time simulated 2 million EUV exposure shots based on the resist sensitivity of 5 mJ/cm2. After EUV radiation, a significant increase in DUV reflectivity was observed. However, this change may be due to the hydrocarbon contamination from the EUV exposure chamber because an obvious darkening was observed on the exposed area and could be easily removed by a short O2 plasma etching. The experimental data showed that reflectivity was restored after O2 plasma etching and the difference was less than 2%. X-ray photoelectron spectroscopy (XPS) and forward recoil spectrometry also used for the confirmation of hydrocarbon build up during exposure.
Rigorous simulation of defective EUV multilayer masks
Show abstract
One of the hot topics in the Extreme Ultra-Violet (EUV) mask fabrication process is the requirement to produce multilayer blanks without any printing defects. As the potential of experimental studies is still limited, a predictive simulation of EUV lithography is an important step on the way to meet this requirement. The simulator tool SOLID-EUV is extended to deal with defective multilayers. The simulation is divided into two regions, the finite-difference timendomain (FDTD) method for the absorber part and the simulation of the multilayer reflectivity by the Fresnel-method. To take the defects into account the multilayer is divided into segments, which include the defect and the reflectivity is calculated for each segment. For calculating the multilayer stack for each segment the defects are assumed to be Gaussian shaped. For the complete computation of the reflected light from the EUV mask a coupling of the two methods is realized. This paper presents case studies using the lithography simulator tool SOLID-EUV with the new defective multilayer simulation part, to analyze the printability of defects. The impact of the defect size, horizontal and vertical defect position within the multilayer, and the influence of the layer deposition process is analyzed. The most influential defect parameters are identified. One defect with an influence which tends to be printed is taken and combined with typical mask structures, such as isolated lines, lines and spaces and contact holes. The process windows of the mask structures for various defect positions are analyzed. These simulations can be used to develop strategies to handle such defects.
EUV mask simulation for AIMS
Show abstract
The objective of this paper is to assess how variations of the chief ray angle of the illumination light incident on an EUV multilayer mask as well as the light bandwidth affect the performance of an AIMS EUV tool with respect to CD measurement and defect evaluation. To this end EUV images were simulated with an EUV lithography simulator developed by the Fraunhofer Institute IISB. The simulations were performed for a multilayer mask with a buried defect under an isolated line. The specifics of the AIMS EUV were taken into account by a superposition of aerial images obtained for different wavelengths. The presentation discusses the simulations and their results.
Update on the EUVL mask blank activity at Schott Lithotec
Show abstract
Schott Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks in its advanced quality mask blank manufacturing line -- ranging from Low Thermal Expansion Material (LTEM) high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to produce EUVL mask blanks meeting already some of the roadmap requirements. Further R&D is ongoing to path the way to the pilot production of EUV blanks which meet the beta-specifications end of 2005.
We present the status of our EUVL substrate program and report on the recent results of our activities for low defect multilayer, buffer and absorber coating including new absorber materials. Recent results from the production of full LTEM EUV blanks with multilayer, buffer and absorber coatings will be presented. Process steps in the EUVL mask blank fabrication in a production environment were characterized in terms of defects; the process improvement potential is discussed. We will also throw a light on the aspects of changed layer properties after a longer period of storage. In addition, special metrology methods for EUVL components are currently being developed within the program. The status of the high throughput EUV-Reflectometer for mask blanks will be presented. We developed new processes to achieve EUVL requirements.
Low-thermal-expansion material for EUV applications
Show abstract
The Coefficient of Thermal Expansion (CTE) properties and surface finish values of a low expansion glass-ceramic material produced by Ohara called CLEARCERAM were measured and compared to the SEMI P37 EUVL specifications, which include dL/L profiles, CTE variation, surface roughness, and flatness. The results showed that CLEARCERAM-Z HS, a derivation from the conventional CLEARCERAM material, had the CTE (+19 to +25C) variation of 0±15 ppb/degree C in standard deviation with the intra block uniformity of Delta CTE = 3 ppb/degree C in the 40x40mm, which corresponds to the CTE specification of Class C in the SEMI standard P37 for Extreme Ultraviolet Lithography (EUVL) Photomask Substrates. Also polishing experiments targeting the surface specifications in SEMI P37 were performed. Surface flatness less than 100 nm (meeting Class A in SEMI P37) and surface roughness of 0.15 nm Rms (Conforming to the SEMI P37) were obtained and reviewed. The results of the CTE property and polished surface evaluations suggest that CLEARCERAM-Z HS is a suitable candidate material to meet SEMI standard P37 for EUVL Photomask Substrates, while further improvements of CTE measurement accuracy and polishing processes are necessary to fully meet the current specifications.
Utilization of EWMA-type charts for critical dimension metrology tools
Show abstract
Previous research suggests that the collection and testing of measure-to-measure and day-to-day variation across a multi-site operation in a standard real-time Statistical Process Control (SPC) solution can be problematic at best. Replicating the full measurement process for mean and range in real-time not only strains resources, but may not provide an adequate indicator of measure-to-measure variation, thus resulting in exceedingly narrow control limits for day-to-day variation. A proposed solution to this dilemma was to compute control limits for the Mean chart utilizing the moving range of sample means to estimate sigma instead of the traditional range method. This approach provided statistically valid control limits that solved the issue of narrow control limits. However, it did not take into account the difficulty that remained in detecting small shifts in the process mean and therefore did not allow for adequate control of critical dimension (CD) metrology tools. Two possible solutions to this problem are the utilization of an Individual (I) and Moving Range (MR) chart with sensitivity (runs) rules or an Exponentially Weighted Moving Average (EWMA) chart. This paper reviews how the method of estimating sigma satisfied the control limits issue but caused issues in implementation. It reviews the core problem of inadequate capture of measure-to-measure variation and discusses why single point measurement may be more accurate for controlling CD metrology tools. It explores the ability of the Individual chart with runs rules and EWMA methodology to detect small shifts of the process mean of a CD metrology tool. Using CD tool monitoring data, the Individual chart with runs rules chart is compared to the EWMA chart and results are discussed.
SLF27 energy difference method to specify printability of contact hole defects
Show abstract
The CD measurement method has been long used by the industry to specify contact hole mis-sizing defects on reticles. However with the shrinking of feature size beyond sub-wavelength, it has been found that this method of specifying contact hole defects do not always correlate well to CD differences on wafers. A better correlation can be achieved by using the energy difference (total flux energy) review tool available on the SLF27. The energy difference review tool measures the total energy transmitted through a manually drawn box. In this paper, defects from production reticles are used to compare the sizes of these defects with their energy differences on reticle against the CD measurements on wafers. Defect data from Binary reticles of undersized and oversized contacts holes are evaluated. Strong correlation between mis-sized contact holes measurement on wafer SEM and energy difference review tool on the SLF27 (Reticle Inspection tool with 365nm UV wavelength) is observed. The correlation is particularly strong for undersized contact holes. The correlation is helpful in identifying good repair and bad repair, since the correlation still holds true on contact holes with repair stain. Though it is believed the correlation stays true for PSM, a more thorough evaluation is required for PSM reticles at this time. From these data, a new defect control specification on reticles, using the energy difference method has been determined and used to specify mis-sizing or acceptable localized CD error on reticle to prevent yield impact on wafer.
Semiconductor wafer printing simulation by digital apodization of high-resolution actinic photomask images
Show abstract
Aerial images formed by exposure tool simulation microscopes provide valuable information in determining the printability of photomask patterns prior to actual photoresist exposure. Exact simulation of production-grade step-and-scan exposure tools generally requires a complex optical system. This paper describes an accurate aerial imaging simulation technique using a relatively simple actinic, high-resolution inspection microscope incorporating image processing software. A high-resolution aerial image is captured by the inspection tool's CCD camera. The photomask spatial frequency information is multiplied by a digital low-pass apodization filter which preferentially attenuates the higher spatial frequencies. The exact shape of the filter depends upon the imaging and illumination configuration of the exposure tool under simulation, and is adjustable in software. The technique is well-suited to both manual and high-speed automated photomask inspection and defect detection and classification using a single low-cost platform.
Interferometric-probe monitors for self-diagnostics of phase-shifting mask performance
Show abstract
A pattern and interferometric-probe technique is introduced for self-testing the effective phase-depth, transmission, and edge effects of shifted regions of a phase-shifting mask (PSM). Both manufacturing error and image intensity imbalance between regions of differing phase necessitate an accurate, feature-dependent measurement. Through utilizing the coherent spillover (proximity effect) from a phase-etched pattern onto a phased-probe, any phase or transmission error is converted into a signal, measurable on either an AIMS tool or observed as an exposed probe in printed resist. The orthogonality of phase and transmission error allows amplification of each separately with either a 0° or 90° probe. The intensity change is a linear function of phase or transmission error and depends only on the size of the pattern and probe used. For example, a simple target of radius 3.1 λ/NA responds with 1% of the clear field intensity per degree of phase error. Simulation studies of several embodiments are shown as well as a mathematical theory describing the monitor's behavior. Target sensitivity is greater than existing image-plane analysis techniques for most feature types, to include phase trenches and contact arrays, and does not require through-focus analysis. Functionality is only slightly affected by lens aberrations and probe-manufacturing errors. Mask manufacturing is relatively simple and can be placed on a special test mask or interspersed in a production mask layout.
Preliminary results for mask metrology using spatial heterodyne interferometry
Show abstract
Spatial heterodyne interferometry (SHI) is an imaging technique that captures both the phase and amplitude of a complex wavefront in a single high-speed image. This technology was developed at the Oak Ridge National Laboratory (ORNL) and is currently being implemented for semiconductor wafer inspection by nLine Corporation. As with any system that measures phase, metrology and inspection of surface structures is possible by capturing a wavefront reflected from the surface. The interpretation of surface structure heights for metrology applications can become very difficult with the many layers of various materials used on semiconductor wafers, so inspection (defect detection) has been the primary focus for semiconductor wafers. However, masks used for photolithography typically only contain a couple well-defined materials opening the doors to high-speed mask metrology in 3 dimensions in addition to inspection. Phase shift masks often contain structures etched out of the transparent substrate material for phase shifting. While these structures are difficult to inspect using only intensity, the phase and amplitude images captured with SHI can produce very good resolution of these structures. The phase images also provide depth information that is crucial for these phase shift regions.
Preliminary testing has been performed to determine the feasibility of SHI for high-speed non-contact mask metrology using a prototype SHI system with 532 nm wavelength illumination named the Visible Alpha Tool (VAT). These results show that prototype SHI system is capable of performing critical dimension measurements on 400nm lines with a repeatability of 1.4nm and line height measurements with a repeatability of 0.26nm. Additionally initial imaging of an alternating aperture phase shift mask has shown the ability of SHI to discriminate between typical phase shift heights.
Optimization of chrome dry etch in Tetra II using asymmetrically loaded patterns
Show abstract
Asymmetrically loaded patterns have been used to develop and optimize the chrome etch process on the TetraO II, the next -generation tool offered by Etec Systems. These asymmetrically loaded patterns offer unique challenges to the dry etch process by concentrating much of the chrome load in one section of the mask (usually one quadrant) while leaving the rest of the mask uniformly loaded. Numerical analysis of both the final chrome and the point-by-point etch
contribution has been implemented to allow accurate interpretation of etch results.
Low-stress stencil masks using a doping method
Show abstract
Membrane stress control is one of the challenges for the commercial success of the stencil masks, such as electron projection lithography (EPL) and low energy electron-beam proximity projection lithography (LEEPL), since a stencil mask has perforation patterns in a membrane with image placement meeting stringent error budget. First, stress-induced distortions of stencil mask membranes were simulated by a finite element method (FEM). Second, we showed how the membrane stress varies with dopant concentration, using a pressure bulge method for stress measurements of die-size specimens. The results show doping SOI substrates provide a low-stress membrane. Third, correlation between the pressure bulge method and resonance frequency technique (RFT) was investigated and showed acceptable agreement. Fourth, stress distribution measurements were taken using the RFT for a low-stress 200-mm EPL mask. Average stress value and cross-mask stress variations were 11.2 MPa and ± 1.3 MPa respectively. Therefore, we revealed reliable stress distribution data across a 200-mm EPL mask and confirmed the doping method using SOI substrate is proper approach to fabricate a low-stress 200-mm stencil mask, with high uniformity of membrane stress, for EPL and LEEPL.
Properties of a 248-nm DUV laser mask pattern generator for the 90-nm and 65-nm technology nodes
Show abstract
This paper presents the properties of a second-generation DUV laser pattern generator based on spatial light modulator technology and designed to meet the requirements of the 90-nm to 65-nm technology nodes. The system, named Sigma7300, is described and major changes compared to its predecessor are pointed out. These changes result in improved pattern accuracy and fidelity as well as system reliability and maintenance. This improved performance is accompanied with greatly reduced writing times of typically 3 Hrs. per mask. Performance data is presented that shows the system meets the resolution requirement of 260 nm with CD linearity of 10 nm and assist line resolution of 140 nm. CD uniformity data and registration data are also presented that indicates that the system meets the requirements for most layers at the 90-nm and 65-nm nodes.
EUVL mask with Ru ML capping
Show abstract
Silicon (Si) capping for extreme ultra-violet lithography (EUVL) multilayer (ML) mask blank presents certain disadvantages, such as prone to oxidation, low chemical resistance, low SiO2 buffer layer etch selectivity to the capping layer. These performance and process issues with Si capped ML mask blank will reduce mask lifetime and require tighter process margin during EUVL mask processing. Using ruthenium (Ru) to replace Si for ML capping has been investigated previously for EUVL optics application. High oxidation resistance for Ru capped ML optics has been demonstrated. In this study, we have further demonstrated that Ru capped ML mask blank can also overcome the process issues that are associated with the Si capping. Our mask patterning results showed very high absorber and buffer etch selectivity to the Ru capping layer. As a result, uniform mask reflectivity after mask patterning is obtained.
In this paper we will present detailed Ru capped ML mask fabrication results, such as etch profile, etch selectivity to the ML capping, as well as mask quality characterization results, which include ML performance data comparison before and after the mask patterning.
Toward large-area simulation of e-beam lithography
Show abstract
As in optical lithography, E-beam lithography is facing a multitude of issues, both in mask making and in direct write applications. These issues range from pattern printability and design verifications to tool and process optimizations. Simulation can be used to address these issues, however its applicability was limited due to limitations in the usable simulation area. Advances in the mathematical models lead to a significant speedup of the simulation, enabling the simulation of larger areas. This paper will demonstrate the applicability of the new simulator on a few key examples, such as aggressive mask challenges, model to experiment correlations as well as its application to direct write.
Study of alternating phase-shift mask structure for 65-nm node devices
Show abstract
To extend 193nm lithography to 65nm node devices, alternating phase shift mask structure were optimized. Both single trench and dual trench structure was evaluated. The optimization was performed by rigorous electro-magnetic field simulation of light scattering in 3D mask topographies. Evaluation masks were fabricated according to the simulation results, and the mask image was evaluated by using AIMS fab193 (Carl Zeiss). Prior to the optimization, limitation of shallow trench depth and undercut size was considered from the standpoint of “mask making”. Maximum undercut size was defined in order to prevent the Cr pattern peeling in cleaning process. In the optimized structure, CD difference between adjacent patterns with 0-space and π-space is within ±10nm wiht 300nm focus margin for different pattern pitches.
Mask CD uniformity improvement by dry etching loading effect correction
Show abstract
Proximity effect and foggy effect correction is performed to obtain an ideal CD distribution of resist patterns within a mask plate. However, gobal loading effect in dry etching causes an additional CD distribution of Cr patterns. In order to satisfy the CD distribution specification in 65nm node, CD distribution in global loading effect should be improved to be 2nm or less. To accomplish the goal, a correction system of dry etching loading effect has been developed. The correction is performed by sizing patterns in each writing field (1mm x 1mm). The sizing amount, minimum step of 1nm, is calculated according to the parameters, which are defined by measuring the test patterns. The loading effect is evaluated by measuring the CD difference of 1 micron lines and spaces in 80mm x 40mm clear area and that in completely dark area, which is an extremely severe case. The writer is JEOL/JBX-3030, and the dry etcher is Unaxia/VLR700GIII in the experiment. By applying this correction, CD uniformity caused by the global loading effect can be reduced to 2nm or less.
Continuous-tone gray-scale photomasks based on photosensitive spin-on-glass technology for deep-UV lithography applications
Show abstract
A technology for the low cost production of continuous tone gray scale photomasks for deep UV photolithography applications has been demonstrated. This technology is based on the use of a photosensitive spin-on-glass (SOG) thin film deposited onto a UV transparent substrate such as quartz. Different light exposure energies, from either a lithography setup or a laser pattern generator, onto the photosensitive SOG film changes the UV absorption spectrum at both H and I mercury emission lines. The amount of photo induced attenuation on the film is directly proportional to light exposure energy, hence allowing the formation of fully continuous tone patterns. Once the image pattern is photo-generated with a resolution of 0.1 to 1 micrometer, it is permanently fixed by a thermal treatment step without the need of an etching step. This new continuous tone deep UV photomask technology offers new cost effective opportunities for the production of micro-electro-mechanical systems (MEMS) structures, diffractive optical elements (DOEs), computer generated holograms (CGHs), and kinoform optics.
Optimization of dummy pattern for mask data size reduction
Walter Iandolo,
Yitzhak Gilboa,
Bill Phan,
et al.
Show abstract
Dummy ("waffling") features on masks improve pattern density on wafers, helping chemical-mechanical polishing (CMP) achieve uniform thickness of residual oxide. However, getting the required pattern density may substantially complicate mask creation algorithms, e.g., for metal lines at loose pitch not matching the waffling grid. Without proper optimization, multiple waffling passes with decreasing waffle sizes increase design database and mask writing time, not always achieving the planarization goal. In this work, we investigated the effect of waffle type, size, spacing, and number of waffling runs (passes) on die database with variable line density and pitch. We correlated the extracted pattern density with the simulated residual oxide thickness and proposed to use partial waffles created from the large size, original on-grid waffles, by subtracting the sized geometries of drawn lines running over them. We found that, surprisingly, multiple waffling passes do not significantly impact neither pattern density, nor the database file size; they are also not efficient in improving die planarity. In contrast, routines using partial waffles would produce very uniform, high density pattern, resulting in superb wafer planarity confirmed by the CMP simulation. However, this was accomplished at the expense of a threefold growth of the database size, as compared to the standard waffling routines, or about 30 time increase compared to the original drawn design. We then proposed an alternative approach using a "waffle add" CAD layer to achieve a significant reduction in waffle count and parasitic capacitance.
A novel electron-beam-based photomask repair tool
Show abstract
High-resolution electron-beam assisted deposition and etching is an enabling technology for current and future generation photo mask repair. NaWoTec in collaboration with LEO Electron Microscopy has developed a mask repair beta tool capable of processing a wide variety of mask types, such as quartz binary masks, phase shift masks, EUV masks, and e-beam projection stencil masks. Specifications currently meet the 65 nm device node requirements, and tool performance is extendible to 45 nm and below. The tool combines LEO's ultra-high resolution Supra SEM platform with NaWoTec's e-beam deposition and etching technology, gas supply and pattern generation hardware, and repair software. It is expected to ship to the first customer in October this year. In this paper, we present the tool platform, its work flow oriented repair software, and associated deposition and etch processes. Unique features are automatic drift compensation, critical edge detection, and arbitrary pattern copy with automatic placement. Repair of clear and opaque programmed defects on Cr, TaN, and MoSi quartz masks, as well as on SiC and Si stencil masks is demonstrated. We show our development roadmap towards a production tool, which will be available by the end of this year.
Current status of next-generation EUVL mask blank tool development
Show abstract
Mask blanks for extreme ultraviolet lithography (EUVL) are fabricated by depositing Mo/Si multilayer films on super polished substrates. These mask blanks must be nearly defect-free, and therefore particles occurring during the deposition process are a serious concern. Development of the next-generation ultra low defect deposition tool for fabricating EUVL mask blanks is crucial for the commercialization of the EUVL technology. ISMT initiated a project at the ISMT-N (Albany, NY) facility to provide an ion beam sputter deposition tool for multilayer deposition on 6” square format substrates to support the development and production of EUV mask blanks. The project has access to state-of-the-art metrology tools recently installed at the Albany facility and also has process development support from Lawrence Livermore National Laboratory (LLNL) and Veeco. The project goal is to work with suppliers, LLNL, Veeco, to baseline, perform defect and root cause analysis, and improve the current tool with an upgrade path to meet the final specification for EUV mask blanks. We will provide results on the quality of the mask blanks produced during the benchmarking phase of this tool; data will be presented for the EUV reflectivity, reflectance uniformity, centroid wavelength, and uniformity.
Blanks
Mask cost and specification
Show abstract
At the panel discussion of Photomask Japan 2003, we discussed about Mask cost and specification. The topics are (1) Mask price trend and its impact, (2) How to reduce the mask costs; solutions from a mask shop, mask writing tool and mask inspection tool 3) Partnering mask suppliers with mask users; reasonable mask specification and OPC strategies. The choice of DUV laser writer instead of e-beam writer is one solution for reduction of mask cost. The continuous improvement of e-beam writer and resist sensitivity for high throughput is another solution. The partnership between designer, EDA vender, mask maker and wafer lithographer becomes more important.