Share Email Print
cover

PROCEEDINGS VOLUME 5256

23rd Annual BACUS Symposium on Photomask Technology

*This item is only available on the SPIE Digital Library.


Volume Details

Volume Number: 5256
Date Published: 17 December 2003

Table of Contents
show all abstracts | hide all abstracts
DUV mask writer for BEOL 90-nm technology layers
Author(s): Dongsung Hong; Prakash Krishnan; Dianna Coburn; Nazneen Jeewakhan; Shengqi Xie; Joshua Broussard; Bradley Ferguson; Kent G. Green; Peter Buck; Curt A. Jackson; Larry Martinez
Show Abstract
DUV ALTA system aerial image enhancement for improved pattern fidelity
Author(s): Paul C. Allen; Alex H. Buxbaum; Samuel C. Howells; Boaz Kenan; Asher Klatchko; Peter Y. Pirogovsky; Robin Teitzel; Michael White
Show Abstract
DUV laser lithography for photomask fabrication
Author(s): Curt A. Jackson; Peter Buck; Sarah Cohen; Vishal Garg; Charles Howard; Robert Kiefer; John Manfredo; James Tsou
Show Abstract
Cell projection EB exposure for Giga DRAM device mask
Author(s): Jae Cheon Shin; Munki Lim; Youngmo Lee; Bo-Kyung Choi; Yongkyoo Choi; Oscar Han
Show Abstract
Simulation of mask CD variation for different local densities with in-house developed e-beam lithography simulator
Author(s): Mi-Young Kim; Won-Tai Ki; Sung-Hoon Lee; Ji-Hyeon Choi; Seong-Woon Choi; Jung-Min Sohn
Show Abstract
New method for approaching the loading-free process for photomask Cr etching
Author(s): Il-Yong Jang; Jeong-Yun Lee; Sung-Yong Moon; Seong-Woon Choi; Jung-Min Sohn
Show Abstract
Optimization of a 65-nm alternating phase-shift quartz etch process
Author(s): Scott A. Anderson; Rex B. Anderson III; Melisa J. Buie; Madhavi Chandrachood; Jason O. Clevenger; Yvette Lee; Nicole L. Sandlin; Jian Ding
Show Abstract
Integrated phase shift measurements for advanced mask etch process control
Author(s): Turgut Sahin; Corey Collard; Scott A. Anderson; Alfred W. Mak; Cynthia B. Brooks; Melisa J. Buie; Philip Walsh; George Li
Show Abstract
Process monitoring of chrome dry-etching with RF sensor for reticle production beyond 90-nm node
Author(s): Hitoshi Handa; Satoshi Yamauchi; Hiroshi Maruyama; Satoshi Ishimoto; Makoto Kosugi; Yutaka Miyahara; Toshifumi Uryu; Toshifumi Yokoyama; Akihiko Naito
Show Abstract
Vortex via validation
Author(s): Marc David Levenson; Takeaki Ebihara; Yasutaka Morikawa; Naoya Hayashi
Show Abstract
Implementing AAPSM in 90-nm product with practical image imbalance correction
Author(s): Benjamin Szu-Min Lin; Shu-hao Hsu; I. H. Huang; Kunyuan Chen; Frank Hsieh; Tony Hsu; Hua-Yu Liu; Armen Kroyan; Freeman Hsu; Jason Huang
Show Abstract
Full-chip application for SRAM gate at 100-nm node and beyond using chromeless phase lithography
Author(s): Ji-Soong Park; Sung-Hyuck Kim; In-Kyun Shin; Sung-Woon Choi; Jung-Min Sohn; Jae-Han Lee; Hye-soo Shin; Thomas L. Laidig; Douglas J. Van Den Broeke; J. Fung Chen
Show Abstract
Template fabrication for sub-80-nm contact hole patterning using step and flash imprint lithography
Author(s): David P. Mancini; Kathleen A. Gehoski; William J. Dauksher; Kevin J. Nordquist; Douglas J. Resnick; Philip Schumaker; Ian McMackin
Show Abstract
Litho-and-mask concurrent approach to the critical issues for proximity electron lithography
Author(s): Shinji Omori; Kazuya Iwase; Keiko Amai; Yoko Watanabe; Shoji Nohama; Shinichiro Nohdo; Shigeru Moriya; Tetsuya Kitagawa; Kenta Yotsui; Gaku Suzuki; Akira Tamura
Show Abstract
Efficient mask data preparation for variable shaped e-beam writing system focusing on memory devices
Author(s): Tae Hwang Jang; Jong-Bae Lee; Jae-Pil Shin; Kwang-Jai Yoo; Dai-Hyun Jung; Yong-Hee Park; Moon-Hyun Yoo; Jeong-Taek Kong
Show Abstract
Parallel processing approaches in RET and MDP: new hybrid multithreading and distributed technology for optimum throughput in a hierarchical flow
Author(s): Steffen F. Schulze; Emile Sahouria; Robert Todd; Laurence Grodd; Mary Finch
Show Abstract
OASIS vs. GDSII stream format efficiency
Author(s): Alfred J. Reich; Kent H Nakagawa; Robert E. Boone
Show Abstract
Integrating design data with manufacturing data: why you want to use a universal data model (UDM)
Author(s): Wesley R. Erck
Show Abstract
Shuttle mask floorplanning
Author(s): Gang Xu; Ruiqi Tian; Martin D.F. Wong; Alfred J. Reich
Show Abstract
Passivation of the 157-nm pellicle with nanometer thin films
Author(s): Yue Kuo; Jiang Lu; Jun-Yen Tewg; Paul A. Zimmerman
Show Abstract
157-nm attenuated phase-shift mask materials with irradiation stability
Author(s): James R. Wasson; Nora V. Edwards; Bing Lu; Pawitter Mangat; Andrew Grenville
Show Abstract
Development of a new PSM film system for 157-nm extensible to high-transmission 193 nm lithography
Author(s): Hans W. Becker; Jay Chey; Frank Sobel; Frank Schmidt; Markus Renno; Ute Buttgereit; Marie Angelopoulos; Guenter Hess; Konrad Knapp
Show Abstract
Porous silica pellicle frame
Author(s): De-Yin Jeng; D. Laurence Meixner; Rahul Ganguli; Steven G. Colbern; Troy Robinson; Mark W. Morris; S. Ray Chaudhuri; Brian J. Grenon
Show Abstract
Improvement of empirical OPC model robustness using full-chip aerial image analysis
Author(s): Thomas Roessler; Beate Frankowsky; Olivier Toublan
Show Abstract
Focus latitude optimization for model-based OPC
Author(s): Qi-De Qian; Shinichi Takase
Show Abstract
Model-based methodology for reducing OPC output pattern complexity
Author(s): Lawrence S. Melvin III; Michael Rieger
Show Abstract
OPC model generation procedure for different reticle vendors
Author(s): Andrew M. Jost; Nadya Belova; Neal P. Callan
Show Abstract
Effects of reticle reflectance on lithography
Author(s): Kevin D. Cummings; Bernd Geh; Bing Lu; James R. Wasson; Eric Weisbrod; William J. Dauksher; Kevin J. Nordquist; Pawitter Mangat
Show Abstract
Mask pattern fidelity quantification
Author(s): Wen-Chuan Wang; Shih-Ming Chang; Chih-Cheng C. Chin; Chi-Lun Lu; Angus S.J. Chin; Hung-Chang Hsieh; Shinn-Sheng Yu
Show Abstract
Printability of 2D mask quality
Author(s): Vicky Philipsen; Rik Jonckheere
Show Abstract
Analysis of etched quartz solutions for 65-nm node critical layer lithography
Author(s): Ebo H. Croffie; Neal P. Callan
Show Abstract
Near-0.3 k1 full pitch range contact hole patterning using chromeless phase lithography (CPL)
Author(s): Douglas J. Van Den Broeke; Robert Socha; Stephen D. Hsu; J. Fung Chen; Thomas L. Laidig; Noel Corcoran; Uwe Hollerbach; Kurt E. Wampler; Xuelong Shi
Show Abstract
Interferometric-probe aberration monitors: aerial image and in-resist performance
Author(s): Garth C. Robins; Mircea Dusa; Bernd Geh; Andrew Neureuther
Show Abstract
A common base for mask cost of ownership
Author(s): Walter J. Trybula
Show Abstract
Migration of 90-nm mask and wafer lithography learning into 130-nm mask production to improve performance and yield
Author(s): Andrew Watts; Yiyang Jenny Wang; Jed Rankin
Show Abstract
Mask industry assessment: 2003
Author(s): Kurt R. Kimmel
Show Abstract
90-nm mask making processes using the positive tone chemically amplified resist FEP171
Author(s): Joerg Butschke; Dirk Beyer; Chris Constantine; Peter Dress; Peter Hudek; Mathias Irmscher; Corinna Koepernik; Christian Krauss; Jason Plumhoff; Peter Voehringer
Show Abstract
Resist process optimization for a DUV laser pattern generator
Author(s): Hans A. Fosshaug; Adisa Bajramovic; Johan Karlsson; Kezhao Xing; Anna Rosendahl; Anna Dahlberg; Charles Bjoernberg; Mans Bjuggren; Torbjorn Sandstrom
Show Abstract
Improving global CD uniformity by optimizing post-exposure bake and develop sequences
Author(s): Stephen P. Osborne; Mark Mueller; Homer Lem; David Reyland; KiHo Baik
Show Abstract
Automated CD-error compensation for negative-tone chemically amplified resists by zone-controlled post-exposure bake
Author(s): Lothar Berger; Peter Dress; Thomas Gairing; J. J. Chen; Ren-Guey Hsieh; Hsin-Chang Lee; Hung-Chang Hsieh
Show Abstract
Dehydration bake effects with UV/O3 treatment for 130-nm node PSM processing
Author(s): Yong-Dae Kim; Dong-Seuk Lee; Dong-Il Park; Hyuk-Joo Kwon; Jin-Min Kim; Sung-Mo Jung; Sang-Soo Choi
Show Abstract
Creating direct-write gray-scale photomasks with bimetallic thin film thermal resists
Author(s): Glenn H. Chapman; Yuqiang Tu; James Dykes; Masahiko Mio; Jun Peng
Show Abstract
Improved phase uniformity control using a new AAPSM etch stop layer technique
Author(s): Matthew Lassiter; Michael J. Cangemi; Darren Taylor
Show Abstract
Reticle surface contaminants and their relationship to subpellicle particle formation
Author(s): Brian J. Grenon; Kaustuve Bhattacharyya; William Waters Volk; Andre Poock
Show Abstract
193-nm haze contamination: a close relationship between mask and its environment
Author(s): Eric Johnstone; Laurent Dieu; Christian Chovino; Julio Reyes; Dongsung Hong; Prakash Krishnan; Dianna Coburn; Christian Capella
Show Abstract
Yield Mask: the latest developments and their application in a mask house production environment
Author(s): Annemarie MacKenzie; Rudolf Laubmeier; Ankush Oberai; Sana Shaikh; Gerd Stockmann
Show Abstract
Enhanced dispositioning of reticle defects for advanced masks using virtual stepper with automated defect severity scoring
Author(s): Linyong Pang; Alex Lu; Jacky Chen; Eric Guo; Lynn Cai; Jiunn-Hung Chen
Show Abstract
Results from a new reticle defect inspection platform
Author(s): William H. Broadbent; James N. Wiley; Zain K. Saidin; Sterling G. Watson; David S. Alles; Larry S. Zurbrick; Chris A. Mack
Show Abstract
Investigation of smart inspection of critical layer reticles using additional designer data to determine defect significance
Author(s): William Waters Volk; Carl Hess; Wayne Ruch; Zongchang Yu; Weimin Ma; Lisa Fisher; Carl Vickery; Z. Mark Ma
Show Abstract
Aerial-image-based off-focus inspection: lithography process window analysis during mask inspection
Author(s): Shirley Hemar; Reuven Falah; Anja Rosenbusch; Yuval Blumberg
Show Abstract
Comparative evaluation of mask cleaning performance
Author(s): Woo-Gun Jeong; Dong-il Park; Eui-Sang Park; Young-Woong Cho; Se-Jong Choi; Hyuk-Joo Kwon; Jin-Min Kim; Sang-Soo Choi
Show Abstract
Advanced FIB mask repair technology for 90-nm/ArF lithography: III
Author(s): Yoshiyuki Tanaka; Yasutoshi Itou; Nobuyuki Yoshioka; Ryoji Hagiwara; Anto Yasaka; Osamu Takaoka; Tomokazu Kozakai; Yoshihiro Koyama; Hiroshi Sawaragi; Yasuhiko Sugiyama; Masashi Muramatsu; Toshio Doi; Katsumi Suzuki; Mamoru Okabe; Masashi Shinohara; Osamu Matsuda; Kazuo Aita; Tatsuya Adachi; Yasutaka Morikawa; Masaharu Nishiguchi; Yasushi Satoh; Naoya Hayashi
Show Abstract
Material removal strategies and results for 193-nm lithography using FIB mask repair
Author(s): David C. Ferranti; Anthony Graupera; Jeff Marshman; Diane K. Stewart; Sharon M. Szelag
Show Abstract
EUV substrate and blank inspection with confocal microscopy
Author(s): Jan-Peter Urbach; Jan F. W. Cavelaars; Hal Kusunose; Ted Liang; Alan R. Stivers
Show Abstract
EUV mask making: an approach based on the direct patterning of the EUV reflector
Author(s): Christian Chovino; Laurent Dieu; Eric Johnstone; Julio Reyes; Bruno M. La Fontaine; Harry J. Levinson; Adam Richard Pawloski
Show Abstract
Optimization of EUVL reticle thickness for image placement accuracy
Author(s): Liang Zheng; Andrew R. Mikkelson; Amr Y. Abdo; Roxann L. Engelstad; Edward G. Lovell; Thomas J. White
Show Abstract
METROPOLE-3D: a three-dimensional electromagnetic field simulator for EUV masks under oblique illumination
Author(s): Zhengrong Zhu; Kevin D. Lucas; Jonathan L. Cobb; Scott Daniel Hector; Andrzej J. Strojwas
Show Abstract
Fine pixel CD-SEM for measurements of two-dimensional patterns
Author(s): Shinji Yamaguchi; Masamitsu Itoh; Takahiro Ikeda; Yumiko Miyano; Tadashi Mitsui; Masuo Amma; Shoichi Horikawa
Show Abstract
Corner roundness and contact area algorithms for reticle metrology through the use of region connectivity extraction
Author(s): Roman Kris; Gidi Gottlib; Ovadya Menadeva; Ram Peltinov; Liraz Seagl; Naftali Shcolnik; Aviram Tam; Arcadiy Vilenkin
Show Abstract
Development of phase-shift and transmittance metrology system for 157-nm PSMs
Author(s): Hal Kusunose; Takashi Yasui; Iwao Higashikawa
Show Abstract
Optical critical dimension (OCD) measurments for profile monitoring and control: applications for mask inspection and fabrication
Author(s): Ray J. Hoobler; Ebru Apak
Show Abstract
Improved image placement performance of HL-7000M
Author(s): Masaomi Tanaka; Hiroyuki Ito; Hiroyuki Takahashi; Kazuyoshi Oonuki; Yasuhiro Kadowaki; Hidetoshi Sato; Hajime Kawano; Zhigang Wang; Kazui Mizuno; Genya Matsuoka
Show Abstract
Mask patterning technology with KrF photomask repeater
Author(s): Taejoong Ha; Munki Lim; Youngmo Lee; Bo-Kyung Choi; Yongkyoo Choi; Oscar Han
Show Abstract
Dose-modulation-induced mask CD error on simultaneous correction of fogging and loading effect
Author(s): Hojune Lee; Seung-Hune Yang; Jin-Hong Park; Seong-Yong Moon; Seong-Woon Choi; Jung-Min Sohn
Show Abstract
Mask-making study for the 65-nm node
Author(s): Jieh-Jang Chen; Hsin-Chang Lee; Chi-Lun Lu; Ren-Guey Hsieh; Wen-Chi Chen; Hung-Chang Hsieh; Burn-Jeng Lin
Show Abstract
Investigation of Cr etch kinetics
Author(s): Banqiu Wu
Show Abstract
Plasma and flow modeling of photomask etch chambers
Author(s): Edward Hammond; Jason O. Clevenger; Melisa J. Buie
Show Abstract
Use of lower-end technology etch platforms for high-etch loads
Author(s): Jefferson O Nemelka
Show Abstract
Improvements in binary chrome CD performance utilizing an optimized 4th-generation reactor platform
Author(s): Jason Plumhoff; Chris Constantine; Jong Shin; Brad Reelfs; Emmanuel Rausa
Show Abstract
Examination of various endpoint methods for chrome mask etch
Author(s): Corey Collard; Scott A. Anderson; Rex B. Anderson III; Jason O. Clevenger; Monika Halim; Cynthia B. Brooks; Melisa J. Buie; Turgut Sahin
Show Abstract
Optimization of data handling prior to fracturing for reduction of mask writing time
Author(s): James Word; Steffen F. Schulze
Show Abstract
Detection and impact of mask manufacturing constraints on OPC efficacy
Author(s): Patrick J. LaCour
Show Abstract
Investigation of an enhanced mask data preparation system using unified mask data formats
Author(s): Toshio Suzuki; Koki Kuriyama; Junji Hirumi; Nobuyuki Yoshioka; Hidemichi Kawase; Tomoko Kamimoto
Show Abstract
Techniques for maximizing yield in nanometer designs
Author(s): John Ferguson
Show Abstract
Study of dry etching pattern profile of chromeless phase lithography (CPL) mask
Author(s): Jimmy Lin; Michael Hsu; Tony Hsu; Stephen D. Hsu; Xuelong Shi; Douglas J. Van Den Broeke; J. Fung Chen; F. C. Tang; W. A. Hsieh; C. Y. Huang
Show Abstract
Comparisons of 9% versus 6% transmission attenuated phase-shift mask for the 65-nm device mode
Author(s): Patrick K. Montgomery; Kevin D. Lucas; Lloyd C. Litt; Will Conley; Eric Fanucchi; Johannes Van Wingerden; Geert Vandenberghe; Vincent Wiaux; Darren Taylor; Michael J. Cangemi; Bryan Kasprowicz
Show Abstract
200-mm EPL stencil mask fabrication and metrology
Author(s): Hiroshi Fujita; Tadahiko Takigawa; Mikio Ishikawa; Yu-ki Aritsuka; Satoshi Yusa; Morihisa Hoga; Hisatake Sano
Show Abstract
A new concept of image imbalance correction for phase-shift mask lithography at 65 nm
Author(s): Vishnu G. Kamat; Armen Kroyan
Show Abstract
Application of rigorous electromagnetic simulation to SLM-based maskless lithography for 65-nm node
Author(s): Ebo H. Croffie; Nick Eib; Neal P. Callan; Nabila Baba-Ali; Azat Latypov; Jason Hintersteiner; Torbjorn Sandstrom; Arno Bleeker; Kevin D. Cummings
Show Abstract
Fourier optic imaging equations for the immersion case
Author(s): Peter D. Brooker
Show Abstract
Experimental investigation of hard pellicle purge processes
Author(s): Amr Y. Abdo; Gregory F. Nellis; Aya K. Diab; Eric P. Cotte; Aaron J. Chalekian; Roxann L. Engelstad; Edward G. Lovell; Chris Van Peski
Show Abstract
157-nm alternating phase-shifting mask design and high-NA images
Author(s): Yung-Tin Chen; Jeff Meute; Kim Dean; Peter D. Brooker
Show Abstract
Sol-gel fabrication of high-quality photomask substrates for 157-nm lithography
Author(s): Rahul Ganguli; Steven G. Colbern; Mark W. Morris; D. Laurence Meixner; S. Ray Chaudhuri
Show Abstract
Mask challenges and capability development for the 65-nm device technology node: the first status report
Author(s): Won D. Kim; Christopher M. Aquino; Mark D. Eickhoff; Phillip Lim; Nobuhiko Fukuhara; Scott W. Jessen; Yasutaka Kikuchi; Junichi Tanzawa
Show Abstract
Hotspot detection on post-OPC layout using full-chip simulation-based verification tool: a case study with aerial image simulation
Author(s): Juhwan Kim; Minghui Fan
Show Abstract
High-accuracy simulation-based optical proximity correction
Author(s): Martin C. Keck; Thomas Henkel; Ralf Ziebold; Christian Crell; Jörg Thiele
Show Abstract
Effect of mask pattern fidelity on 193-nm lithography performance
Author(s): Ching Chi Cheng; Tsung-Lin Su; Fei-Geo Tsai; Tsong-Hsien Tsai; Chin-Chiang Tu; Chue-San Yoo
Show Abstract
Multichip reticle approach for OPC model verification
Author(s): Kunal N. Taravade; Nadya Belova; Andrew M. Jost; Neal P. Callan
Show Abstract
Flows for model-based layout correction of mask proximity effects
Author(s): Nicolas B. Cobb; Wilhelm Maurer
Show Abstract
Characteristics of an autofocus system on a grating with period smaller than the focus-beam wavelength
Author(s): Riki Ogawa; Shinji Sugihara; Yoshinori Honguh; Hiroyuki Nagahama; Toshiyuki Watanabe; Hideo Tsuchiya
Show Abstract
Using location of diffraction orders to predict performance of future scanners
Author(s): Peter Brooker
Show Abstract
Beyond k1=0.25 lithography: 70-nm L/S patterning using KrF scanners
Author(s): Takeaki Ebihara; Marc David Levenson; Wei Liu; Jim He; Wendy Yeh; Sang Ahn; Toshihiro Oga; Meihua Shen; Hichem M'saad
Show Abstract
Influence of antireflection coatings in ArF lithography
Author(s): Bruno M. La Fontaine; Adam Richard Pawloski; Alden Acheta; Yunfei Deng; Harry J. Levinson; Christopher Spence; Christian Chovino; Laurent Dieu; Eric Johnstone; Franklin Kalk
Show Abstract
Manufacturing of ArF chromeless hard shifter for 65-nm technology
Author(s): Keun-Taek Park; Laurent Dieu; Greg P. Hughes; Kent G. Green; Ebo H. Croffie; Kunal N. Taravade
Show Abstract
Bias optimization through simulation for contact array pattern
Author(s): Thomas V. Pistor; Ksenia Berger
Show Abstract
Resist heating effect on e-beam mask writing at 75 kV and 60 A/cm2
Author(s): Zdenek Benes; Christina Deverich; Chester Huang; Mark Lawliss
Show Abstract
Second-level imaging of advanced alternating phase-shift masks using e-beam lithography
Author(s): Bernd Leibold; Joerg Butschke; Lutz Bettin; Dirk Beyer; Mathias Irmscher; Corinna Koepernik; Rainer Plontke; Armelle Vix; Peter Voehringer
Show Abstract
Modeling thermal reflow of resist contact hole arrays
Author(s): Jae-Won Lee; Zhaohua Feng; Roxann L. Engelstad; Edward G. Lovell
Show Abstract
CD uniformity dependence on CAR PEB process and its improvement for EUVL mask fabrication
Author(s): Bing Lu; James R. Wasson; Eric Weisbrod; Pawitter Mangat; Eric Ainley; Adolpho Rios; Kevin J. Nordquist
Show Abstract
Global CD uniformity improvement in mask manufacturing for advanced lithography
Author(s): Shih-Ming Chang; Chih-Cheng C. Chin; Wen-Chuan Wang; Chi-Lun Lu; Ren-Guey Hsieh; Cherng-Shyan Tsay; Yung-Sung Yen; Sheng-Chi Chin; Hsin-Chang Lee; Ru-Gun Liu; Kuei-Shun Chen; Hung-Chang Hsieh; Yao Ching Ku; John C.H. Lin
Show Abstract
Initial results of new photomask-blank deposition tool
Author(s): Michael D. Kriese; James R. Rodriguez; Yuriy Y. Platonov; James L. Wood
Show Abstract
EMPOF: electronic mask production order forms
Author(s): J. Gordon Hughes; David Muir; Leslie Drennan
Show Abstract
ART structures: a wafer targeting system that relaxes the mean-to-target reticle specification
Author(s): Robert Muller; Mark Simmons; Duane Barber
Show Abstract
Taking advantage of vendor automation with SEMI P10
Author(s): Mike Behnam; Jim McCracken
Show Abstract
Research on the origin of particle generation in ICP plasma reactor
Author(s): Jeong-Yoon Lee; Nam-Kyu Kim; Il-Yong Jang; Sung-Yong Mun; Sung-Woon Choi; Jung-Min Sohn
Show Abstract
A study of defect measurement techniques and corresponding effects on the lithographic process window for a 193-nm EPSM photomask
Author(s): Anthony B. Nhiev; Jason Hickethier; Haiqing Zhou; Trent A. Hutchinson; William Howard; Mohsen Ahmadian
Show Abstract
Phase degradation characteristics of programmed AAPSM defects with automatic inspection tool sensitivity
Author(s): Darren Taylor; Eric Poortinga
Show Abstract
Induced ESD damage on photomasks: a reticle evaluation
Author(s): Andrew C. Rudack; Michael Pendley; Patrick Gagnon; Lawrence Levit
Show Abstract
Defect printability for high-exposure dose advanced packaging applications
Author(s): Max Mikles; Warren Flack; Ha-Ai Nguyen; Dan Schurz
Show Abstract
Reticle inspection optimization for 90-nm and 130-nm technology nodes using a multibeam UV wavelength inspection tool
Author(s): Rick Lai; Luke Hsu; Chiun Hong Kung; Johnson Hung; Wei Hong Huang; Chue-San Yoo; Yao-Tsu Huang; Vincent Hsu
Show Abstract
193-nm EAPSM inspection comparison: commercial versus alternative absorber material
Author(s): Darren Taylor; Matthew Lassiter; Michael J. Cangemi
Show Abstract
Phase-enhanced DUV inspection of alternating phase-shift reticles
Author(s): Larry S. Zurbrick; Maciej Rudzinski; Long He; Kurt R. Kimmel; Alvina Williams
Show Abstract
DUV inspection capability for 90-nm node mask in ArF lithography
Author(s): Katsumi Ohira; Byung Gook Kim; Keishi Tanaka; Nobuyuki Yoshioka; Motonari Tateno; Naohisa Takayama; Shingo Murakami; Keiichi Hatta; Shinji Akima; Fuyuhiko Matsuo; Masao Otaki
Show Abstract
High-resolution reticle inspection technique providing a complete reticle qualification solution in advanced 90-nm node wafer fabs
Author(s): Louie Liu; Chi-Horng Liao; Yi-Ming Dai; Jyh-Ching Lin; Kaustuve Bhattacharyya; Yao-Tsu Huang; Kong Son; Den Wang
Show Abstract
Immersion system process optimization for 248-nm and 193-nm photomasks: binary and EAPSM
Author(s): Gim Chen; Julio Reyes; James L Wood; Ismail Kashkoush; Laurent Dieu; Richard Novak
Show Abstract
Localized exposure technique for isolated Cr defect repair
Author(s): Hsu-Yueh Chien; Weidong Cai
Show Abstract
Optimization of nanomachining repair condition for ArF lithography
Author(s): Tsuyoshi Amano; Masaharu Nishiguchi; Hiroyuki Hashimoto; Yasutaka Morikawa; Naoya Hayashi; Roy White; Ron Bozak; Lee Terrill
Show Abstract
Photomask repair performance of the SiON/Ta-Hf attenuating PSM
Author(s): Masaharu Nishiguchi; Tsuyoshi Amano; Shiho Sasaki; Yasutaka Morikawa; Naoya Hayashi
Show Abstract
Advancements in focused ion beam repair of alternating phase-shift masks
Author(s): Joshua Lessing; Tod Robinson; Troy Morrision; Theresa Holtermann
Show Abstract
Fabrication of programmed phase defects on EUV multilayer blanks
Author(s): Takeru Kinoshita; Tsutomu Shoki; Hideo Kobayashi; Ryo Ohkubo; You-Ichi Usui; Morio Hosoya; Noriyuki Sakaya; Osamu Nagarekawa
Show Abstract
EUV radiation damage test on EUVL mask absorber materials
Author(s): Bing Lu; James R. Wasson; Sang-In Han; Pawitter Mangat; Victoria Golovkina; Franco Cerrina
Show Abstract
Rigorous simulation of defective EUV multilayer masks
Author(s): Christoph Sambale; Thomas Schmoeller; Andreas Erdmann; Peter Evanschitzky; Christian K. Kalus
Show Abstract
EUV mask simulation for AIMS
Author(s): Roman Windpassinger; Norbert Rosenkranz; Thomas Scherubl; Peter Evanschitzky; Andreas Erdmann; Axel Zibold
Show Abstract
Update on the EUVL mask blank activity at Schott Lithotec
Author(s): Frank Sobel; Lutz Aschke; Hans W. Becker; Markus Renno; Frauke Ruggeberg; Steffen Kirchner; Thomas Leutbecher; Nathalie Olschewski; Mario Schiffler; Kurt Walter; Guenter Hess; Ute Buttgereit; Konrad Knapp; Rainer Lebert; Larissa Juschkin; Christian Wies; Bernhard Jagle
Show Abstract
Low-thermal-expansion material for EUV applications
Author(s): Kousuke Nakajima
Show Abstract
Utilization of EWMA-type charts for critical dimension metrology tools
Author(s): Joel Thomas Buser
Show Abstract
SLF27 energy difference method to specify printability of contact hole defects
Author(s): T. M. Suleni; T. Y. Peng
Show Abstract
Semiconductor wafer printing simulation by digital apodization of high-resolution actinic photomask images
Author(s): James Jacob; Andrew Merriam; Ken Rebitz
Show Abstract
Interferometric-probe monitors for self-diagnostics of phase-shifting mask performance
Author(s): Gregory R. McIntyre; Andrew Neureuther
Show Abstract
Preliminary results for mask metrology using spatial heterodyne interferometry
Author(s): Philip R. Bingham; Kenneth Tobin; Marylyn H. Bennett; Pat Marmillion
Show Abstract
Optimization of chrome dry etch in Tetra II using asymmetrically loaded patterns
Author(s): Cynthia B. Brooks; Rex B. Anderson III; Jason O. Clevenger; Corey Collard; Monika Halim; Turgut Sahin; Alfred W. Mak
Show Abstract
Low-stress stencil masks using a doping method
Author(s): Hideyuki Eguchi; Toshiaki Kurosu; Takashi Yoshii; Hiroshi Sugimura; Kojiro Itoh; Akira Tamura
Show Abstract
Properties of a 248-nm DUV laser mask pattern generator for the 90-nm and 65-nm technology nodes
Author(s): Johan Aman; Hans A. Fosshaug; Tobias Hedqvist; Jan Harkesjo; Peter Hogfeldt; Marie Jacobsson; Andrzej Karawajczyk; Johan Karlsson; Mats Rosling; Henrik J. Sjoberg
Show Abstract
EUVL mask with Ru ML capping
Author(s): Pei-Yang Yan; Guojing Zhang; Scott Chegwidden; Eberhard Adolf Spiller; Paul B. Mirkarimi
Show Abstract
Toward large-area simulation of e-beam lithography
Author(s): Martin Bohn; Ulrich Hofmann; Wolfgang Hoppe; Christopher J. Progler; Michael Ryzhoukhin
Show Abstract
Study of alternating phase-shift mask structure for 65-nm node devices
Author(s): Toshio Konishi; Tooru Komizo; Hiroyuki Takahashi; Motohiko Morita; Takashi Ohshima; Kazuaki Chiba; Yosuke Kojima; Jun Sasaki; Keishi Tanaka; Masao Otaki; Yoshimitsu Okuda
Show Abstract
Mask CD uniformity improvement by dry etching loading effect correction
Author(s): Jun Kotani; Toshiaki Yanagihara; Eiji Umeda; Takashi Senou; Yasutaka Kikuchi; Tsuyoshi Tanaka; Yoshimitsu Okuda
Show Abstract
Continuous-tone gray-scale photomasks based on photosensitive spin-on-glass technology for deep-UV lithography applications
Author(s): Edgar A. Mendoza; F. A. Sigoli; H. Paulus; L. Q. Giang; M. Seifouri; E. Lam; Lothar U. Kempen; Farvardin Johansooz
Show Abstract
Optimization of dummy pattern for mask data size reduction
Author(s): Walter Iandolo; Yitzhak Gilboa; Bill Phan; Artur P. Balasinski
Show Abstract
A novel electron-beam-based photomask repair tool
Author(s): Klaus Edinger; Hans Becht; Rainer Becker; Volker Bert; Volker A. Boegli; Michael Budach; Susanne Göhde; Jochen Guyot; Thorsten Hofmann; Ottmar Hoinkis; Alexander Kaya; Hans W.P. Koops; Petra Spies; Bernd Weyrauch; Johannes Bihr
Show Abstract
Current status of next-generation EUVL mask blank tool development
Author(s): Andy Ma; Kevin G. Kemp; Rajul Randive; Al Weaver; Mark Roberti; Alan V. Hayes; Daniel L. Abraham; Paul B. Mirkarimi; Eberhard Adolf Spiller; Patrick A. Kearney
Show Abstract
Mask cost and specification
Author(s): Hisashi Watanabe; Iwao Higashikawa
Show Abstract

© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray