Proceedings Volume 5148

19th European Conference on Mask Technology for Integrated Circuits and Microcomponents

cover
Proceedings Volume 5148

19th European Conference on Mask Technology for Integrated Circuits and Microcomponents

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 28 May 2003
Contents: 10 Sessions, 30 Papers, 0 Presentations
Conference: 19th European Conference on Mask Technology for Integrated Circuits and Microcomponents 2003
Volume Number: 5148

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Keynote and Overview Papers
  • OPC
  • Metrology I
  • Defect Printability and Repair
  • Keynote and Overview Papers
  • Poster Session
  • NGL Masks
  • Inspection
  • Metrology II
  • Data Flow and Process Automation
  • MST-related Technologies
  • NGL Masks
Keynote and Overview Papers
icon_mobile_dropdown
Through the looking glass: what is on the horizon for the mask maker?
The future of mask industry technology is in flux. While the requirements for current and near-term lithographic capability is well understood, advanced lithography options pose a completely new set of challenges to the mask maker. Challenges are not only process and materials related, but also include more fundamental concerns dealing with how to afford the necessary capability development. This paper identifies the issues and attempts to propose solutions to the industry's growing concerns.
OPC
icon_mobile_dropdown
Integration of OPC and mask data preparation
Steffen F. Schulze, Pat J. LaCour, Norma Rodriguez
As design rules shrink aggressively while the wavelength reduction in the exposure equipment cannot keep up, extensive usage of resolution enhancement techniques (RET) has complicated the generation and handling of mask writing data. Consequently, file size growth and computing times for mask data preparation rise beyond feasibility. In order to address these issues, an integrated flow has been developed. It starts out with the gds-file delivered by the backend of design and combines optical proximity correction, design rule and mask process rule verification, and all other necessary steps for mask data preparation into a single flow. The benefits of this strategy are time savings in data processing and handling, the elimination of intermediate files, and the elimination of data format interface issues. Since the new flow takes full advantage of the design hierarchy, file sizes shrink considerably and the whole data preparation infrastructure can be simplified. The paper will describe the transition to the new flow and quantify the benefits.
Accuracy vs. complexity: tradeoffs in OPC options
Bartosz Banachowicz, Walter Iandolo, Artur P. Balasinski, et al.
Evolution of Optical Proximity Correction (OPC) methodology with the continuing shrink of feature size indicates a gradual shift towards increasingly more complex solutions, i.e., from rule based to model based OPC. The key underlying reason is to provide adequate accuracy ofpattern reproduction despite the growing sub-wavelength gap, i.e., the difference between minimum feature size and the wavelength used to print it [1 ]. However, full chip implementation of these complex solutions would increase CAD flow/mask generation runtimes and database file sizes, therefore compromising reticle manufacturability. In order to select optimal OPC routines based on feedback from process, CAD, design, and mask engineering, we proposed a methodology and investigated tradeoffs between correction accuracy and database complexity. Rule-based OPC, i.e., corrections defined by a set ofwidth and spacing proximity rules rely on a limited set oftest geometries and can't be made sensitive to the environment ofthe feature. In contrast, model based OPC features are generated for the actual layout environment and can be changed depending on the adopted photolithography process. Another degree of freedom is provided by the rule or model calibration. We defined and discussed complexity and accuracy criteria such as the size ofthe database and the number of silicon imaging errors.
Metrology I
icon_mobile_dropdown
Development and characterization of new CD mask standards: a status report
Thomas Schatz, Bertram Hauffe, Stefan Dobereiner, et al.
We report on the current status of a project on development and characterization of CD photomasks with 6025 format to be used as reference standards for different type of CD metrology instruments. The project consortium consists of mask suppliers, manufacturers of CD metrology instruments, users of such instruments and calibration laboratories. Different type of CD metrology instrumentation, namely optical CD microscopes, CD-SEM, and AFM will be applied for investigation and measurement of microstructures, additionally supported by AIMS tool. We will describe the basic design criteria of the mask standard and first measurement results gained with different metrology tools on the prototype mask standards.
New methods for CD measurements on photomasks using dark field optical microscopy
Bernd Bodermann, Winfried Michaelis, Alexander Diener, et al.
We present novel methods of dark field optical microscopy for CD measurements on photomasks in the sub lambda regime. Optical CD inspection systems usually suffer from limited resolution and from linear and nonlinear superposition of the light diffracted at both edges of a line structure (optical proximity effects). These disadvantages partly can be overcome using alternating grazing incidence illumination of the specimen, where the angle of incidence of the iilumination is perpendicular to the edges or grooves of the specimen. Especially for s-polarised light the diffraction efficiencies of the edges directed towards the illumination are at least an order of magnitude stronger than that of the averted edges. Thus an efficient suppression of optical proximity effects is achieved. Additionally a reduction of the widths of the diffraction limited images of structure edges due to a high pass characteristic of the optical image takes place. Both effects result in a significantly increased resolution power. We developed both a reflection mode (alternating grazing incidence dark field microscopy, AGID) and a transmission mode method (Frustrated internal total reflection microscopy, FIRM). Line width measurements on high quality photomasks will be presented. The experimental results will be compared with theoretical simulations and the applicability for sub lambda structures will be discussed
Performance of the aerial image measurement system for 157-nm lithography
Peter Kuschnerus, Thomas Engel, Wolfgang Harnisch, et al.
The first Aerial Image Measurement System (AIMSTM) for 157 nm lithography worldwide has been brought into operation successfully. Its performance will be demonstrated by AIMSTM measurements at 157 nm wavelength on binary chrome masks. Several through focus series have been measured in order to calculate the process windows for various structures with feature sizes at mask level of 300 nm and below. The latest results on enhanced illumination stability will be presented and a resolution that will enable an extension of the tool usage down to the 45 nm node. Using off-axis illumination 150 nm lines and spaces mask structures have been resolved.
Mask CD characterization with EUV reflectometry at the electron storage ring BESSY II
Albrecht Ehrmann, Jenspeter Rau, Andreas Wolter, et al.
CD metrology requirements have increased dramatically within the last years. For the coming technology generations, it is not clear which CD measurement method will be standard for mask manufacturing. An interesting approach is to use the diffracted signal of periodic mask patterns for determination of CD. For wafer CD measurement, CD scatterometry tools using visible or UV wavelengths are already commercially available. For this experiment, diffracted EUV light was used. Dense lines of pitches 1:1, 2:1 and 5 :1 and nominal CDs of 150 nm, 200 nm, 300 nm, 400 nm and 500nm have been illuminated with EUV light of ?= 13.35 nm at the BESSY II storage ring in Berlin. The reflected signal has been collected with a movable detector in a range of -1 ° to 200 relative to the specular reflection. With the angular position of the peak, the pitch can be calculated. The CD, however, is related to the intensity of the peaks. Several effects as mask topography and measurement uncertainties are discussed. The results are compared to CD-SEM measurements of the same patterns.
Defect Printability and Repair
icon_mobile_dropdown
Printability study for phase-shift masks at 193-nm lithography
This paper reports on the mask quality printability for 6% attenuated phase-shift masks (PSM) using 193nm lithography. It is part of a systematic assessment of the impact of mask defects on the printed image. Our previous work [1-3] focused on defect printability of binary masks. Furthermore, an attenuated PSM is also used to print contact holes (CH), as it improves the process latitudes. In this paper we focus on the 2D quality of the CH on the mask. Due to mask-writer effects, the ideally square CH becomes rounded on the mask. The 2D mask quality determines the printed image, together with the exposure conditions and wafer stack. In a first section the influence of programmed mask defects on a 6% attenuated PSM is evaluated. The 100nm gatestyle design is based on the Defect Sensitivity Monitor (DSM of ASML MaskTools). We consider two different degrees of optical proximity correction (OPC), i.e., no OPC, and both serifs and scatter bars (SB). The mask contains programmed soft defects made from resist dots to mimic opaque defects such as bumps and spots. The wafers are exposed on an ASML PA55500/950 scanner with 193nm conventional illumination (O.7?) using a numerical aperture (NA) of 0.63. The printing results are compared to aerial image simulations taking into account the actual mask contours. A comparison table, which classifies the defect based on the induced linewidth deviation, is drawn from it. The second section deals with 2D quality of CH on a 6% attenuated PSM. The design contains CH of various sizes and in various pitches. The CH are provided with serifs on the corners, thereby varying size and placement. These serifs are intended as a correction to the design to incorporate possible mask-writer-generated rounding of the ideally square CH. The purpose of this study is to evaluate the influence of such serifs in the design towards wafer printing. The wafers are exposed on an ASML PA55500/1 100 scanner with 193nm conventional illumination (O.6?) using a NA of 0.7. The accuracy of the aerial image simulation is examined using the experimental printability data.
Electron-beam mask repair with induced reactions
Hans W. P. Koops, Klaus Edinger, Johannes Bihr, et al.
Electron-beam induced chemical reactions and their applicability to mask repair are investigated. For deposition and chemical etching with a focused electron-beam system, it is required to disperse chemicals in a molecular beam to the area of interest with a well-defined amount of molecules and monolayers per second. For repair of opaque defects the precursor gas reacts with the absorber material of the mask and forms a volatile reaction product, which leaves the surface. In this way the surface atoms are removed layer by layer. For clear defect repair, additional material, which is light absorbing in the UV, is deposited onto the defect area. This material is rendered as a nanocrystalline deposit from metal containing precursors. An experimental electron-beam mask repair system is developed and used to perform exploratory work applicable to photo mask, EUV mask, EPL and LEEPL stencil mask repair. The tool is described and specific repair actions are demonstrated. Platinum deposited features with lateral dimensions down to 20 nm demonstrate the high resolution obtainable with electron beam induced processes, while AFM and AIMS measurements indicate, that specifications for mask repair at the 70 nm device node can be met. In addition, examples of etching quartz, TaN, and silicon carbide stencil masks are given.
Keynote and Overview Papers
icon_mobile_dropdown
Early mask making during the 1960s in Dresden
One of the first European institutes for microelectronics, the Arbeitsstelle für Molekularelektronik Dresden AME, was founded in 1961 by WERNER HARTMANN. The purpose was to develop processes for fabrication of integrated circuits. Concerning microlithography at first a five-stage mask technique was used since 1965. The artwork original which was called 'Vorlage" was cut with a scale of about 200: 1 .Using a reduction camera the artwork original was reduced about 20 times, to get the reticle known as the "Zwischennegativ". This reticle was then further reduced about 10 times by "step and repeat", using a projection microscope, to get a master mask known as the "Originalschablone" whose scale was then 1 : 1 . By direct photocopying of the master mask one obtained a working mask which was called the "Arbeitsschablone" . Finally, an aligned direct exposure of the working mask on to a wafer created, layer by layer, the micro-pattern of the chip array. Concerning the materials a double layer film was used for the artwork originals, and 2 inch photographic plates were used for the reticles and masks. In this way the first East German bipolar integrated circuits, NOR gates with 8 transistors, were made in Dresden in 1967. This was done with 4 masking levels on 25 mm Si wafers with 1 .5 mmchip size and 20 ?m minimal figure width (critical dimensions). In the late 1960's some improvements were introduced, particularly the 6-barrel semi-automatic photorepeater, which improved the figure width and gave lower tolerances. The largest chip made in 1969 was a J-K master-slave flipflop, this contained 36 transistors on a chip 1 .6 mm x 1 .8 mm and was done with 9 masking levels and with 10 ?m minimal figure width.
Integration of mask R&D and mask manufacturing to support the European semiconductor industry
Markus Dilger
The increasing gap between microelectronics minimum feature size and the exposure wavelength is a key challenge to the semiconductor industry. In the last 3 to 5 years mask technology has become a key contribute to bridge the sub wavelength gap. In particular optical enhancement technologies like Phase Shift Masks (PSM) technologies and Optical Proximity Correction (OPC) methods have become widely used tools to improve optical imaging. However the use of these technologies has increased the complexity of mask making. In particular control of the critical dimension (CD) and defect control including defect inspection face new challenges for advanced Phase Shift Masks. Inline with the increasing technology requirements the investment in mask technology is continuous increasing for a smaller volume of high end products which can afford costs of above I Mio. US $ for a mask set. Therefore new concepts are required to support semiconductor manufacturers with advanced, customer specific photomasks at reasonable costs. The Advanced Mask Technology Center (AMTC) concept was therefore jointly developed by the three partners Advanced Micro Devices (AMD), DuPont Photomask (DPI) and lnfineon Technologies (Infineon) for this purpose. The paper outlines the vision, the plans and the current status of the AMTC.
Poster Session
icon_mobile_dropdown
Optical emission endpoint optimization in the tetra etch chamber for production of embedded phase-shift photomasks
The Etec Systems TetraTM photomask etch system is currently used to etch attenuated phase shift photomasks. Currently, MoSiON is a common film used for phase shifting. Either chrome or re sist can be used as a mask for etching this film. Because the quartz substrate etches with the same chemistry commonly used to etch MoSiON, precise endpoint control is necessary to meet the phase targeting requirements to create this type of phase-shifting mask. This paper will address techniques used to obtain precise endpoint control ofthe MoSiON-quartz boundary. Endpoint control is required for the precise phase targeting of 1 800 ± 1 .5° needed for advanced subwavelength patterning technologies. In this paper, optical emission spectroscopy is used to characterize and monitor chrome etch processes on the Etec Systems TetraTM photomask etch chamber. Changes in process conditions have been captured by time-averaged optical emission traces. Using multi-wavelength optical emission spectroscopy data collected during MoSiON etching, a fingerprint ofthe plasma can be taken. The fingerprint is used to detect changes in emission lines during the etch and determine the best wavelength for endpoint detection. Secondly, this paper will examine numerical methods ofendpoint optimization, including averaging, smoothing and derivative techniques.
Compact excimer laser: light source for optical (mask) inspection systems
Tobias Pflanz, Heinz Huber
The discharge pumped excimer laser is a gas laser providing ultra violet (UV) radiation with well defined spectral, temporal and spatial properties. The fast development of excimer lasers in recent years has succeeded in designing very compact, turn-key systems delivering up to 10 W of radiation at 248 nm (5 W at 193 nm and 1 W at 157 nm) with repetition rates up to 1000 Hz [1]. Experimental data on important beam properties of excimer lasers in the field of mask inspection are being presented and discussed. Relevant parameters are spectral bandwidth, energetic pulse-to-pulse stability, pulse duration, beam pointing stability, beam direction stability, beam dimension, beam profile and coherence. We will compare the excimer laser with lamp sources and continuous wave (CW) lasers in the framework of these parameters. The discussion will show future opportunities of compact excimer lasers in optical inspection as well as in mask writing systems, improving resolution and throughput.
Use of nanomachining for 100-nm mask repair
Bob T. LoBianco, Roy White, Ted Nawrocki
Nanomachining has recently been introduced as a new technology for subtractive repair of 130-nanometer masks. The RAVE LLC nml 300 utilizes this innovative strategy, facilitating repairs of all materials currently used in mask production. This technology has allowed 130- nanometer mask repair specifications to be met. This paper introduces nanomachining as a method of repairing next generation 100-nanometer masks. Emphasis will be given to materials and designs that provide significant challenges to current industry repair techniques; specifically quartz bumps on alternating phase shift masks and repairs within tight lines and spaces. Several advantages will be demonstrated, including the ability to machine within high aspect ratio features, the capability to duplicate edge slope, superior edge placement control, quartz bump repair, and transmission values approaching defect-free areas. This paper will compare performance to the SIA roadmap requirements for 100-nanometer mask repair using RAVE LLC' S next generation system, the nm1000.
NGL Masks
icon_mobile_dropdown
Ultrathin-membrane EPL masks
J. Greschner, T. Bayer, S. Kalt, et al.
Electron Projection Lithography ( EPL) is a leading candidate for the sub-65 nm lithography regime (1),(2). The development of a low-distortion mask is critical to the success of EPL. EPL has traditionally used either a stencil format mask with a single scatterer layer having the pattern represented by voids in the membrane (3), or a continuous membrane format mask with a patterned scatterer layer supported by an unperforated membrane(4).
Inspection
icon_mobile_dropdown
Extending TeraStar reticle inspection capability to the 90-nm node through layer-specific algorithms
Sub-wavelength lithography used for 9Onm node devices requires new approaches to both lithography processes and reticle design. Reticle complexity has increased as OPC and Phase Shift techniques are used to improve lithography process windows at smaller design rules. This paper will discuss the results of algorithms developed for specific layers to extend the TeraStar reticle inspection tool to 9Onm reticle research and development applications. Lithographically challenging layers have been the focus of the algorithm development programs, specifically gate layers and contact/via layers. Alternating phase shift masks are gaining importance as a reticle enhancement technique to meet the ITRS Litho Roadmap 9Onm node line widths. A new class of TeraPhase algorithms has been developed for alternating phase shift mask inspection with a focus on gate layers. Die-to-die and die-to-database inspection results will be presented for alternating phase shift programmed defect test plates and production gate layers. Contact and via layer reticles are some of the most difficult layers for CD and lithography process window control. A new family of TeraFlux algorithms has been developed based on flux energy differences between contacts to significantly improve sensitivity to lithographically significant CD errors. Die-to-die and die-to-database inspection results will be presented for contact programmed defect test plates and production contact and via layers. Comparisons of the newly developed algorithms will be made to previous generation inspection capability.
Inspection of alternating phase-shift masks through the use of phase contrast techniques
Alternating Phase Shift Masks (altPSM's) are an option for the production of critical layers at the 100 nm technology node and below. Successful implementation of altPSM's into a wafer manufacturing process depends upon the ability to successfully inspect, disposition and repair defects that occur during the mask manufacturing process. One technique previously described to improve phase defect contrast was the use of simultaneous transmitted and reflected light [1][2]. The previous technique provided for improved phase defect detection in altPSM's produced for the 130 nm node at a 248 nm lithographic wavelength. This work describes the results from a die-to-die inspection method that improves phase defect contrast in transmitted light for altPSM's produced for the 100 nm node at a 193 nm wavelength. The improved phase defect detection technique addresses the challenges of decreasing linewidth/pitch and reduced defect phase resulting from the decrease in lithographic wavelength relative to the inspection wavelength of light. The improved phase defect detection method also provides a method to determine whether a defect is a binary, phase bump or phase divot type of defect. Results are compared against the previous inspection methods. A test vehicle for gathering sensitivity performance data is described along with the results obtained from the inspection system.
Inspecting alternating phase shift masks by matching stepper conditions
Shirley Hemar, Anja Rosenbusch
The paper presents a new technology to inspect alternating phase shifting masks. Instead of finding defects based on a size-dependent defect specification, defects are found according to their impact at the wafer CD result. The inspection methodology used is aerial imaging. Phase effects are taking into account inherently. The main advantage of this method is that only defects, which actually affect the wafer result, will be detected and classified. The paper presents first inspection results on alternating phase shifting test masks designed for the 70nm generation.
Method to determine a detection capability of the die-to-database mask inspection system in regard to pinhole and pindot defects
Syarhey M. Avakaw
The paper presents a description and results of the use of a new method to determine a capability to detect defects such as pinholes and pindots in order to test reticle and photomask inspection systems EM-6029B and EM-6329 using a die-to-database comparison method. In the introductory part given are the reasons for the necessity to determine a probability to detect defects with sizes smaller than the tool detection threshold, as well as given are the grounds for the necessity to precisely determine a scope of tests required to determine this probability. In the main part presented is a method of testing the equipment with the purpose to determine a probability to detect defects such as a pindot and a pinhole, including a procedure to estimate a required number of experiments to verify the probability to detect features of different sizes with a given confidence coefficient.
Metrology II
icon_mobile_dropdown
Feature proximity errors on mask: assessment results of commercially obtained reticles
The main concern for production-oriented reticles has so far been the control of the critical dimension (CD), i.e. the CD uniformity and the CD mean-to-target. Feature linearity and overall feature size control is as much a strong requirement for a good reticle quality, in view of the Mask-Error-Enhancement-Factor (MEEF), which describes how the deviation of an actual feature from its nominal size is magnified onto the wafer. The present paper will discuss the results obtained from a mask linearity study, in which the simultaneous feature size control of different feature types and tone (lines, spaces, dots, contacts) is assessed for varying nominal feature size and proximity (isolated vs. equal lines and spaces), using a reticle CD-SEM. Today mask shops have a range of mask writers available, i.e., 10kV raster scan gaussian beam e-beam tools, laser based optical tools and 50kV vector scan variable shaped e-beam systems. The tool used leaves a so-called "finger print" on the reticle, as a varying linearity behavior. It will be shown that the 50kV writers are superior in terms of mask linearity, as can be expected. Yet, the patteming process used (especially the resist and etching of the patteming layer) is as important. In addition, the mask shop may have compensated for etch bias and for known proximity effects, by tweaking the mask data. The paper will show and discuss the results of masks obtained from over 15 different sources (varying mask shop, tool used and process used), in an anonymous way, as an impression of what is presently available on the market. The results are discussed against the mask requirements table in the ITRS2001.
Fully automated CD: metrology and mask inspection in a mask production environment using the MueTec DUV tool
Gerd Scheuring, Alexander Petrashenko, Stefan Doebereiner, et al.
Besides the metrology performance of a CD measurement tool, its close integration into a manufacturing environment becomes more and more important. This is extremely driven by the ever increasing complexity of masks and their tightening specifications. Hence, this calls for the capability of fully automated CD measurements on a large number of dense and isolated lines and 2-dimensional features under production conditions. In this paper we report on such a highly automated measurement system for CD measurements from MueTec. Either an ASCII software interface or a specially developed software interface to connect the MueTec with the CATSTM mask data fracturing software handles the large amount of co-ordinates and other information like design images from the measurement sites and their surrounding, which are necessary for fully automated CD measurements. Because the latter is the standard in mask-making and data-formats, this level of automation guarantees a good industrial integration of the MueTec system. Fully automated and reliable CD measurements are based on very stable tool hardware and especially on a positioning stage with best possible positioning accuracy (range better 0.5 ?m), significantly improved possibilities of software controlled positioning and an automated job set up and execution. The time gain in relation to existing measurement programs in the extent of supply has turned out to be dramatically large. The User Interfaces and their applications will be described.
Implementation of 248-nm-based CD metrology for advanced reticle production
Andrew C. Hourd, Anthony Grimshaw, Gerd Scheuring, et al.
The MueTec advanced CD metrology and review station, operating at the DUV (248nm) wavelength, has been extensively characterised for a number of feature types relevant to advanced (9Onm technology node) reticles. Performance for resolution capability and measurement repeatability is presented here for chrome-on-glass feature types concentrating upon lines and spaces, contact holes and dots. The system has already demonstrated the ability to image 100nm Cr lines and sub-nanometre (3- sigma) long-term repeatability on lines and spaces down to 200nm in size. We will now show that this performance level can be achieved and sustained at production levels of throughput and under typical cleanroom environmental conditions. Performance of new software tools to support the advanced metrology of 90-nm node reticles will also be introduced and their performance evaluated. Comparison will be made between CD-SEM measurements and the advanced optical metrology offered by the tool. Finally, reliability data for the tool —both in terms of mechanical and sustained repeatability performance — will be given, following prolonged trials in a production environment.
Through-pellicle-capable DUV-based CD metrology on reticles for wafer fab and R&D environment
Rik M. Jonckheere, Vicky Philipsen, Gerd Scheuring, et al.
A comparison has been made in terms of mask CD linearity measurements between the 2 tool versions of a 248nm based optical CD metrology tool for photomasks, i.e., the high-NA M5k-SWD and the through-pellicle M5k-LWD, as well as to a reticle SEM, i.e., the KLA-T 8250-XR. The measured pattern consists of lines and dots (dark features), and spaces arid contact holes (clear features), both in equal-lines-and-spaces and as isolated feature. Two masks have been measured with the same test pattern, i.e., a binary and a 9%-attPSM for 193nm lithography. The latter was especially challenging because typically such embedded phase shift masks are much more transparent at higher wavelengths than those for which they are optimized. All measurements on the M5k were made intentionally before calibration (apart fmm pitch calibration). The resolution performance of the M5k-LWD and the measurement offsets found between M5k and SEM, as well as between the two M5k-versions is discussed. In addition, two-dimensional metrology based on feature contour extraction from optical or from SEM images has been compared. Although its resolution is inherently lower than that of the high-NA M5k-SWD and a reticle SEM, the M5k-LWD offers a possibility to extend such assessment to pelliclized reticles, which is not possible on the alternative tools.
Contact hole litho correlation with shape analysis
Maurice Janssen, Khalid Elbattay, Carsten Kohler
For the near future generations of lithography we investigate the reticle measurements of contact holes with respect to their lithographic performance. The difficulty with the shrinking size of contact holes is that their X and/or Y measurement on reticle does not correlate with the lithographic results. The shape of the contact hole will have a large impact. This paper considers the several types of reticle SEM measurement on isolated contact holes, the simulations on asymmetric contact holes, reticle photo analysis of contact hole shape, and lithographic result of 115-nm contact holes. A set of contact holes has been measured with a CD SEM in several ways as x-size, y-size, area, diameter in various angles. Only area measurement will suffice to judge contact hole size and shape. A second step simulates the behaviour of asymmetric contact hole shapes. For attenuated phase shifted contact holes of 130nm (lx) and smaller, shape asymmetry can lead to small CD deviations. The third step correlates lithographic performance with the reticle measurements. Contact hole area or effective circular diameter correlates with wafer results. A better correlation results from reticle CD uniformity profile. The attenuated (6%) PSM that we used, has a large variety of sizes and pitches in contact holes. The reticle was exposed using the ASML Step and Scan PAS55OO/1100 system. The reticle was measured with a KLA SEM.
Data Flow and Process Automation
icon_mobile_dropdown
Compensation of long-range process effects on photomasks by design data correction
CD requirements for advanced photomasks are getting very demanding for the 100 nm-node and below; the ITRS roadmap requires CD uniformities below 10 nm for the most critical layers. To reach this goal, statistical as well as systematic CD contributions must be minimized. Here, we focus on the reduction of systematic CD variations across the masks that may be caused by process effects, e.g. dry etch loading.
System to improve the understanding of collected logistic data to optimize cycle time and delivery performance
Wim-Jan van Rooijen, Ben Rodriguez
A complex production mask house faces the issue of handling and understanding the logistics information from the production process of the masks. We managed to control key performance indicators like cycle time, flow-factor, linespeed, W1P, etc. To improve the line flow, we set up rules for optimising batching at operations and forbid batching between operations, we defined maximum and minimum WIP at the operations, scheduled urgency of the different lots and built rules for bottleneck management. Also we restricted the number of "hot lots". By migrating to the modem MES (manufacturing execution system) MaTISSe, which manages the shopfloor control, and a reporting database, we are able to eliminate the time deviations within our data, caused by data-extraction for different reports at different moments. This gives us a better understanding of our fixed bottleneck and a faster recognition of the temporarily bottlenecks caused by missing availability of machines or men. In this paper we describe the features and advantages of our new MES, as well as the migration process. After approx. 6 months we already achieved considerable benefits. Our plan is to extend decision support within the MES, to help both managers and operators to make the right decisions. The project behind this paper reaped major benefits described here and we are looking forward to further challenges and successes.
Yield Mask: first professional yield management tool specifically developed for a mask house
Rudolf Laubmeier, Annemarie MacKenzie, Gerd Stockmann, et al.
To support the continuing Defect Engineering activities in the Infineon Mask House, a professional analysis tool has been developed for Defect Yield Management, in collaboration with EGsoft. EGSoft is the software division of Electroglas Inc. and suppliers ofthe YieldManager TM product, used for Yield Management in numerous wafer fabs. The requirement for such a tool was catalysed by the ever-increasing demand for sophisticated defect analysis, to accelerate defect learning and the identification of major and minor defect-related-yield detractors. Yield Mask consists of a database, which centrally stores all relevant information from Defect Inspection, Repair and Review tools in the Infineon Mask House and an analysis tool, which allows users to analyse the data collected on their PC. The analysis tool can be divided into six major modules: Data Set Builder, Mask Map, Map Gallery, Image Gallery, Charting and Customise: The functionality of the above-mentioned modules is presented and their application in the analysis of defect data demonstrated. The tool is shown to be an invaluable, cost-effective labour-saving device in a high-end Mask House, where the time required to analyse and resolve defect problems can be dramatically reduced.
MST-related Technologies
icon_mobile_dropdown
Mask technologies for deep x-ray LIGA
Laurence Singleton, Peter Detemple
Masks for LIGA applications requiring deep X-ray lithography have different specifications compared to those masks used in microelectronic applications. Generally, deep X-ray LIGA applications require resist heights greater than 100 ?m, whereas accurate pattern transfer in this depth of resist is obtained by using highly parallel synchrotron radiation beam from storage rings in the lithography. Given the unusual nature of the lithography, the requirements for the mask blank and the absorber structures are quite different from those used in optical lithography. This paper discusses different approaches to mask making, and weighs up the advantages and disadvantages of the different approaches. In particular, emphasis will be placed on the changes in critical dimensions and sidewall roughnesses in the resist structures produced by the different mask technologies.
NGL Masks
icon_mobile_dropdown
Selete activity of 157-nm lithography and masks
Nobuyuki Yoshioka, Toshiro Itani, Wataru Wakamiya
Selete takes charge of the development of device and process technologies in 'Asuka" project which object is the technology development for 65nm node SoC devices. In the field of lithography, Selete has two major programs. One is 157nm lithography and related mask development, the other is EPL. In this paper, development activities of 157nm lithography program and the mask program in Selete are described. In the 157nm lithography program, exposure tool technology, resist, processing and resolution enhancement technique are developed collaborating with tool and material suppliers. In the mask program, mask key tools are developed by joint work with mask suppliers and tool suppliers.
Simulation study of pattern printability for reflective mask in EUV lithography
Optical proximity effect corrections (OPC) and printability for phase shift masks were examined through simulations. Off-axis illumination exposing a reflective mask gives rise to a shadowing effect that produces an imbalance in the intensity distribution of the light diffracted from mask patterns. It was found that the shifts in the edges of 30-nm-wide T-shaped patterns on a wafer due to both shadowing and optical proximity effects could be corrected simultaneously at an incident angle of 4.84° to obtain the proper edge positions. An attenuated phase shift mask with various values for the attenuated reflectance was used to evaluate the printability of isolated line and hole patterns. Annular illumination was found to increase the DOF for isolated patterns 18 nm wide on a wafer, and a DOF of 300 nm was obtained for hole patterns 40nm wide on a wafer. Printability was also evaluated for an alternating phase shift mask (alt-PSM) with an additive structure. An alt-PSM significantly increases the pattern contrast and enlarges the DOF up to 300 urn for isolated patterns 18 nm wide on a wafer.