Proceedings Volume 5040

Optical Microlithography XVI

cover
Proceedings Volume 5040

Optical Microlithography XVI

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 26 June 2003
Contents: 25 Sessions, 167 Papers, 0 Presentations
Conference: Microlithography 2003 2003
Volume Number: 5040

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Image Quality Assessment
  • Imaging and Process Simulation
  • Advanced Imaging Analysis
  • Mask-Imaging Interaction
  • DRAM/SRAM/Backend Patterning
  • Alternating Phase-Shifting Masks
  • Techniques for Low-k1 Imaging
  • Image Quality and Design Rules
  • Critical Dimension Control
  • Poster Session: Process Optimization and Control
  • Focus Monitoring and Control
  • 157-nm Lithography
  • Immersion Lithography
  • 157-nm Exposure Systems and Related Topics
  • Advanced Exposure Systems and Related Topics
  • Poster Session: Process Optimization and Control
  • Poster Session: Masks
  • Techniques for Low-k1 Imaging
  • Poster Session: Masks
  • Mask-Imaging Interaction
  • Poster Session: Masks
  • Poster Session: Alternating PSM
  • Poster Session: OPC
  • Poster Session: RET
  • Poster Session: DRAM and Thin Film Heads
  • Poster Session: 157 nm
  • Poster Session: Image Quality Assessment
  • Poster Session: Simulation and Analysis
  • Poster Session: Exposure Tools, Subsystems, and Related Topics
  • Joint Session: Contamination Issues in Lithography
  • Immersion Lithography
  • Poster Session: Simulation and Analysis
  • Poster Session: Exposure Tools, Subsystems, and Related Topics
  • Poster Session: Simulation and Analysis
  • Poster Session: 157 nm
  • Poster Session: Exposure Tools, Subsystems, and Related Topics
Image Quality Assessment
icon_mobile_dropdown
Experimental determination of lens aberrations from the intensity point-spread function in the focal region
Peter Dirksen, Joseph J. M. Braat, Augustus J. E. M. Janssen, et al.
In this paper we show various results of aberration retrieval using the pinhole method in conjunction with the extended Nijboer-Zernike theory. The experiments are performed on modern wafer scanners. Keyboard commanded offsets of the movable lens elements of the imaging tool have been used to introduce astigmatism, coma and spherical aberration in a controlled way. The method is designed to estimate these induced aberrations and we show the experimental results regarding the various types of aberrations created this way.
Evaluation of Litel's in-situ interferometer (ISI) technique for measuring projection lens aberrations: an initial study
We have set up a series of experiments to evaluate the Litel In-Situ Interferometer (ISI) for measuring projection-lens aberrations of lithographic exposure tools. The current paper describes the results we obtained so far. We believe the ISI is an excellent tool.
Size-dependent flare and its effect on imaging
Flare, or unwanted light scattering, is an increasingly important phenomenon in modern lithographic lens design, operation, and testing. While it has been in the past and still frequently is characterized by a single number (“<1% flare”), it is now commonly recognized that flare is, in fact, best described by an intensity function over a spatial-frequency or scattering-length spectrum. We present a systematic study of flare as a function of scattering length. Data for a series of scanners are presented, showing the improvement in flare performance of new scanners versus previous-generation models. The effects of the entire flare spectrum are modeled, showing the effects of the flare spectrum on contrast degradation in an aerial image. Results show that experimental measurements of the flare spectrum are still too unstable for reliable assessment of the spectrum’s effects, but also that it is unlikely that low-range parts of the spectrum have a significant litho effect.
Impact of across-pupil transmittance variation in projection lenses on fine device pattern imaging
Transmittance of projection lenses used in DUV exposure tools changes depending on the exposure light path. We studied the impact of this phenomenon (Across Pupil Transmittance Variation, APTV) on fine device pattern imaging. Zernike polynomials, which are commonly used for analysis of wavefront aberration, were applied for inspecting the influence of APTV. We investigated influences of each Zernike component on fine pattern imaging, and clarified that a significant component of APTV can be extracted by Zernike polynomial series expansion. Even components of APTV cause CD variation depending on the pattern pitch, that is, change of optical proximity effect (OPE), while odd components of APTV have little influence. In this paper, we present a method of decomposing APTV in Zernike coefficients together with the results of Zernike sensitivity analysis of the influence on OPE change. APTV was found to differ from tool to tool, and varies across the exposure field. Impact of tool dependence, across-field variation, and vertical-horizontal deviation of APTV are discussed.
Illumination pupil fill measurement and analysis and its application in scanner V-H bias characterization for 130-nm node and beyond
Gary Zhang, Changan Wang, Colin L. Tan, et al.
A detailed characterization of across chip line width variation (ACLV) has been carried out on the latest Nikon scanners with a combination of advanced metrology techniques in Texas Instruments, including scatterometer-based image field and CD fingerprinting, lens aberrations measurement using a Litel in-situ interferometer, and illumination source imaging with a pin-hole camera. This paper describes the application of the above techniques in our investigation of the root causes for pattern CD bias between vertical and horizontal features. Illumination source radiance distribution is found sometimes to have a significant impact on V-H bias and the final overall ACLV on production wafers. Examples are given to demonstrate a comprehensive methodology that is used to quantitatively break down the overall CD errors and correlate them back to the basic optical and imaging components. It is shown through pupil-gram analysis that the ellipticity in partial coherence is typically within 1+/-1% for conventional illuminations settings on the advanced Nikon scanners while the uneven radiance distribution across the source plays a major role in V-H pattern CD bias. For scanners with low and uniform lens coma aberrations, the V-H bias after removing the contribution from image field errors is found to follow a linear relationship with the source radiance non-uniformity described also in terms of ellipticity. It is shown that radiance ellipticity is a bigger concern for off-axis illuminators. Tighter design rules patterned with off-axis illumination are more vulnerable to source radiance non-uniformity as well as lens aberrations. Illuminator induced V-H bias across the slit is compared to the signature caused by lens aberrations specifically uneven x,y-coma. Implications to exposure tool specification, control, and matching are further explored through experiments and lithography simulation for the current 130nm production and the future technology nodes in development.
Imaging and Process Simulation
icon_mobile_dropdown
Methods for benchmarking photolithography simulators
Numerical simulation has become an indispensable tool for the design and optimization of photolithographic processes. Because the semiconductor industry now relies heavily on these tools, it is necessary to be able to benchmark their accuracy - as feature sizes continue to shrink, the numerical error in these simulators must decrease as well. Fortunately, there is a large body of literature from the optics community that can be used to benchmark the absolute accuracy of an aerial image calculation. We present a suite of closed-form solutions that are particularly relevant to optical lithography simulation. Our tests include solutions for both scalar and vector imaging models and for imaging with and without aberrations. These tests will be used to demonstrate how to identify many of the common numerical problems that can arise when performing aerial image calculations, and the closed-form solutions will be outlined in a form that will allow the tests to be applied to any aerial image simulator. Specific results will be presented for PROLITH and SPLAT. Both of these simulators give results that are in agreement with the closed-form test problems.
Fast and rigorous three-dimensional mask diffraction simulation using Battle-Lemarie wavelet-based multiresolution time-domain method
The recently developed multiresolution time-domain (MRTD) method is applied to the rigorous simulation of diffraction from three-dimensional phase-shifting mask structures. The results of a convergence study of MRTD are presented, together with performance benchmarks, for comparison with the finite-difference time-domain (FDTD) method. The results show that MRTD is one order of magnitude faster than FDTD for the same level of accuracy.
Improved modeling performance with an adapted vectorial formulation of the Hopkins imaging equation
The Hopkins imaging theory for partially coherent light is adapted to include vector EM field interference inside a resist film stack. The negligible on-axis component of the EM field before the entrance pupil is ignored and this form is suitable for modeling IC lithographic projection printing. A new module, called TCCcalc, that is part of the Mentor Graphics’ Calibre Workbench modeling suite includes the vector image model inside resist can faithfully capture all physical effects that take place. Reduced contrast of the TM polarization, induced spherical aberration and standing wave creation are identified through examples to be the most important effects at high NA imaging. Application of the new vector image model to experimental data leads to a 20% reduction in the error between simulation and experiment for NA up to 0.75.
Fast topography simulation using differential method
Sonny Y. Zinn, Sung-Hyuck Kim, Sung-Woon Choi, et al.
The finite-difference time-domain (FDTD) is a standard method for simulating mask topography effects. Its algorithm is simple, robust, and easy to implement. However, the FDTD algorithm consumes a lot of computer memory and time. For full three-dimensional simulation of a small contact pattern, it takes several hours on a personal computer. To reduce computing time, we adopted the differential method (DM) which solves the Maxwell equations in spatial frequency domain. Speed is the main advantage of DM over FDTD. To verify the numerical accuracy of DM, we compared the aerial images of several line/space patterns whose topography effects are predicted by DM and FDTD. For the calculation of the aerial images, we used a vector model. For unpolarized light, the maximum intensities differ by about 7%. Having assessed the accuracy of DM, we now describe the simulation result of a two-dimensional pattern. The pattern mainly contains densely packed rectangles. The size of the simulation domain was taken to be 1.972 μm × 4.368 μm × 0.350μm on the mask scale where the first two numbers represent the size of the unit cell of the pattern. Illumination condition is KrF source, annular aperture of 0.85/0.55, and NA of 0.7. Estimated running time of FDTD for topography simulation was 180 days. However, DM took about 280 minutes. The resulting aerial image agreed within about 8% with an experimental image directly measured by an AIMS-FAB.
Rigorous simulation of exposure over nonplanar wafers
Standard simulations of optical projection systems for lithography with scalar or vector methods of Fourier optics make the assumption that the wafer stack consists of homogeneous layers. We introduce a general scheme for the rigorous electromagnetic field (EMF) simulation of lithographic exposures over non-planar wafers. Rigorous EMF simulations are performed with the finite-difference time-domain (FDTD) method. The described method is used to simulate several typical scenarios for lithographic exposures over non-planar wafers. This includes the exposure of resist lines over a poly-Si line on the wafer with orthogonal orientation, the simulation of “classical” notch problems, and the simulation of lithographic exposures over wafers with defects.
Sensitivity of rinse, dry, and etch parameters
For the nanoline formation, pattern collapse and etch process are the serious topics. In this study, after the descriptions of the rinse, dry, and etch processes, the pattern collapse model of pressures as the modified mechanical method and the etch model are described for dense and isolated lines. Simulation results have good agreement with the experimental results. The sensitivity of simulation parameters for feature sizes is described and analyzed by using the response surface model and neural networks. The most effective processes of pattern collapse are quantitatively shown as the rinse and dry processes in the chemically-amplified resists.
Advanced Imaging Analysis
icon_mobile_dropdown
Impact of wavefront errors on low k1 processes at extremely high NA
This paper presents a comprehensive study of the impact of wavefront errors on low-k1-imaging performance using high numerical aperture NA lithographic systems. In particular, we introduce a linear model that correctly describes the aberration induced imaging effects. This model allows us to quantify the aberration requirements for future lithographic nodes. Moreover, we derive scaling laws characterizing the imaging performance in dependence on the key parameters exposure wavelength λ, NA, and k1. Our investigations demonstrate, first, that an accurate control of coma is and will be crucial, and, second, that spherical requirements will be very tight for k1<0.3 due to isolated contact printing. Finally, we summarize the results of this paper in a roadmap covering the aberration requirements in optical lithography down to the 45nm node. We conclude that the improvement of wavefront quality is necessary to enable imaging enhancement techniques, but is not sufficient to replace these techniques.
Analysis of imaging performance degradation
The wavefront aberration that is generally represented with Zernike polynomials is an approximate representation. The reasons are that, in actual optics, the wavefront has such frequency component that cannot be represented with Zernike polynomials. Moreover, it can never be scalar or monochromatic. Instead, it must be vector and polychromatic. Higher frequency component beyond Zernike representation could cause a local flare that will be observed in the surrounding area of nominally bright patterns. Vector aspect of light leads to imaging degradation combined with birefringence of the material. Even with a narrowed spectral bandwidth of excimer lasers, chromatic aberration could be a factor that impacts imaging performance. Lateral, rather than axial, chromatic aberration can be critical because it is influential to CD uniformity across the field. This paper describes the factors that deteriorate imaging performance based on Nikon’s optics, and finally concludes hat our optics is well balanced among these factors.
Optimizing and enhancing optical systems to meet the low k1 challenge
Current roadmaps show that the semiconductor industry continues to drive the usable Rayleigh resolution towards the fundamental limit (for 50% duty cycle lines) at k1=0.25. This is being accomplished through use of various resolution enhancement technologies (RETs), extremely low aberration optics with stable platforms, and resists processes that have ever-increasing dissolution contrast and smaller diffusion lengths. This talk will give an overview of the latest optical mechanisms that can be used to improve the imaging system for low k1 resolutions. We show 3 non-photoresist techniques to measure the optical parameters of a scanner: 1) a new fast phase measurement interferometer to measure aberrations is presented with an accuracy and repeatability of <3mλ, 2) we introduce a method to measure the illumination profile of the exposing source, and 3) a measurement system to monitor scattered light is presented with correlation to other techniques using a salted pellicle experiment to create controlled scattered light. The optimization of illumination and exposure dose is presented. We show the mechanism for customizing illumination based on specific mask layers. We show how this is done and compare process windows to other more conventional modes such as annular illumination or QUASAR. The optimum design is then implemented into hardware that can give extremely high optical efficiency. We also show how system level control mechanisms can be used to field-to-field and across-field exposure to compensate for lithography errors. Examples of these errors can include reticle CD deviations, wavefront aberrations, and across-field illumination uniformity errors. CD maps, facilitated by SEM and ELM, can give the prescribed changes necessary. We present a system that interfaces to new hardware to compensate these effects by active scanner corrections.
Measuring and modeling flare in optical lithography
Flare, unwanted scattered light arriving at the wafer, is caused by anything that forces the light to travel in a “non-ray trace” direction. The amount of flare experienced by any given feature is a function of both the local environment around that feature (short range flare) and the total amount of energy going through the lens (long range flare). This paper discusses the various sources of flare and reviews the many techniques used to measure flare in lithographic imaging tools. Flare will described by a new “DC” or low frequency model based on a scattering mechanism that properly accounts for conservation of energy and which improves upon existing DC flare models.
Characterizing illumination angular uniformity with phase-shifting masks
A phase shifting mask pattern consisting of a linear phase grating is introduced as a potential tool to measure or monitor illumination angular distribution and uniformity in optical projection printing. An implementation as simple as four phase steps serves to steer the illumination into and out of the pupil at an angle determined by the period of the grating. The total intensity captured within the pupil is recorded in resist, allowing for a fast analysis of the illuminator’s fill of the pupil. The advantage over existing techniques lies in the fact that the analysis of the source has been transferred to the design of the mask pattern. A cursory inspection of a single dose-matrix wafer with a low magnification optical microscope readily displays signs of source irregularity. If signs of irregularity exist, the capability exists for the user to complete a more in-depth analysis of the source intensity distribution. Illumination pupil-fill is easily monitored across the field, tool-to-tool, or over time, or can be compared to the intended design. Due to mask simplicity, this technique is especially useful for monitoring quadrupole or quasar illuminators. Mask requirements are discussed, concluding that with an average grating period of 2μm and tolerance of up to 40nm layer to layer overlay error, fabrication appears feasible.
Mask-Imaging Interaction
icon_mobile_dropdown
Critical evaluation of photomask needs for competing 65-nm node RET options
A comprehensive set of numerical, mask pattern operators is developed and applied for the purpose of understanding photomask fabrication tolerances supporting aggressive, optical lithography resolution enhancement. Using these numerical operators, the content and fidelity of the photomask is systematically degraded and wafer level results predicted using experimentally calibrated simulation. The concept of a mask sensitivity matrix is introduced and used as a bridge to full Monte Carlo analysis of photomask fabrication errors. A statistical approach to analyze mask defect tolerances for resolution enhancement options is presented within the same numerical framework. Such methodical and detailed analysis of mask construction parameters is a vital step toward understanding the complex interaction between mask quality and printed image and hence the delivery of 65 nm node lithography capability.
The MEF revisited: low k1 effects versus mask topography effects
Christophe Pierrat, Alfred K. K. Wong
At 4X magnification and k1 approaching 0.25, primary mask features, that will ultimately print on the wafer, can be on the order of the wavelength for conventional exposure tool. For immersion lithography, the primary mask feature sizes could even fall below the wavelength. At the same time, the aspect ratio of the features defined on the mask increases since the absorber thickness did not scale with the technology nodes. For alternating phase-shifting masks, the etch depth into the quartz required to create a 180 degree phase-shift has not scaled with technology nodes since it is proportional to the wavelength of exposure and the wavelength of exposure has not scaled with technology nodes. Near wavelength and high aspect ratio mask features increase the importance of mask topography effects. Simulations can be used as a mean to de-correlate the contributions of low k1 effects versus mask topography effects. The “thin-mask” approximation results can be compared to the “thick-mask” simulation results. The effect of the feature aspect ratio can be investigated by changing the magnification factor. The results indicated in this paper show that mask topography effects can represent a significant part of the MEEF even for binary masks.
Dark-field high-transmission chromeless lithography
Dark field (i.e. hole and trench layer) lithographic capability is lagging that of bright field. The most common dark field solution utilizes a biased-up, standard 6% attenuated phase shift mask (PSM) with an under-exposure technique to eliminate side lobes. However, this method produces large optical proximity effects and fails to address the huge mask error enhancement factor (MEEF) associated with dark field layers. It also neglects to provide a dark field lithographic solution beyond the 130nm technology node, which must serve two purposes: 1) to increase resolution without reducing depth of focus, and 2) to reduce the MEEF. Previous studies have shown that by increasing the background transmission in dark field applications, a corresponding decrease in the MEEF was observed. Nevertheless, this technique creates background leakage problems not easily solved without an effective opaqueing scheme. This paper will demonstrate the advantages of high transmission lithography with various approaches. By using chromeless dark field scattering bars around contacts for image contrast and chromeless diffraction gratings in the background, high transmission dark field lithography is made possible. This novel layout strategy combined with a new, very high transmission attenuating layer provides a dark field PSM solution that extends 248nm lithography capabilities beyond what was previously anticipated. It is also more manufacturing-friendly in the mask operation due to the absence of tri-tone array features.
65-nm full-chip implementation using double dipole lithography
Stephen D. Hsu, J. Fung Chen, Noel Cororan, et al.
Double Dipole Lithography (DDL) has been demonstrated to be capable of patterning complex 2D patterns. Due to inherently high aerial imaging contrast, especially for dense features, we have found that it has a very good potential to meet manufacturing requirements for the 65nm node using ArF binary chrome masks. For patterning in the k1<0.35 regime without resorting to hard phase-shift masks (PSMs), DDL is one unique Resolution Enhancement Technique (RET) which can achieve an acceptable process window. To utilize DDL for printing actual IC devices, the original design data must be decomposed into “vertical (V)” and “horizontal (H)” masks for the respective X- and Y-dipole exposures. An improved two-pass, model-based, DDL mask data processing methodology has been established. It is capable of simultaneously converting complex logic and memory mask patterns into DDL compatible mask layout. To maximize the overlapped process window area, we have previously shown that the pattern-shielding algorithm must be intelligently applied together with both Scattering Bars (SBs) and model-based OPC (MOPC). Due to double exposures, stray light must be well-controlled to ensure uniform printing across the entire chip. One solution to minimize stray light is to apply large patches of solid chrome in open areas to reduce the background transmission during exposure. Unfortunately, this is not feasible for a typical clear-field poly gate masks to be patterned by a positive resist process. In this work, we report a production-worthy DDL mask pattern decomposition scheme for full-chip application. A new generation of DDL technology reticle set has been developed to verify the printing performance. Shielding is a critical part of the DDL. An innovative shielding scheme has been developed to protect the critical features and minimize the impact of stray light during double exposure.
DRAM/SRAM/Backend Patterning
icon_mobile_dropdown
Full-level alternating PSM for sub-100nm DRAM gate patterning
Rainer Pforr, Marco Ahrens, Wolfgang Dettmann, et al.
The lithographic potential of alternating PSM for sub-100nm gate patterning have been evaluated in comparison to alternative techniques. The status of the key elements of the full level alternating PSM approach including design conversion, optical proximity correction, mask making, double exposure and phase-shifting mask imaging will be demonstrated for a 256MDRAM device. Experimental data describing the phase-shifting mask quality, the lithographic process windows and the CD control obtained for alternating PSM in full level and array only approach will be presented.
Layer-specific illumination optimization by Monte Carlo method
Layer specific illumination has merits of enhancement of resolution, widening DOF and image fitness. For dense patterns like DRAM cell, layer specific illumination is a major candidate to drive low k1 lithography. To find out the best illumination for a specific pattern, diffracted image of the pattern and the ratio of captured first order to 0th order diffracted beam should be considered. By spectrum analysis, the best illumination is obtained for simple patterns like dense lines, brick wall, and dense contacts. In this paper, the procedure of obtaining the best illumination for specific patterns is presented. Comparing general illuminations such as annular, the resultant illumination is proved to have wider DOF and enhancement of resolution. The best illumination can also be found by Monte Carlo simulation. For simple one-dimensional case, its validity is proved. From the exposure results, wide DOF and enhancement of resolution is confirmed.
Evaluation of ArF CLM in the sub-100-nm DRAM cell
Process windows, MEEF (Mask Error Enhancement Factor), flare, aberration effect of the CLM (Cr-less PSM) were measured by the simulations and experiments for the various DRAM cell patterns compared with 6% transmittance HTPSM in the ArF lithography. We designed CLM layouts of sub 100nm node DRAM cells concerning the mask manufacturability, maximizing the NILS (Normalized Image Log Slope) and minimizing the MEEF with a semi-automatic OPC tool. Isolation, line and space and various contact patterns showed increasing process windows compared with HTPSM and this strongly depended on the layout design. Using a 0.75 NA ArF Scanner, CLM showed NILS reduction by about 10% in the presence of lens aberration and flare, which reduced DoF margin by about 0.1~0.2μm depending on the layer. So the critical layers in sub 100 nm node DRAM satisfied 10% of EL (Exposure Latitude) and 0.1 μm of DoF (Depth of Focus) margin. Also 3D mask topographic effect of CLM in the specific layer was discussed.
157-nm lithography for 65-nm node SRAM-gate
We evaluated the requirements for 65-nm SRAM gate fabrication using attenuated phase shifting masks (att-PSM). Off-axis illumination (OAI) and att-PSM, together with optical proximity correction (OPC) were used as resolution enhancement techniques (RETs) for ultimate resolution. It was shown that the photolithographic parameters of the transmittance of the att-PSM and the illumination conditions for optimum conditions were a transmittance of between 15 and 20% and 3/4 annular illumination. The exposure latitude was simulated to be more than 10.9% at 300-nm defocus for a critical dimension (CD) specification of 10%. It has been demonstrated that a 65-nm SRAM-gate, with a line and space (L/S) ratio limited to 1:2 at the minimum pitch, could be fabricated with sufficient depth of focus (DOF). The pattern transfer was accomplished with a bi-layer process, in which the reactive ion etching (RIE) selectivity between a silicon-containing resist and an organic film is very high. This bi-layer process enabled the application of a very thin resist layer. The conditions described in this paper proved successful for the fabrication of a 65-nm SRAM gate with a good pattern profile despite the resist thickness of less than 120nm.
ArF solutions for low-k1 back-end imaging
The requirements stated in the ITRS roadmap for back-end-of-line imaging of current and future technology nodes are very aggressive. Therefore, it is likely that high NA in combination with enhancement techniques will be necessary for the imaging of contacts and trenches, pushing optical lithography into the low-k1 regime. In this paper, we focus more specifically on imaging solutions for contact holes beyond the 90 nm node using high NA ArF lithography, as this is currently seen as one of the major challenges in optical lithography. We investigate the performance of various existing enhancement techniques in order to provide contact holes imaging solutions in a k1 range from 0.35 to 0.45, using the ASML PAS5500/1100 0.75NA ArF scanner installed at IMEC. For various resolution enhancement techniques (RET), the proof of concept has been demonstrated in literature. In this paper, we propose an experimental one-to-one comparison of these RET’s with fixed CD target, exposure tool, lithographic process, and metrology. A single exposure through pitch (dense through isolated) printing solution is preferred and is the largest challenge. The common approach using a 6% attenuated phase-shifted mask (attPSM) with a conventional illumination fails. The advantages and drawbacks of other techniques are discussed. High transmission (17%) attenuated phase shift, potentially beneficial for part of the pitch range, requires conflicting trade-offs when looking for a single exposure through pitch solution. More promising results are obtained combining a BIM or a 6% attPSM with assist slots and off-axis illumination, yielding a depth of focus (DOF) at 8% exposure latitude (EL) greater than 0.31 μm from 200 nm pitch through isolated. Chromeless phase lithography (CPL) is also discussed with promising results obtained at the densest pitch. At a 0.4 k1, an experimental extrapolation to 0.85NA demonstrates that a pitch of 180 nm can be resolved with 0.4 μm DOF at 8% EL. For all of these imaging solutions, various metrics are studied to compare printing performance. In addition to process latitude, we consider forbidden pitches, sidelobes printability, and mask error enhancement factor (MEEF).
Alternating Phase-Shifting Masks
icon_mobile_dropdown
Full phase-shifting methodology for 65-nm node lithography
A new methodology for completely phase-shifting a poly layout without creating local phase conflicts was proposed for lithographic techniques combining one phase-shifting mask and one binary mask exposure1. Critical and non-critical areas of the layout are identified and phase conflicts are avoided by splitting the shifter regions from non-critical areas to non-critical areas without crossing critical areas. The out-of-phase splits of the shifter regions are removed using the binary exposure. Simulation results and experimental data collected for 90nm technology node show no sign of process latitude loss around the areas where the shifters are split. The overlay latitude is commensurate with 90nm technology scanner requirements (tool to itself). Simulation work shows that the two exposures are balancing each other out of focus in the 45-degree cut regions thus ensuring large focus latitude. The focus latitude reported is larger than the main feature process latitude; this result was confirmed experimentally. A set of phase-shifting design rules commensurate with an aggressive 65nm node technology (140nm pitch) was put together. Under these conditions, we have identified certain types of cuts that should be avoided during the generation of the phase-shifting layout; this is primarily the case for cuts in “elbow” structures which exhibit limited process latitude. Other cuts like line-end cuts will have to be modified. In this case we have proposed a side cut when the line-end is facing a perpendicular line with a minimum spacing. Despite these restrictions, test structures for the 65nm technology node were successfully converted with no phase conflicts. Experimental verification done on test structures using a 0.75 NA, 193nm scanner demonstrates 0.33 k1 capability using the full phase methodology.
Alternating phase-shift masks for contact patterning
The use of alternating phase shift masks (Alt-PSMs) for poly gate patterning is becoming a well-established method for reducing gate critical dimension (CD) and variability. The application of alt-PSM for other device layers and for improving resolution (minimum pitch) is less developed due to more complex layouts, more stringent mask constraints and cost of ownership restrictions. Resolution of contact pairs and nested contacts is found to be improved using alt-PSM compared to embedded PSMs (EPSMs). To improve the process window of semi-nested and isolated contacts, sub-resolution phase-shifted assist features are employed on the mask. Square assist features, rather than rectangular assist features, are used to reduce mask fabrication requirements as one can use a larger minimum assist feature dimension. Because of high mask error enhancement factors (MEEFs), assist features with dimensions as large as 75% of the nominal contact size can be used without patterning on the wafer. Compared to using alt-PSM for poly gate patterning the use of alt-PSM for tight pitch patterning places additional constraints on mask manufacturing. The smaller phase regions intrinsic to tight pitch patterning result in tighter phase uniformity and mask defect requirements.
Scaling rules of phase error control for the manufacturing of alternating phase-shifting masks for 193-nm photolithography and beyond
The use of alternating phase shifting masks (alt-PSM) can significantly improve lithographic process windows. However, the existence of phase error between the nominal 0 and 180 degree phase regions can cause printed lines to shift laterally toward each other in pairs at image planes away from the best focus. Such asymmetry, especially evident with small ground rules, challenges both overlay and critical dimension (CD) control. To minimize such effect, tight control in phase angle has been implemented, which contributes to the higher fabrication cost for an alt-PSM. Since the effect of the phase error varies with different lithographic conditions, knowing how much phase control is necessary for a given lithographic situation becomes essential to the reduction of the mask fabrication cost. Although this phenomenon has been studied in the past with a number of simulations and experiments, a systematic understanding of its mechanism, especially its interaction with CD and numerical aperture has not been reported. This paper explores the theoretical relationship between phase error and important parameters of photolithographic processes, such as CD, numerical apertures (NA), and overlay tolerance. A simple equation of the phase error is developed, which indicates that the effect of the phase error is inversely proportional to both phase error and defocus. We have compared the predictions of this theory to our first experimental results from a test mask and a good agreement is found. Based on this theory, we develop the quantity “tolerable phase error” relating the effect of the phase error to the CD, pitch, and depth of focus of the imaging system. We have found that for a system with depth of focus of +/- 300 nm, a phase error control about 2 degrees is necessary to realize a line shift control of less than 2.5% of the CD for the most aggressive feature size at any NA. We also note that the control of phase error can be relaxed at high NA. Calculations for 193 nm as well as 157 nm lithography are presented.
The impact of mask topography and resist effects on optical proximity correction in advanced alternating phase-shift process
Mosong Cheng, Benjamin C. P. Ho, Doug E. Guenther
This paper develops and validates a methodology for rigorously modeling the pattern transfer in alternating Phase Shift Mask (altPSM) process by incorporating mask structure, partially coherent illumination, polarization and resist in a full-vector electromagnetic (EM) model. Mask topography is included in EM simulation to solve for the field immediately after the mask. To model the partially coherent illumination, the light source is decomposed into a set of plane waves with different angles of incidence on mask. Each wave requires an EMF simulation over the mask. A perturbation approach is developed in this paper to reduce the EM simulation time by over 50%, thus enabling the vector model of partial coherence. Then the field after mask is decomposed into TE and TM polarized waves so as to calculate the field in resist/BARC/silicon multilayer. At high NA, this full vector model is needed to investigate altPSM because there exists appreciable difference between the images due to TE and TM waves. TM wave degrades more severely in resist, thus TE is more desirable. The experiments were conducted at Tokyo Electron Texas LLC. on a 248nm KrF stepper, NA 0.6, σ 0.3. Both experiments and simulations show that transmission imbalance depends on defocus. When the focal plane is moved towards the lens, the 180° space can be brighter than 0°.The 0° space is more sensitive to defocus and has larger CD variation than 180° does. Finally the simulated patterns are compared with experimental SEM picture.
Limits of strong phase-shift patterning for device research
Michael Fritze, Renee D. Mallen, Bruce Wheeler, et al.
Advanced transistor research requires the patterning of isolated gate feature sizes well below available illumination wavelengths. In this work, we explore the limits of imaging isolated line features using double exposure strong phase shift methods and 248 nm illumination. Fundamental issues such as aerial image size,flare, simple OPC and resist aspect ratio will be addressed. Non-lithographic feature slimming methods such as UV-bake, etch biasing and oxidation will we explored as well. It is desirable that feature slimming processing also reduce line-edge roughness. Using a combination of strong PSM imaging and feature slimming, we have developed processes for the fabrication of sub-25 nm gate features required by our Schottky Barrier transistor device development efforts.
Techniques for Low-k1 Imaging
icon_mobile_dropdown
Vortex via process: analysis and mask fabrication for contact CDs <80 nm
Marc D. Levenson, Sze Meng Tan, Grace Dai, et al.
In an optical vortex, the wavefront spirals like a corkscrew, rather than forming planes or spheres. Since any nonzero optical amplitude must have a well-defined phase, the axis of a vortex is always dark. Printed in negative resist at 248nm and NA=0.63, 250nm pitch vortex arrays would produce contact holes with 80nmk1<0.4), depending on exposure dose. Arrays of vortices with kpitch>0.6 can be patterned using a chromeless phase-edge mask composed of rectangles with nominal phases of 0°, 90°, 180° and 270°. Analytic and numerical calculations have been performed to characterize the aerial images projected from such vortex masks using the Kirchhoff-approximation and rigorous EMF methods. Combined with resist simulations, these analyses predict process windows with ≈10%Elat and >200nm DOF for 80nm CDs on pitches greater than or equal to 250nm at σ greater than or equal to 0.15. Smaller CDs and pitches are possible with shorter wavelength and larger NA while larger pitches give rise to larger CDs. At pitch >0.8μm, the vortices begin to print independently for σ greater than or equal to 0.3. Such “independent” vortices have a quasi-isofocal dose that gives rise to 100nm contacts with Elat>9% and DOF>500nm at σ=0.3. The extra darkness of the nominal 270° phase step can be accommodated by fine-tuning the etch depth. A reticle fabrication process that achieves the required alignment and vertical wall profiles has been exercised and test masks analyzed. In an actual chip design, unwanted vortices and phase step images would be erased from the resist pattern by exposing the wafer with a second, more conventional trim mask. Vortex via placement is consistent with the coarse-gridded grating design paradigms which would - if widely exercised - lower the cost of the required reticles. Compared to other ways of producing deep sub-wavelength contacts, the vortex via process requires fewer masks and reduces the overlay and process control challenges. A high resolution negative-working resist process is essential, however.
Application of in-situ aberration measurements to pattern-specific imaging optimization
Steve D. Slonaker, Robert J. Chung
With the advent of in-situ aberration measurement, users may now gather a 'snapshot’ of a given lens’ aberration fingerprint following installation of the exposure tool in their fab. This paper will detail how this information may be used as input data into an optimization routine whose final output is a set of lens adjustments that will yield improved imaging performance. It will be shown that a lens with many reliable degrees of adjustment freedom can offer a wide range of optimization options. For example, for some process levels, a fixed focus latitude requirement is well defined, and it often is desirable to optimize the CD distribution over that available focus range. For other levels, there may be a strict 'image shift’ requirement over a specified focus range. In general, such optimization should include some combination of imaging performance targets. Within some limits, the user may specify the imaging performance priorities, and an optimization routine can be executed. The optimization routine may in principle allow manipulation of all available lens adjustment degrees of freedom, ending with a lens adjustment prescription that delivers the optimum result in terms of the user-specified priorities. Examples of such optimization, showing the expected improvement in the imaging performance, will be presented through image simulation results.
Novel strong-resolution enhancement technology with phase-shifting mask for logic gate pattern fabrication
Takahiro Matsuo, Akio Misaka, Masaru Sasago
We propose the new phase-shifting mask named the centerline phase-shifting mask (CL-PSM; generically named “Mask Enhancer”). The minute phase-shifting aperture is disposed at the center of the opaque rim pattern on the mask to enhance the aerial image. The CL-PSM is able to maximize the aerial image contrast of the line patterns with any line width and any pitch by controlling the size of the phase-shifting aperture. We investigated the fundamental characteristics of the CL-PSM in KrF lithography. 70 nm line patterns with any pitch above 250 nm were successfully fabricated with a DOF of more than 300 nm. We studied the influence of various kinds of mask errors on the CD error, and we clarified that the influence of mask error is sufficiently small for practical use of the CL-PSM. The mask error enhancement factor is practically small for the opaque rim and phase-shifting aperture. A phase error of +/- 5 degrees does not reduce the process margin, and induces no CD variation. Furthermore, we demonstrated that the CL-PSM achieves a 65-nm random line pattern like a logic gate pattern in ArF lithography. The pattern fabrication by CL-PSM attains the required DOF of 300 nm for 65-nm node device fabrication. We confirmed that the “Mask Enhancer” has a resolution potential of 45-nm corresponding to a 1/4 wavelength.
Forbidden pitch or duty-free: revealing the causes of across-pitch imaging differences
As resist image responses vary with duty ratio, the identification of a particularly challenging instance leads to its classification as a “forbidden pitch.” The increased application of various RET methods has often resulted in the misuse of this label for anything unexplained by linear effects. This paper attempts to dispel the myths regarding the imaging variations that occur with pitch. Furthermore, by describing the basis of these behaviors, insight is provided for the appropriate design of mask, illumination, OPC, and exposure parameters to best accommodate a broad range of duty ratio values.
Image Quality and Design Rules
icon_mobile_dropdown
Process, design, and optical proximity correction requirements for the 65nm device generation
The 65nm device generation will require steady improvements in lithography scanners, resists, reticles and OPC technology. 193nm high NA scanners and illumination can provide the desired dense feature resolution, but achieving the stringent overall 65nm logic product requirements necessitates a more coherent strategy of reticle, process, OPC, and design methods than was required for previous generations. This required integrated patterning solution strategy will have a fundamental impact on the relationship between design and process functions at the 65nm device node.
Optical rule checking for proximity-corrected mask shapes
Maharaj Mukherjee, Zachary Baum, John Nickel, et al.
Optical Rule Checking (ORC) is an important vehicle to predict the failure of wafer shapes due to the process proximity effects. Optical Proximity Correction (OPC) if not aided by ORC may cause severe failures affecting the yield in manufacturing. However, it is fairly complicated to do ORC on mask shapes that are pre-corrected either by rules-based or by model-based OPC. ORC is also a good tool to capture the problems that may occur at multi-layer interactions. We present a methodology to use both geometric directives and limited optical simulation to detect potential failures using ORC. We extend our methodology to multi-layer interactions. In case of multi-layer ORC, we present several approaches that deal with how to judiciously mix the geometric directives and the optical simulations for different layers. We show the ORC can help us design better rules for OPC.
Failure prediction across process window for robust OPC
In conventional Optical and Process Correction (OPC), models are calibrated with the CD measurement from the “good” printable patterns. Predictions of process window loss are based on extrapolation from the “good” region into the failure region. The extrapolation is always a less accurate process than interpolation. In this paper, we utilize the experimental pass/fail data to build models that accurately identify and predict printing failures. We developed a methodology and a formal apparatus for failure modeling. It is found that two or more aerial image shape parameters are required to describe all failure mechanisms for a sub-100nm process. This empirical failure model is currently applied to Optical Rule Checking (ORC) of the post-OPC layout. It also can be used to constrain layout corrections in the future.
A methodology to calculate line-end correction feature performance as a function of reticle cost
Mask fabrication costs are significantly aggravated by OPC complexity. This increased complexity is presumably needed to accurately render 2-D configurations. The humble line-end is one of the most difficult 2-D configurations to print accurately, when considering process margin requirements and mask fabrication constraints. In this paper, the requirements for proximity corrected line-end structures will be explored and a pattern complexity metric will be proposed to compare relative mask cost versus line-end lithographic performance. Many types of correction shapes are available to improve process margin for line-ends. However, the cost of producing these various line-end configurations can vary dramatically. Using both a simple optical model to simulate line-end performance through focus offset and a cost metric based on fracture shots, a comparison of six types of lines ends for correction and process efficiency will be undertaken. Each of the six line-end corrections will attempt to produce equally effective silicon line-end shapes. Line-ends will be evaluated based on shortening (pullback), pinching, and bridging characteristics. Line-end lithographic behavior will be characterized through all process window boundary conditions. The objective of this study is to quantify the tradeoffs among three variables: mask cost, process-window robustness, and design tolerance margin. In addition, through the study of proximity effects on the various line-end types, the possibility of mixing expensive but high performance line-ends with simpler less aggressive line-ends to reduce reticle cost while maintaining or increasing correction fidelity will be studied.
Trends in systematic nonparticle yield loss mechanisms and the implication for IC design
C. Neil Berglund
Semiconductor industry yield trends from the 500 nm generation are described that illustrate traditional random defect yield loss mechanisms have been found to be less and less important relative to the yield loss caused by systematic and primarily non-particle mechanisms as semiconductor processes have moved to sub-wavelength lithography. While part of the reason for this is the continued particulate defect reduction success due to better equipment and fab procedures, a more important reason is the increase in systematic mechanisms driven in large part by the rapidly increasing process complexity and decreasing process parameter “windows” required as processes moved through the generations. In this paper these yield loss trends are reviewed and projected to the 130nm and beyond technology nodes, and the implications for effective IC design and design methodology discussed.
Critical Dimension Control
icon_mobile_dropdown
ACLV-analysis in production and its impact on product performance
Rolf Seltmann, Rolf Stephan, Martin Mazur, et al.
The paramount importance of CD-control for logic speed is well recognized. Whereas across wafer-line-width-variation (AWLV) influences the width of the speed distribution, across chip line-width-variation (ACLV) is a dominating factor for device leakage. In our study we will discuss different ACLV-terms based on AMD’s 0.18 and 0.13μm processes. We will show how the variation of different scanner and reticle-parameters affects both random and systematic ACLV-components. We will show that the systematic part either can be dominated by global or layout-specific CD-signature, depending on the reticle manufacturing process, scanner condition and the circuit design. In particular we will discuss the impact of defocus, lens aberrations, illumination uniformity dose accuracy and flare. Eventually, we will show the response of critical performance parameters of state of the art μPs and we will judge different parameters with respect to their impact on μP-speed. Focus control and flare control are found to be the most critical tasks. We will discuss appropriate methods to ensure both focus and flare don’t affect device performance negatively.
Scatterometer-based scanner fingerprinting technique (ScatterLith) and its applications in image field and ACLV analysis
The ability to accurately, quickly and automatically fingerprint the lenses of advanced lithography scanners has always been a dream for lithographers. This is truly necessary to understand error sources of ACLV, especially when the optical lithography is pushed into 130 nm regimes and beyond. This dream has become a reality at Texas Instruments with the help of scatterometry. This paper describes the development and characterization of the scatterometer based scanner lens testing technique (ScatterLith) and its application in 193 nm and 248 nm scanner lens fingerprinting. The entire procedure includes a full field exposure through focus in a micro stepping mode, scatterometer measurement of focus matrix, image field analysis and mapping of lens curvature, astigmatism, spherical aberration, line-through pitch analysis and ACLV analysis (i.e. across chip line width variation). ACLV has been directly correlated with image field deviation, lens aberration and illumination source errors. Examples are given to illustrate its applications in accurate focus monitoring with enhanced capability of dynamic image field and lens signature mapping for the latest ArF and KrF scanners used in manufacturing environment for 130nm node and beyond. Analysis of CD variation across a full scanner field is done through a step-by-step image field correction procedure. ACLV contribution of each image field error can be quantified separately. The final across slit CD signature is further analyzed against possible errors from illumination uniformity, illumination pupil fill, and higher order projection lens aberrations. High accuracy and short cycle time make this new technique a very effective tool for in-line real time monitoring and scanner qualification. Its fingerprinting capability also provides lithography engineers a comprehensive understanding of scanner performance for CD control and tool matching. Its extendibility to 90nm and beyond is particularly attractive for future development and manufacturing requirements.
Improvement of shot uniformity on a wafer by controlling backside transmittance distribution of a photomask
Jong Rak Park, Soon Ho Kim, Gi-Sung Yeo, et al.
CD (critical dimension) uniformity on a wafer is affected by several factors such as resist coating, bake, development, etch processes, scanner performance, and photomask CD uniformity. Especially, shot uniformity or in-field CD uniformity is strongly dependent on scanner and photomask. CD error of a photomask and imaging error of a scanner lead to nonuniformity of in-field linewidth distribution. In this paper we propose and demonstrate a shot uniformity improvement method. The shot uniformity improvement method described in this paper utilizes the original shot uniformity map and dose latitude to determine the distribution of illumination intensity drop suitable for correcting CD error on the wafer. The distribution of illumination intensity drop is realized by controlling pattern density of contact hole pattern with 180° phase on the backside of the photomask. We applied this technique to several masks and it was found that global CD uniformity could be excellently improved by the method.
Flare-induced CD variation correction using transmittance controlled mask
Dong-Seok Nam, Gi-Sung Yeo, Jong Rak Park, et al.
As the design rule shrinks, intra-field CD control becomes more difficult. Flare induced by lens contamination is one of CD variation sources across the exposed field and its distributions are different from tool to tool. To use the exposure tool with the contaminated lens, CD correction method is to be specified to improve the wafer CD uniformity. In this paper, the local flare values are measured using dose-to-clear method and CD measurement method in order to confirm the exposure tool condition. Then we design a mask whose transmittance is controlled locally for CD uniformity enhancement. The mask has several phase-out holes in the quartz side. By distributing the holes with respect to the local area flare, we can make the intensity distribution opposite to the lens local flare.
Poster Session: Process Optimization and Control
icon_mobile_dropdown
Generating sub-30-nm polysilicon gates using PECVD amorphous carbon as hardmask and anti-reflective coating
Wei Liu, David Mui, Thorston Lill, et al.
A PECVD deposited carbon hardmask is combined with dielectric anti-reflective coating (DARC) for the patterning of sub-90nm lines with 248nm lithography. Using this CVD dual layer stack, <1% reflectivity control is demonstrated for both 248nm and 193nm lithography. The film stack is tested with an etch integration scheme to reduce polysilicon gate critical dimension (CD). The dual layer stack can be defined with less than 100nm thick photoresist. Because of the minimal resist required to open the stack, this film stack enables an integration scheme that extends conventional photoresist trim processes up to 70% of the starting line width. In addition to conventional trim process, a resistless carbon mask trim process is investigated to further shrink the gate critical dimension. The results show that the carbon hardmask has greater than 6:1 etch selectivity to polysilicon, enabling the extension of the resist trimming technique to generate sub-30nm structures using 248nm lithography.
Focus Monitoring and Control
icon_mobile_dropdown
Study of the influence of substrate topography on the focusing performance of advanced lithography scanners
Bruno M. La Fontaine, Jan Hauschild, Mircea V. Dusa, et al.
A Phase-Grating Focus Monitor (PGFM) is used to assess the focus control of a state-of-the-art lithography scanner (TWINSCAN AT:1100) over substrate topography. The starting wafer flatness quality is found to be critical in minimizing the overall defocus distribution. In fact, on nearly all wafers, the most significant contributor to defocus across the wafer was the small-scale topography. Results obtained over programmed topography, created by etching various patterns into silicon, are found to agree well with the simulated defocus behavior based on the measurement of the wafer surface obtained on the scanner metrology stage. Finally, we report on preliminary focus control results over realistic device-type substrate topography, involving thin-film and polish effects.
Simple and highly sensitive focus monitoring utilizing an aperture on backside of photomask
A simple and high sensitive focus monitoring has been developed utilizing an aperture in Cr film formed on backside of photomask. A special mask for focus monitoring is developed such that two mark patterns on the front side of the mask are irradiated by different illuminations. The different illuminations for the two marks are generated from usually used illumination with modulation by an aperture on the backside of the mask. In this work, two complementally halves of usually used illumination are effectively generated. Because illumination for each mark pattern on front side of the mask is strongly asymmetric in incident angle such that illumination beam impinged from only one side of the space, imaging of the large size mark pattern is carried out obliquely on the wafer. As a result, image is laterally shifted with focus. The direction of lateral image shift is opposite to that of another mark which is irradiated with illumination beams from opposite side of the space. Thus, the relative displacement between the two mark images may become a measure of focus. Because this focus monitor works under purely geometrical optics, focus monitoring of multiple steppers, which are working under different wavelength, can be performed with the same one photomask. In experiments, the two mark patterns, which are inner and outer box patterns, are printed with overlaying each other by double exposure with stepping of wafer stage. Then, mutual displacement of mark patterns is measured by a commercially available overlay measurement tool whose resolution is a few nm. Very high focus sensitivity (Δx/Δz) of ~0.9 is observed for NA=0.68 optics with strong annular illumination. Because of the high focus sensitivity and high resolution of overlay measurement, focus monitoring with very high resolution of a few nm can be achieved.
Novel in-situ focus monitor technology in attenuated PSM under actual illumination condition
A focus monitor technology for attenuated PSM under annular illumination has been developed as an in-line quality control. The focus monitor pattern on a reticle employs a pair of grouped lozenge-shaped opening patterns in attenuated phase shifting region. Since the phase shifting angles of the light passing through the first and second opening patterns are 90 degrees and 180 degrees, respectively, the best focus position for the first pattern shifts to that for the second pattern. The subtraction of the length of the patterns is a linear function of the actual focal position printed on the wafer. Therefore, the effective focal position can be extracted by measuring the subtraction of the measured length. A high resolution of 10-nm defocus could be achieved by this technique.
Desirable wafer edge flatness for CD control in photolithography
Tadahito Fujisawa, Soichi Inoue, Tsuneyuki Hagiwara, et al.
Desirable wafer edge flatness was investigated to obtain optimum free-standing wafer edge shape for photolithography. In order to obtain the criteria of free-standing edge shape, we clarified the desirable post-chuck flatness at edge sites in advance. We investigated a desirable free-standing wafer edge, taking into consideration both the wafer and wafer holder shape. Firstly, to obtain a desirable post-chuck wafer edge shape, the vicinity of wafer edge after chucking was modeled, and SFQR was simulated. Secondly, a shape in the vicinity of free-standing edge shape was modeled, and the edge flatness after chucking was simulated. And finally, the simulated flatness was compared with the desirable post-chucked wafer edge shape, and we could obtain desirable free-standing wafer edge shape. Individual measurement of the free-standing back-side and front-side surfaces as well as the thickness of the edge position was found to be necessary for accurate estimation of the post-chuck edge shape.
Aberration's impact on subresolution contact hole process windows in ultrathin imaging resist
Sub-resolution, 130 nm, contact holes are exposed into thick (400 nm) and thin (160 nm) resists. Three types of resist, ESCAP, hybrid and bi-layer, are used in the experiment. The lens aberration is studied with respect to the effect it has individual on process windows and the resultant common latitude shared by similar features across the exposure field. Affected Bossung curves show behaviors of tilt and best focus offset. Additional behavior is seen at this dimension in that aberrations cause the process windows to be truncated in the thicker resist. The thin imaging layer solves this problem and adds back the lost depth of focus to the common process latitude. A modified Strehl ratio, for out of focus images, is used to explain how process windows become reduced by larger aberrations.
157-nm Lithography
icon_mobile_dropdown
Image performance and mask characterization of 157-nm alternating phase-shifting mask
Yung-Tin Chen, Jeff Meute, Kim R. Dean, et al.
In this paper, we present a process of balancing the aerial image and analyzing the results of resist images of 157-nm alternating PSM with a 0.85 NA lens. The mask is made by dual trench technique with a phase-etch of 115nm and an isotropic under-etch of 90nm as predicted by simulations. With this dual trenched mask, the wafer printing images show tremendous improvement on “line walking” or “line paring” phenomena. The ultimate resolution is 60nm dense line. The focus latitude is around 0.1 to 0.15 um. We also used a 157-nm AIMS tool to check intensity balance. The results supports balanced intensity of this mask. For mask quality characterization, etch depth is measured by AFM and mask CD is measured by CD SEM. The uniformity of etching depth and mask CD are all within specifications. We also present some abnormal CD variation across line array observed during this investigation. The results from this work give a good groundwork of 157-nm capability of alternating PSM and 157-nm resist imaging quality.
157-nm Micrascan VII initial lithography results
Harry Sewell, Bruce A. Tirri, Timothy O'Neil, et al.
This paper describes the system performance for Micrascan VII and reports on some of the photo-resist testing results. The challenges of tool design range from the optical form to new resists. The Micrascan VII architecture has the traditional look of the prior Micrascan family of tools. The evolution of design for operation at 157 nm wavelength has been implemented and is presented. The use of calcium fluoride exclusively in the projection optics design presented many challenges. Performance of the projection optics is shown as well as lithographic results. Initial lithographic results indicate that pattern features well below 100 nm can be printed even with first generation 157 nm resists. 60 nm resist lines with 0.3 μm depth of focus are reported.
Status of 157-nm lithography development at IMEC
Kurt G. Ronse, Peter De Bisschop, Astrid Eliat, et al.
157nm lithography is currently considered as the main technology for the manufacturing of critical 65nm node layers and beyond. After a number of potential show stoppers of 157nm have been removed in the last three years, the final phase of development will now start based on the first full-field step and scan exposure systems, that will be inserted in the next 6 months. This paper describes the status and progress of the IMEC 157nm program, that is aiming to remove the remaining 157nm engineering challenges. Despite the fact that the first full field scanner (ASML Micrascan VII) will ship next month to IMEC, the investigation on a number of full-field issues already started. Results on reticle handling including vacuum ultra violet cleaning, on hard pellicle printing and on 157nm resist full field patterning are discussed in this paper.
Contamination rates of optical surfaces at 157 nm: impurities outgassed from construction materials and from photoresists
Theodore M. Bloomstein, Jan H. C. Sedlacek, Stephen T. Palmacci, et al.
Photo-induced contamination rates on 157-nm optical surfaces have been studied in controlled experiments with contaminants containing fluorocarbon, sulfur and iodine. The compounds investigated represent species generated in controlled outgassing studies of common construction materials and photoresists used in 157 nm steppers. No photocontamination was measured for highly fluorinated alkanes and ethers on an anti-reflective coating, at levels exceeding 10 ppm. Photocontamination with sulfur based compounds was similar to the behavior observed with hydrocarbon based derivatives. Sulfur containing residues, even from oxidized precursors, are fully cleanable in oxygen, with cleaning rates scaling proportionally with the level of oxygen. In contrast, at elevated levels of oxygen, non-volatile iodate complexes can form from iodine based contaminants. Sulfonium salts should therefore be considered over iodonium species in photoacid generators in 157 nm photoresists. In addition to studying these new classes of compounds, cleaning rates of hydrocarbon residues in trace levels of water were also studied.
Optical anisotropy in the cubic crystal of CaF2: scaling arguments and their relation to dispersing absorption
Martin Letz, A. Gottwald, M. Richter, et al.
In this paper we present arguments for understanding the phenomenon of optical anisotropy in a perfectly cubic crystal such as CaF2. To simplify the discussion we review the basic arguments which seem to preclude any optical anisotropy in a cubic crystal. We discuss the range of validity and define clear conditions for deviations of optical isotropy in cubic crystals. Length and energy scales involved in the problem of radiation-matter interaction for the DUV wavelength range around 157 nm are discussed. These scaling arguments naturally force us to focus on the role of absorption processes at higher photon energies (i.e. smaller wavelengths). Especially the role of a strong, dispersing absorption, in the case of CaF2 caused by exciton excitation, is emphasized. Recent measurements of the anisotropy of the exciton resonance in CaF2 are described and discussed in terms of the small optical anisotropy.
Immersion Lithography
icon_mobile_dropdown
Deep UV immersion interferometric lithography
The limit of optical lithography is the minimum pitch between features. This pitch limit is given by Λ=λ/2nNA), where λ is the optical wavelength, n is the refractive index of the final medium of the optical system which is typically air (n = 1), and NA is the numerical aperture of the exposure device. A great deal of work has been done to decrease exposure wavelengths and increase the NA of exposure tools, however, until recently very little effort has been applied towards an immersion medium with n > 1. This paper examines extending minimum pitches through the use of such media. Exposures are at a wavelength of 213 nm, close to the current state-of-the-art 193-nm lithography node. The possible limits of lithography are examined using 193-nm resists exposed in air and comparing these limits to those possible when implementing liquid immersion lithography (LIL) exposures. Two immersion liquids were examined: deionized water, and Krytox a Perfluoropolyether (PFPE) oil. These liquids were compatible with 193-nm resist. A resolution enhancement factor of 28% for Krytox and of 41% for DI water was demonstrated. Images of good dense lines with a half pitch of 54 nm are presented.
Water immersion optical lithography for the 45-nm node
Bruce W. Smith, Hoyoung Kang, Anatoly Bourov, et al.
It is possible to extend optical lithography by using immersion imaging methods. Historically, the application of immersion optics to microlithography has not been seriously pursued because of the alternative solutions available. As the challenges of shorter wavelength become increasingly difficult, immersion imaging becomes more feasible. We present results from research into 193nm excimer laser immersion lithography at extreme propagation angles (such as those produces with strong OAI and PSM). This is being carried out in a fluid that is most compatible in a manufacturable process, namely water. By designing a system around the optical properties of water, we are able to image with wavelengths down to 193nm. Measured absorption is below 0.50 cm-1 at 185nm and below 0.05 cm-1 at 193nm. Furthermore, through the development of oblique angle imaging, numerical apertures approaching 1.0 in air and 1.44 in water are feasible. The refractive index of water at 193nm (1.44) allows for exploration of the following: 1. k1 values approaching 0.17 and optical lithography approaching 35nm. 2. Polarization effects at oblique angles (extreme NA). 3. Immersion and photoresist interactions with polarization. 4. Immersion fluid composition, temperature, flow, and micro-bubble influence on optical properties (index, absorption, aberration, birefringence). 5. Mechanical requirements for imaging, scanning, and wafer transport in a water media. 6. Synthesizing conventional projection imaging via interferometric imaging.
Immersion liquids for lithography in the deep ultraviolet
The requirements of liquids for use in immersion lithography are discussed. We present simple calculations of the transmission and index homogeneity requirements of the immersion liquid (T > 0.95 and δn < 5×10-7 respectively for sin θ = NA/n = 0.9 and a working distance of 1 mm) along with the temperature and pressure control requirements which follow from them. Water is the leading candidate immersion liquid for use at 193 nm, and we present data on its chemical compatibility with existing 193 nm resists through dissolution/swelling and surface energy studies. We find that it has a minimal impact on at least some current 193 nm resists. At 157 nm, suitably transparent immersion fluids remain to be identified. Perfluorinated polyethers (PFPE) are among the most transparent organics measured. The lowest PFPE absorbance at 157 nm can be further reduced by roughly a factor of two, from 6 to 3 cm-1 through removal of dis-solved oxygen. We also discuss our efforts to understand the origin of the remaining absorbance through supercritical CO2 fractionation.
Simulation of imaging and stray light effects in immersion lithography
Immersion lithography is a viable method for continuing the reduction in critical dimension. Much of the improvement in image quality in immersion lithography centers around high-NA vector imaging effects and in particular the roles of the resist coupling and polarization properties. Electromagnetic scattering from local inhomogenities is considered yet emphasis is placed on the importance of accounting for high-NA, vector, immersion and resist standing wave effects. A new vector TCC formulation is introduced in SPLAT 6.0. The formulation is tested against a new theoretical formula for the Strehl ratio in air at high-NA and imaging 2D contact patterns at high NA in the resist stack.
Preliminary microfluidic simulation for immersion lithography
Alexander C. Wei, Greg F. Nellis, Amr Y. Abdo, et al.
The premise behind immersion lithography is to improve the resolution for optical lithography technology by increasing the index of refraction in the space between the final projection lens of an exposure system and the device wafer. This is accomplished through the insertion of a high index liquid in place of the low index air that currently fills the gap. The fluid management system must reliably fill the lens-wafer gap with liquid, maintain the fill under the lens throughout the entire wafer exposure process, and ensure that no bubbles are entrained during filling or scanning. This paper presents a preliminary analysis of the fluid flow characteristics of a liquid between the lens and the wafer in immersion lithography. The objective of this feasibility study was to identify liquid candidates that meet both optical and specific fluid mechanical requirements. The mechanics of the filling process was analyzed to simplify the problem and identify those fluid properties and system parameters that affect the process. Two-dimensional computational fluid dynamics (CFD) models of the fluid between the lens and the wafer were developed for simulating the process. The CFD simulations were used to investigate two methods of liquid deposition. In the first, a liquid is dispensed onto the wafer as a “puddle” and then the wafer and liquid move under the lens. This is referred to as passive filling. The second method involves the use of liquid jets in close proximity to the edge of the lens and is referred to as active filling. Numerical simulations of passive filling included a parametric study of the key dimensionless group influencing the filling process and an investigation of the effects of the fluid/wafer and fluid/lens contact angles and wafer direction. The model results are compared with experimental measurements. For active filling, preliminary simulation results characterized the influence of the jets on fluid flow.
157-nm Exposure Systems and Related Topics
icon_mobile_dropdown
Critical enabling properties of CaF2 lens blanks for state-of-the-art lithography tools
Joerg Hahn, Guenter Grabosch, Lutz Parthier, et al.
F2 lens designs considering Intrinsic birefringence imposed more severe challenges to CaF2 manufacturing technology. In order to compensate the intrinsic birefringence other crystal orientations (100) / (110) are necessary. These other crystal orientation beside (111) require individual process optimization. In this paper the achieved improvements for CaF2 lens blank material will be presented. Furthermore the conversion of stress birefringence results from 633nm to 193nm or 157nm is unclear until now. At wavelength birefringence measurement results of different orientated lens blanks will be shown and discussed.
Angle-resolved scattering measurements of polished surfaces and optical coatings at 157 nm
Theodore M. Bloomstein, Dennis E. Hardy, L. Gomez, et al.
An angle-resolved scattering detection system has been designed and implemented for use at 157 nm. This tool will enable the optimization of polishing and thin-film deposition, whith an eye towards minimizing small-angle scatter in projection lithography tools. In this test-bed, scattered rays can be collected to 4° from the directional ray of the specularly transmitted beam (corresponding to spatial wavelengths of surface roughness below 2 μm) over a dynamic range of 7 orders of magnitude, and to 0.5° with a dynamic range of 5 orders of magnitude. The angular scattering distributions in CaF2 samples and antireflective coatings are compared. From these results, the impact of scattering on image performance in exposure tools at 157 nm is estimated.
Optical lithography solutions for sub-65-nm semiconductor devices
Jan Mulkens, James A. McClay, Bruce A. Tirri, et al.
In this paper we present a status update of the exposure tool developments for sub 65 nm CD’s. Main development path is 157-nm lithography. ASML follows a two step approach volume will be presented. Step 1 is based on the Micrascan step and scans platform and step 2 is based on the TWINSCAN platform. The progress of the development and first results on prototypes are discussed. This includes optics, purging, and pellicle status. The impact of CaF2 birefringence (intrinsic and stress induced) on lens performance is evaluated. Experimental data on optical path purging is presented. The pellicle status is reviewed, and results of hard pellicle testing in KrF scanners are presented. For the Micrascan system, first imaging and overlay results are presented.
Development status of a 157-nm full-field scanner
Hitoshi Nakano, Hideo Hata, Hideki Nogawa, et al.
157 nm lithography has made further progress over the past year, steadily advancing towards the realization of the 65 nm era. In particular, exposure tools have moved on to the assembly phase, with new functions and performance now under evaluation. This paper presents our technical progress in our 157nm full field exposure tool, focusing on two key technologies: projection optics and environmental control with highly purified gasses. The high NA projection optics were designed to meet accelerating demands for smaller geometries. A catadioptric system with a line-selected laser was chosen to solve the problem of chromatic aberrations. The birefringence effect caused by CaF2 has been reduced to acceptable levels by clocking and combining <111> and <100> oriented crystals. Polishing and optical coatings consisting of glass materials were completed at targeted accuracy. At the present time, assembly and tuning of the projection optics is being performed. A simulation based on the inspection data from each production step predicts that the desired image performance will be attained. The total efficiency of the exposure system is expected to be higher than previously announced, due to the improvement of both CaF2 transmittance and AR/HR coatings. One of two keys issues in environmental control is to purge the projection optics which are permanently sealed. Purging performance was tested using a mockup of the projection optics. The second issue is to purge the areas around reticles and wafers which are continually carried into and out of the exposure system. Using the actual platform, the wafer and reticle purging performance was evaluated. It has been demonstrated that both of our purging systems are effective in keeping the environment at minimum contamination levels. This contributes to the increase of throughput.
Nikon F2 exposure tool development
Soichi Owa, Yukako Matsumoto, Yasuhiro Ohmura, et al.
Present status of development of F2 (157nm) exposure tool in Nikon is described. Key points of F2 exposure tool are reported; low aberration projection optics, CaF2 quality, coating durability and gas purging of the pellicle space. We also report the measurement of refractive index inhomogeneity inside CaF2 crystals, which is suspected as the cause of local flare. Characteristics of high NA optics over 0.9 are investigated by imaging simulations for both 193nm and 157nm wavelengths, which are compared NA=0.85 imaging.
Advanced Exposure Systems and Related Topics
icon_mobile_dropdown
Catadioptric lens development for DUV and VUV projection optics
Yasuhiro Ohmura, Masahiro Nakagawa, Tomoyuki Matsuyama, et al.
According to the International Technology Roadmap for Semiconductors (ITRS), the 65nm technology node is forecast to appear in 2007. In this paper, we propose two specifications for the projection optics at 65nm nodes. The one is over 1.0 numerical aperture (NA) at 193nm lithography by liquid immersion. The other is 0.85 NA at 157nm lithography. Since it almost impossible for traditional dioptric optics to realize these specifications, catadioptric is supposedly the leading optics for an extreme optical lithography, like 65nm node. Described in the paper are feasibility study for catadioptric optics, and our assembly strategy. Emphasis is placed on our selection methodology among a variety of catadioptric configurations.
0.85-NA ArF exposure system and performance
Tsuneo Kanda, Yoshihiro Shiode, Ken-ichiro Shinoda
At the time when the 90nm node is near at head, the era for ArF exposure tool is expected in the near future. In this paper, the extension possibility to over the 65 nm node with the FPA-6000AS4, which equips a lens with 0.85 of the numerical aperture (NA) and some indispensable functions with the future lithography for extending the patterning capabilities down to 65nm node and beyond it, is discussed. In the development of the 0.85NA exposure system, we would like to introduce the three major topics. Firstly, the exposure tool equips an illuminator providing flexibly variable illumination modes. Secondly, we newly developed a metrology for determining the aberrations on the exposure tool in order to achieve extremely low aberrations, with the method applying Haltman. And lastly, exposure performances, and the flare, are discussed.
Improving lens performance through the most recent lens manufacturing process
Tomoyuki Matsuyama, Issei Tanaka, Toshihiko Ozawa, et al.
A continuous demand for finer and finer exposed patterns is pushing the k1 factor down to 0.4 or below, which is very close to its theoretical limit. The low-k1 lithography requires high NA and small residual aberration of a deep ultra-violet (DUV) projection lens system. The amount of aberration of current projection lens is less than design residual value of the lens in a decade ago. A lot of designers’ efforts are put into optical design and opt-mechanical design of the projection lens to meet lithography requirements. However, technological innovations in manufacturing process are also needed for the realization of a state of the art projection lens. In some cases, manufacturing process is rather essential for the final lens performance improvement. This paper shows the most recent lens manufacturing process in Nikon. In addition to the manufacturing process itself, some supporting technologies in the manufacturing process are also reviewed.
Next-generation scanner for sub-100-nm lithography
Itaru Fujita, Fumio M. Sakai, Shigeyuki Uzawa
The paper presents the Canon new scanner 6000 platform, incorporated in FPA-6000ES5 KrF scanner and FPA-6000AS4 ArF scanner, realizing both high productivity and high stage controllability for the sub 100nm lithography. We run aerial simulations and estimate process window criteria called CD-window to assess a focus budget and a CD budget meeting the requirement for CD uniformity at the MPU gate patterning in the 80 nm lithography node. The two budget are defined to be composed of image field deviation (IFD), dispersion of moving standard deviation (MSD) in scanning synchronization control, focusing accuracy, wafer chuck flatness, reticle flatness. These items are determined by experiments and the 6000 platform can be proven to be suitable for the 80 nm lithography node. Above all, reticle flatness is can be compensated adequately by the new focusing system and the real time z/tilt-image field curvature correcting system. Additionally, the result of overlay accuracy at the 6000 platform is also reported.
Performance of a high-NA dual-stage 193-nm TWINSCAN Step and Scan system for 80-nm applications
Jos de Klerk, Louis Jorritsma, Eelco van Setten, et al.
As the semiconductor industry looks into the near future to extend manufacturing beyond 100nm, a new optical lithography system was developed by ASML. To achieve the aggressive industry roadmap and enable high volume manufacturing of sub 100nm resolutions at low k1 requires a number of challenges to be overcome. This paper reviews the design, system performance and measurements of a High NA, Dual stage 193nm TWINSCAN system planned for high volume manufacturing for 80nm applications. The overall system capability to effectively measure and control to a high precision the various attributes upon process control necessary for adequate CD control, in the low k1 regime will be shown. This paper will discuss the needed imaging control and the requirement for an extremely stable and matured platform. The system's dynamic, focus, leveling and dose delivery performance will be shown. Additionally, the automated control features of the optical system will be shown that enable the use of the various resolution enhancement techniques (RET) currently under development. The ability to optimize imaging performance with the control and flexibility in the pupil formation optics will be discussed. Finally, experimental results of an in-situ measurement technique with automated feedback control to optimize projection lens aberrations, which has a direct impact to imaging fidelity, will be shown. In summary, the lithographic system functionality and performance needed to achieve 80nm volume manufacturing will be presented.
Poster Session: Process Optimization and Control
icon_mobile_dropdown
Imaging performance extendibility
Integrated circuits patterning faces escalating demands challenging the fundamental constraints of the photolithography tools. The challenge is to qualify patterning tools beyond their design objectives, to extend their use for the future manufacturing requirements. To address these challenges, we have adopted a three-step approach: 1) selection of the patterning strategies appropriate for a given set of design rules, 2) projection tool selection to match its capabilities with the process control requirements, and 3) tool’s fine-tuning to maximize patterning process latitude. Step 1 is customary exposure strategy optimization. Steps 2 and 3 go beyond common practice. These two steps rely on aberration residue data obtained by in-situ phase measuring interferometer. The comprehensive, three-step strategy involves all of the key factors impacting the imaging control of critical patterns. In this paper we present the key elements of the patterning strategy and projection lens optimization. We show an example illustrating the three steps of process and tool qualification for aggressive, sub-wavelength design rules. The example presents selection of optimum patterning strategy, the patterning tool selection based on their aberration residuum, and the projection lens residual aberration fine-tuning. The patterning approach resulting from the methodology presented here is compatible with IC manufacturing environment. The approach extends the use of the imaging tools beyond their design objective.
Detection of actual focus variations by focus automatic measurement
Daigo Hoshino, Takashi Yamauchi, Akira Watanabe, et al.
We developed a new focus monitoring method that is simple yet highly accurate. We used simple measurement tools: a conventional binary mask and an optical overlay inspection machine. Our method was sufficiently precise to detect sub-100nm focus errors, and we demonstrated that it had high focus sensitivity under various illuminations (NA, σ, and illumination aperture). We measured actual focus errors by KrF scanner processing and determined the extent of each, i.e., field curvature/astigmatism, wafer topography, and best focus stability.
Process window monitoring: an emerging requirement for efficient low-k1 lithography
S. S. Chiua, Yao-Chang Chu, J. C. Hsieh, et al.
There are practical challenges associated with manufacturing implementation of optical photolithography at aggressive design rules. As k1 factors decrease, lithographic focus-exposure process windows have collapsed from a comfortable several-micron depth of focus (DOF) at the 1um technology node, to a challenging to 0.3-to-0.4um at the 0.13um node. As a consequence, the monitoring, management, and control of lithography tool process windows are increasingly important to efficient semiconductor manufacturing. A standard method to deduce lithography-tool process window position and size is based on data from a focus-exposure matrix (FEM) wafer. Unfortunately, the data transfer, analysis, and fab-wide reporting of best focus and other important tool parameters can require a large amount of engineering time and effort, effectively making it impossible in a large-scale production-fab environment. In this work, we present results obtained with a new automated CD-SEM system used to monitor the 0.15um and 0.13um tools and processes in TSMC Fab 6 (70k wafer starts per month). To enable daily FEM-based tool monitoring in this high-volume production fab, these systems provide full “hands-off” automation of data analysis and web-based reporting of best focus, best energy, DOF, image tilt and other significant performance parameters and metrics for each cell. Using these systems, we demonstrate detection of fluctuations in single-tool best focus as small as approximately 20nm using an FEM with focus steps of 200nm. This capability is then used to detect and diagnose process window drifts in single exposure tools as well as mismatches in best focus between multiple exposure tools of several hundred nanometers. The monitoring and reduction of these lithography process window variations have allowed us to increase the performance and efficiency of our advanced lithography manufacturing lines.
Lithographic process optimization using process capability analysis
Johannes van Wingerden, Peter Dirksen, Casper A. H. Juffermans, et al.
A capable process fulfills many requirements on e.g. depth of focus, exposure latitude, and mask error factor. This makes a full optimization complicated. Traditionally only a few parameters are included in the optimization routine, such as the focus-dose process window, while other parameters like the (NA,σ ) illumination conditions are fixed at a specified value. In this paper we present an analytical model for describing the effect of variations in dose, focus and mask CD. We optimize the overall CD distribution, both the target value and the CD variation, taking the statistical variations of focus, dose and mask line width variations into account. The improved CD control is measured quantitatively, using the well-known process capability index (Cpk). The results are compared to traditional optimization schemes and brute force Monte Carlo simulations. Process latitudes can be better optimized while calculating the OPC curve. This is achieved by tuning the mask corrections to the process variations and simultaneously optimizing the global mask bias. Furthermore, the optimization method enables a trade off between mask error and process control. Simulated aerial image data is used to determine the optimum mask bias and illumination condition for different levels of process variation, including mask CD variation. The effect of optimizing the global mask bias is calculated. Finally, the results will be compared to experimental data for a number of illumination settings.
Characterization of optical proximity matching for 130-nm node gate line width
As IC density shrinks based on Moore’s law, optical lithography continually is scaled to print ever-smaller features by using resolution enhancement techniques such as phase shift mask, optical proximity correction (OPC), off-axis illumination and sub-resolution assistant features. OPC has been playing a key role to maximize the overlapping process window through pitch in the sub-wavelength optical lithography. As an important cost control measure, one general OPC model is applied to the full exposure field across multiple scanners. To implement this technique, optical proximity matching of line width across the field and across multiple tools turns out to be very crucial particularly at gate pattern. In addition, it is very important to obtain reliable critical dimension (CD) data sets with low noise level and high accuracy from the metrology tool. Otherwise, extracting the real scanner fingerprint in term of CD can not be achieved with precision in the order of 1nm~2nm. Scatterometry CD measurements have demonstrated excellent results to overcome this problem. The methodology of Scatterometry is emerging as one of the best metrology tool candidates in terms of gate line width control for technology nodes beyond 130nm. This paper investigates the sources of error that consume the CD budget of optical proximity matching for line through pitch (LTP). The study focuses on the 130nm technology node and uses experimental data and Prolith resist vector model based simulations. Scatterometer CD measurements of LTP are used for the first time and effectively correlated to lens aberrations and effective partial coherence (EPC) measurements which were extracted by Litel In-situ Interferometer (ISI) and Source Metrology Instrument (SMI). Implications of optical proximity matching are also discussed for future technology nodes. From the results, the paper also demonstrates the efficacy of scatterometer line through pitch measurements for OPC characterization.
Exposure field size considerations for yield
Maximized use of exposure fields is essential for achieving high stepper throughput rates and high productivity in semiconductor manufacturing. For today’s low-k lithography, very often lens quality is limiting the imaging performance and can eat up overlay budget and allowable CD tolerance. As a result, decreasing yield at extreme slit positions, is a potential danger. Finding an optimum product field size, considering stepper productivity and product yield, is difficult and often based on non-measurable engineering experience. This paper investigates the effect of lens aberrations on misplacement and CD deviations of two critical patterns in a DRAM cell. It can be shown that, depending on the exposure tool, the biggest error can even occur close to the middle of the exposure slit. Also, model calculations based on PMI numbers underestimate the actual overlay degradation. Therefore, smaller exposure fields do not necessarily avoid pitfalls caused by high lens aberrations or other effects resulting in a reduced overlay budget.
BARC-resist interfacial interacations
With the increasing drive towards smaller feature sizes in integrated circuits and the consequent use of shorter exposure wavelengths, the imaging resist layer and underlying bottom anti-reflective coating (BARC) layer are becoming thinner. At this scale, the performance of chemically amplified resists can be adversely affected by the BARC-resist interfacial interactions. These interactions can cause distortion of resist profiles and lead to footing, undercut, or pattern collapse. BARC components can immensely influence the deprotection and dissolution properties of the resist. A thorough understanding of the physico-chemical interactions at these interfaces is essential to design and develop new material platforms with minimal adverse interactions and maximum compatibility between BARC and resist. Results are reported from studies of (A) surface versus bulk chemistry of BARC materials as a function of cure temperature, (B) the dependence of the thickness and composition of the residual layer (resist material remaining on the surface of the BARC after development) on BARC components, as determined by formulating the BARC or resist with an excess of various BARC components, and (C) the dependence of the residual layer thickness on crosslink density, exposure does, and resist bake temperature. The BARC thin films and the interphase between BARC and resist were characterized with near edge x-ray absorption fine structure (NEXAFS) spectroscopy. Surface chemical properties of BARC films were derived from contact angle measurements of various liquids on these thin films. Preliminary results from these studies indicate that some BARC components may migrate to the BARC-resist interphase and act as dissolution inhibitors. Similarly, small molecule additives in the resist may migrate into the BARC layer, causing chemical modifications.
Impact of scanner illumination mode on CD control process margin
Conventional and annular illumination modes for a 248 nm DUV scanner will be discussed in this paper for their advantage and drawbacks in critical dimension (CD) control. This includes proximity of line width through pitch size, marginality of resist profile measured as sidewall angle, depth of focus (DOF) in line width variation across field/wafer, and isolated space resolution, supported by SEM and scatterometer metrology. Both illumination modes have been applied in the current technology node with sub-wavelength CD, variable pitch sizes, optical proximity correction (OPC) for resolution enhancement and process control optimization. Each illumination defines process margin in exposure, focus and CD uniformity, to gain capability with improved CD control.
Tool-to-tool matching in photolithography: process control of CD and pitch
Control of the transistor gate critical dimension (CD) on the order of a few nanometers is a top priority in many advanced fabs like Chartered. Each nanometer deviation from the targeted gate length implicitly means that the operational speed of the devices are also affected. In transistors, for example, when the post-etch gate CD is too small, the threshold voltage shift and leakage current can render the device inoperative. Increasingly, advances in logic devices are requiring technological improvements, and fab economics are necessitating greater productivity. In an automated foundry environment, the target gate CD can be achieved in more than one way. For example, using in-line process control by linking the lithography and etch tools can improve CD performance beyond what each individual tool can achieve. In this approach, the etch process is used to compensate for incoming CD variation and reduce final wafer-to-wafer CD variation. However, this feed-forward approach of CD control involves a one-time heavy investment in integrated optical CD (OCD) metrology as well as an integrated server to feedback process control that will automatically adjust tools and process steps in high-volume, wafer-fabrication lines. The other considerations are the time involved in retrofitting and the complexity of qualifying these integrated tools after retrofit. A second way to do it is to change the sigma slightly to match tool to tool. But by so doing, process window parameters like DOF and such are also affected. This technique is adopted by many ASML users as the new ASML illumination setting (new NA/Sigma) is fairly easy to set up. However, the problem is that it makes the system unmanageable on large scale especially in a foundry environment. The work done here involves seeking a more economical approach of CD control without modifying the hardware of existing tool set to pave the path for a more demanding CD matching requirement between lithography tools. It is necessary not only to ensure the same process exposure conditions used from different tools to achieve a good CD matching for large scale manufacturing, but also to ensure the same CD matching performance for some critical pitches if not all. This is important for a foundry which runs a myraid range of products having different line pitches for different gate layers. DUV optical lithography has met the shrinking CD requirements for 0.13um technology node. The introduction of Optical Proximity Correction (OPC) on the reticle, has further prolonged the binary mask life. The procedure provided herein attempts to render tool dedication as a result of non-compatibility of OPC design rule unnecessary. In this paper, the authors will present the challenges faced in the course of matching the lithography tool set for the large scale manufacturability in terms of stepper energy and iso-dense CD bias, such that the exposure dose requested and the real dose applied on the wafer level is the same for any one process tool set and is within the tolerable range of iso-dense CD bias of 4nm.
Characterization and improvement of field CD uniformity for implementation of 0.15-μm technology device using KrF stepper
Yoon-Suk Hyun, Dong-Joo Kim, Cha-Won Koh, et al.
xAs the design rule of semiconductor device shrinks, the field CD uniformity gets more important. For mass production of 0.15 μm technology device using KrF stepper having 0.63NA, the improvement of field CD uniformity was one of key issues because field CD uniformity is directly related to device characteristics in some layers. We have experienced steppers that show poor illumination uniformity. With those steppers there was large CD difference of about 10nm between field center and field edges as shown in Figure 1. Although we were using verified reticles, we could not get an acceptable CD uniformity in a field with those steppers. The Field CD uniformity is dominantly dependent of the illumination uniformity of stepper and mask quality. With these optimization, we could control DICD difference between field center and edge to be less than 5nm. In this paper, we characterized the dependency of field CD uniformity according to illumination systems with stepper and scanner, annular illumination uniformity at various stigma, mask CD uniformity and the several types of novel gray filter specifically developed.
Optimization of the contact layer for 90-nm node lithography
It is well known that shrinking k1 factors and increasing MEEF are making it more difficult to print contact holes with acceptable latitude and low defectivity. Given the decreasing process latitude this implies, choosing elements of the lithography process independently is becoming less and less of an option. Instead all elements of the lithography process need to be chosen so that a production-worthy process can be rapidly developed. The large number of options available for building a process further complicates the optimization problem. In this study, simulation results are used to explore the tradeoffs between illumination options and reticle substrate choice as applied to contact hole printing. Relative defectivity levels are presented from logic test circuits for selected cases of illumination and reticle type. These selected cases show that what improves defectivity also improves the Normalized Image Log-Slope (NILS). As it has been previously shown that NILS is already an excellent image quality metric NILS improvement will be used as the basis of the work presented in this paper. Extensive simulations will be used to determine the best choice of illumination and mask type to maximize NILS and by implication minimize defect density.
Maximization of process window for low-k1 spaces using KrF lithography
Shih-Chi Fu, Ching-Sen Kuo, Feng-Jia Shiu, et al.
The spaces between floating-gate poly-silicon are critical for the electrical properties of advanced non-volatile memory (NVM). However, the patterning of low-k1 semi-dense spaces in NVM cells is more challenging than the patterning of dense lines in DRAM cells as the former is of lower normalized image log slope (NILS) and optical contrast. Many experiments, including various NA/σ trials, binary intensity or attenuated phase-shift masks (AttPSM), application of various sizes of sub-resolution assist feature (SRAF), or even negative-type photoresist (N-PR) by clear-field patterning, are tested and compared for the 140nm spaces with L:S ratio of 3:1 using KrF lithography. Combined with aerial image simulations and a process window analyzer, the optimal process condition was found. The SRAF functions to mimic the environment of dense pattern and thereby extends the process latitude of the semi-dense spaces. But it damages the image pattern if the side-lobe intensity approaches the intensity threshold. The maximum allowable SRAF depends on mask type and field used. Generally speaking, the SRAF should be smaller in bright-field exposure using the negative-type photoresist (N-PR) than in dark-field exposure using the positive-type photoresist (P-PR) application. The N-PR, despite its intrinsic poorer pattern profile and larger line-edge-roughness as contributed from photoresist effect, was found to surpass the P-PR in process window. A trade-off among process window, mask error enhancement factor (MEEF), pattern profile and mask cost is unavoidable to the selection of mask type or mask bias, and is considered in this paper in the last.
Impact of scattering bars in damascene trench patterning
Scattering bars have been an essential component of the reticle layout design to increase process yields for devices with design rules that are 0.18 um and below. These are sub-resolution features and make semi isolated and isolated features to be imaged like dense features as the illumination conditions are always decided by most dense pitch. With the use of scattering bars the depth of focus and iso-dense matching get improved. This results in better critical dimension (CD) control in the wafer fabs. Scattering bar has been helpful in extending the limit of optical lithography. This paper describes the effect of scattering bars width and separation on the printed feature size. Trench patterning is studied at different partial coherence and lens numerical aperture (NA). Also, the effects are compared for binary and 8% attenuated phase shift mask (APSM). The patterned feature size is found to be more sensitive to scattering bar parameters at small NA and low partial coherence. The CD of the feature has strong dependence on scattering bar separation than size and also influenced by the NA and sigma. An interesting phenomenon at low partial coherence is the presence of deep valley or 'V' shaped CD trend in scattering bar separation versus CD curve. CD dip is more on APSM as compare to binary mask.
Advanced process control applied to 90-nm node lithography and etch
Gowri P. Kota, Jorge Luque, Mircea V. Dusa, et al.
In this paper, we demonstrate how understanding and controlling lithography through etch, using appropriate integrated metrology, can improve process results, reducing across-wafer CD variability. A spectroscopic CD tool was used to generate CD, profile, and film thickness information from wafers exposed on a 248 nm ASML track/scanner cluster. Using this data, detailed intrafield and interfiled wafermaps were generated. Based on this information, dose, focus, and intensity uniformity corrections were fed back to the track/scanner cluster as offsets for subsequent exposures. In parallel and as a complement to this control loop, CD and profile information was also fed forward to a Lam 2300 Versys Star silicon etch system as input for the etch process optimization step. Following etch, the wafers were moved into the integrated CD metrology module on the etch platform, whereupon post-etch CD/profile measurements were made to verify the effect of the lithography correction, effectiveness of optimized etch process parameters, and magnitude of the lithography-to-etch CD bias.
Poster Session: Masks
icon_mobile_dropdown
Single-write self-aligned rim-phase-shift process
Despite very intense work since its re-discovery in the early 1990’s, phase-shift lithography is only in limited use today. The reason for its lack of wide spread use is not performance, for the benefits of phase-shift lithography are very well documented in the literature. The problem has been the greater complexity involved in making phase shirt masks, the inspection and repair of defects, and in dealing with phase-shift conflicts and other layout problems. The phase shift approach most commonly used is attenuated phase-shift. This is not very surprising in view of the fact that this phase-shift approach requires only one write-pass; and the inspection, repair and OPC are less difficult than the other phase-shift options. Despite these shortcomings, work on phase shift continues as we push resolution and extend the life of optical microlithography. The reason is that the alternatives, 157 nm and next-generation lithography, have its own set of issues. As we come to grips with the complexities of working in the vacuum region of the spectrum, we realize that 157 nm is likely to be delayed, and more expensive than originally thought. All next generation lithography options require a great deal of new infrastructure, with it associated coast. In this paper we report on a self-aligned rim phase shift approach. There have been reports of self-aligned rim phase shift approaches before, however our approach is unique in that it only requires one write-pass. This significantly simplifies the mask-making process.
Application-specific methods for creating simulation masks
Lithography simulation is being used in a wide range of applications to help lithographers solve an equally wide range of problems. A necessary input to optical lithography simulation is the specification of the mask transmittance function, m(x,y), which forms the basis for the aerial image calculation. Various methods are used to specify m(x,y). The choice of method depends, in part, on the capabilities of the simulation software package and the available information. To maximize effectiveness, efficiency and accuracy, users should choose a method of specifying m(x,y) which considers the requirements of their application. In many cases, a simple expression for m(x,y) is all that is needed. In other cases, finer detail is desirable or even necessary. This paper reviews many techniques to generate m(x,y) for the PROLITH family of lithography simulators and presents current research for the defect printability application.
Techniques for Low-k1 Imaging
icon_mobile_dropdown
Application of CPL reticle technology for the 65- and 50-nm node
Each generation of semiconductor device technology drive new and interesting resolution enhancement technology (RET’s). The race to smaller and smaller geometry’s has forced device manufacturers to k1’s approaching 0.40. The authors have been investigating the use of Chromeless phase-shifting masks (CLM) exposed with ArF, high numerical aperture (NA), and off-axis illumination (OAI) has been shown to produce production worthy sub-100nm resist patterns with acceptable overlapped process window across feature pitch. There have been a number of authors who have investigated CLM in the past but the technology has never received mainstream attention due to constraints such as wet quartz etch during mask fabrication, limited approach to optical proximity correction (OPC), and exposure tool limitations such as on-axis illumination and too low of NA. With novel binary halftone OPC and a capable modern mask making process, it has become possible to achieve global and local pattern optimization of the phase shifter for a given layout especially for patterning features with dimension at sub-half-exposure wavelength. The authors have built a number of test structures that require superior 2D control for SRAM gate structures. In this paper the authors will focus on image process integration for the 65nm node. Emphasis on pattern layout, mask fabrication and image processing will be discussed. Furthermore, the authors will discuss defect printing, inspection and repair, mask error enhancement factor (MEEF) of 2D structures coupled with phase error, layout, and mask fabrication specifications.
Poster Session: Masks
icon_mobile_dropdown
Sol-gel fabrication of high-quality photomask substrates
Rahul Ganguli, D. Laurence Meixner, Steve G. Colbern, et al.
Synthetic silica photomask substrates are currently manufactured by cutting, grinding, and polishing glass boules prepared using a flame hydrolysis process. YTC America is developing an alternative technique, based on sol-gel processing, to fabricate high quality substrates. This new technology allows near net shape fabrication of synthetic silica monoliths, thus eliminating the need for cutting and grinding. The complex relationship between glass properties and process parameters in the formulation, drying, and sintering steps has been determined, and a repeatable process has been established. These substrates meet all SEMI specifications for ULTE hard surface photomask substrates for 248-nm lithography. The technology may also be extended to 193-nm and 157-nm photomask substrates. This sol-gel-based process may represent a unique and cost-effective alternative for manufacturing photomask substrates for deep UV lithography.
Impact of inter-mask CD error on OPC accuracy in resolution of 90 nm and below
Because of the mask error enhancement factor (MEEF), iso-dense biases of mask patterns are amplified when the image is transferred to a wafer. A slight critical-dimension (CD) difference between an OPC test mask and an OPCed mask may cause a significant OPC error on the wafer. The impact of the mask CD error on OPC accuracy has never been evaluated, however, to evaluate the impact of the inter-mask CD error (IMCDE), we measured the CD errors of various line-and-space patterns on attenuated phase-shifting masks for ArF exposure. We investigated the effect of IMCDE and the iso-dense biases of test-mask patterns on OPC accuracy. We found that a degree of IMCDE is tolerable in attenuated phase-shifting ArF masks. This tolerable degree of IMCDE is useful to gauge the effectiveness of the OPC, with an eye to developing a lithographic process for semiconductor production. Furthermore, based on experimental results showing that a wafer CD is controllable when scanner conditions such as numerical aperture (NA) and partial coherence factor (sigma) are optimized, a new mask-matching method to compensate for the IMCDE is proposed.
Porous silica frame for deep UV lithography
D. Laurence Meixner, Rahul Ganguli, Troy Robinson, et al.
Several significant technical issues are associated with the current anodized aluminum photomask frame. These problems will become more acute as shorter wavelengths such as 193 nm and 157 nm become standard. For example, it is difficult to purge the pellicle space, and the non-porous nature of the aluminum frame can lead to pressure-induced film breakage. In addition, the thermal expansion behavior of aluminum does not match that of the silica substrate, which can lead to pattern distortion or bending of a hard pellicle film. Proposed solutions such as a perforated silica frame or a porous stainless steel frame may address some of these issues, but a complete solution has not been forthcoming. A porous silica frame technology based on sol-gel processing may eliminate concerns associated with the lack of porosity in the stainless steel frame, as well as thermal expansion mismatch problems. Several additional advantages may also be realized, including the removal of organic contaminants from inside the pellicle space, filtration of particulate contaminants, and stability under aggressive cleaning. This approach to a porous silica frame may help enable a cost-effective route to more rapid commercialization of shorter-wavelength microlithographic processes.
Enhancement of CD uniformity and throughput with KrF photomask repeater
It is intended to clarify the feasibity of 0.15 μm generation mask fabrication with the photomask repeater that is based on a KrF stepper(step-and-repeat exposure system). In a photomask repeater patterning, a daughter mask is exposed to KrF light through a mother mask. Inter-field registration accuracy(3sigma) is 14 nm in X direction and 28nm in Y direction within a 80mm ×100mm area on a daughter mask and intra-field registration accuracy(3sigma) is 21nm in X direction and 26nm in Y direction within a 18.4mm ×23.0mm field on a daughter mask. Inter-field CD uniformity (3sigma) is 8nm in 100mm ×100mm area on a daughter mask and intra-field CD uniformity (3sigma) is 24nm within a 18.4mm ×23.0mm field on a daughter mask. The errors of registration and CD within a field can be improved by compensating for these errors to a mother mask. With the compensation, the intra-field registration error can be reduced to about 13.5nm and the intra-field CD uniformity (3sigma) can be improved into 15nm. Pattern fidelity in the KrF photomask repeater is inferior to that in the e-beam process. So we need to apply OPC pattern to a mother mask in order to get an equivalent pattern fidelity to profiles in the e-beam process.
Theoretical corner rounding analysis and mask writer simulation
We present a derivation of a theoretical corner radius function describing analytically the corner shape and curvature as a function of position on along the feature profile. This function allows us to better describe corner rounding and the process influence (imaging, diffusion, etc.) responsible for corner rounding in mask writing and lithographic imaging. When extracting a corner radius from a feature profile shape, two approaches have been used. The first assumes a single corner radius for the entire profile shape. The profile shape is fit to a single circular function to obtain the corner radius. However because the curvature is not constant the corner radius value thus obtained is contaminated by values not part of the actual corner-even if the profile is data is windowed to contain only points near the corner. The second approach defines the corner radius as equal to the maximum value of the curvature in a region near the corner. This definition is very susceptible to noise in the profile (line edge roughness, etc). A better approach is to fit the profile to a theoretical curvature-verses-position function for a perfect corner imaged using a non-perfect imaging system. This theoretical curvature verses position function can be derived for simple optical imaging systems, chemical diffusion, and Gaussian laser writers. We couple this analysis with simulations of generalized mask writing processes to better understand the nature of corner rounding. The mask writing process is modeled in Fourier space as a convolution with a possibly asymmetric Gaussian kernel. Taking an isocontour of the resulting image corresponding to the desired level of bias gives quick approximate mask shape as might be obtained from a real mask writing device such as a laser writer with an asymmetric intensity profile to its beam.
Effects of soft pellicle frame curvature and mounting process on pellicle-induced distortions in advanced photomasks
Eric P. Cotte, Roxann L. Engelstad, Edward G. Lovell, et al.
Lithography registration errors induced by the attachment of soft pellicles on reticles can significantly affect wafer overlay performance for sub-90 nm lithography chip manufacturing. Intel Corporation, Mitsui Chemicals, and the University of Wisconsin Computational Mechanics Center (UW-CMC) have conducted an extensive experimental study to quantify and minimize the pellicle-induced distortions in order to meet advanced mask manufacturing requirements. A comprehensive design of experiment was elaborated to evaluate the effects of frame curvature, adhesive gasket compliance, and mounting load on pellicle-induced distortions for soft pellicle systems. A frame curvature measurement tool was custom-made at the UW-CMC, employing an MTI Instruments capacitive sensor. A TA Instruments dynamic mechanical analyzer was used to determine the elastic modulus of the adhesive gasket materials. Registration measurements were conducted by Intel on test reticles on a 21 × 21 array of grid points, before and after pellicle attachment, to obtain pellicle-induced distortion results. Results characterize the influence of attachment process, type of adhesive gasket, frame curvature, reticle guiding plate configuration, and attachment load on pellicle-induced distortions.
Contact-hole MEEF comparison between ALTA and 50-KeV written masks
Patterning of small contact holes has arisen as one of the principal challenges in all of semiconductor lithography. It has been established that the wafer print CD of a contact is related to the effective area of the contact hole on the mask, and that area MEEF values for 130 nm node contact holes utilizing 248 nm lithography can exceed 4.0. As such, there is strong motivation to ensure the best possible reticle level CD control. The move to 50KeV mask write processes has been accompanied by improvements in across reticle CD control. This paper will compare the wafer level printing performance, including area MEEF, for contact reticles written with 50KeV vector scan versus ALTA laser write tools. The results suggest that conventional mask specifications based upon a "CD" measurement are insufficient and that area based metrology is required.
Photoblanks for advanced lithography based on Corning high-purity fused silica (HPFS)
Photomask specifications for advanced KrF and ArF lithography tools require improvements in both glass substrate quality and coating development. CD control and uniformity will be driven by transmission, index and birefringence uniformity of the substrate. Coating development will facilitate the use of advanced resolution enhancement techniques. Progress in the development of Corning’s HPFS blanks for advanced lithography applications is reviewed. A variety of new masking materials are being developed to complement HPFS including specialized absorber coatings with low reflectivity and phase shift coatings to enable attenuated phase-shift photomasks. The optical properties of these materials will be described.
Mask-Imaging Interaction
icon_mobile_dropdown
Strategies for predictive control of chrome stress-induced registration errors
Alexander C. Wei, Gregory P. Hughes, Aaron J. Chalekian, et al.
The focus of this paper is on the development and implementation of a correction strategy that enables mask manufacturers to maintain the yields at current levels while simultaneously reducing registration errors by several nanometers. An alternate consequence is that yields at current registration specifications are improved. Previous work has shown that one source of image placement error is the chrome stress relief caused by etching. This can cause over 25 nm of distortion from the resist pattern to the final etched chrome pattern. Theoretical and experimental data have shown that the distortion has a radial signature, which can be significantly reduced by traditional magnification correction. If the magnitude of this correction term can be predicted before patterning, the magnification can be implemented as a correction term in the writing process, minimizing registration errors. Studies have shown that the percent clear area of the mask, x-field size, y-field size, and chrome stress are the key parameters that will affect the correction term. Data based on finite element simulations were first fit to these parameters to obtain a predictive curve based upon theory. Experimental reticles were then written to test the theoretical prediction. The predictions were found to coincide well with the experimental data; registration improvements of over 20 nm were observed. The correlation was then applied to a set of production reticles. There was an observable improvement in registration after the correlation was implemented, although less than that seen in the experimental reticles.
Poster Session: Masks
icon_mobile_dropdown
Impact of mask defect in a high MEEF process
Chang-Young Jeong, Ki-Yeop Park, Jae-Sung Choi, et al.
In this paper, we demonstrated the impact of illumination condition on MEEF and investigated the correlation between CD linearity and MEEF according to the illumination conditions and imaging pitches. For all of the illumination conditions, the MEEF increased appreciably as the CD decreased beyond a CD linear region. The aerial image intensity and NILS change with the pattern size and illumination conditions were also investigated. We also measured and analyzed the printability of mask defect according to the MEEF. Two types of mask defects; chrome and clear mask intrusion defects were designed in the cell. The designed mask defect was split from 0.02 μm2 to 0.72 μm2(5X) in area. While within a linear CD region the slope of the CD response to the defects are similar regardless of the illumination condition and 0.08 μm2(5X) clear defect size was not printed, within a linear CD region the slope of the CD response to the defects increased as the illumination NA decreased and only 0.02 μm2(5X) chrome defect size was printed within a linear CD region, where the defect printability criteria is out side ±5% target CD range. We could also show that as the process is operated under the linearity limit, the dependency of aspect ratio to the defect printability would be increased.
Guideline of reticle data management
Norihiko Miyazaki, N. Iriki, M. Homma, et al.
We reported the Guideline(Ver.1) of Reticle Data Management (RDM) Activity in 2001. Among we have been focused SoC(System on Chip) Business, we have been improved the efficiency over Design technology, Mask manufacturing and Wafer manufacturing. Especially, These subjects have been the lithography Cost including Reticle Cost, shorter life cycle of product, more difficult technique, lower cost and shorter total TAT from design to chip shipping. Guideline Ver1.0 announced the standardization of interface contents over Design to Mask manufacture, and to wafer manufacture. Guideline Ver2.0 will announce this RDM activity has been developed the optimization of a new engineering chain management in addition to the pattern data and the linkage to EDA in 2003.
Poster Session: Alternating PSM
icon_mobile_dropdown
Extending ArF to the 65-nm node with full-phase lithography
Frank A.J.M. Driessen, Christophe Pierrat, Geert Vandenberghe, et al.
Experimental lithographic data are presented that show that ArF can comfortably be extended to the 65-nm node. All features in the designs were patterned with alternating phase-shift lithography according to the Full-Phase methodology without any form of optical proximity corrections. Process windows through-pitch, latitude trade-off curves, CD uniformity and pitch linearity are presented. Furthermore, the emphasis is on 2-dimensional design performance for 60, 70 and 80-nm node designs at k1 values as low as 0.28. The current ArF infrastructure for mask making, step-and-scan systems, and resist technology was used for this.
Evaluation of SCAA mask technology as a pathway to the 65-nm node
This study takes an integrated approach utilizing a combination of high NA 193 nm lithography, a sidewall chrome alternating aperture (SCAA) phase shift mask, optical proximity correction (OPC) and customized illumination in an attempt to demonstrate the feasibility of using 193 nm lithography to support the 65 nm node. A SCAA mask was designed and built with line/space patterns ranging in pitch from 300 nm down to 140 nm. A range of mask biases were applied to the zero and pi spaces in order to examine to response of the lithography to a combination of the SCAA approach and asymmetric biasing. In combination to the asymmetric biasing, overlay bracketing was applied in order to measure the chrome overlay tolerances of the mask. Simulations suggested that an unconventionally small sigma of 0.15 would be the optimum coherence for a high 193 nm optical system. A custom 0.15 sigma partial coherence illuminator was, therefore, built and installed in the experimental ASML Micrascan V 0.75 NA 193 nm scanner. Wafers were exposed using 190 nm of 193 nm resist and an organic BARC. The 70 nm 1:1 line/space patterns resolved with a depth of focus of about 0.2 μm. The 75 nm 1:1 line/space patterns showed a 0.3-0.4 μm depth of focus. Both of these process windows were limited by pattern collapse. Addressing the pattern collapse may improve the depth of focus. Comparing mask measurements to wafer measurements show that little or no asymmetric biasing in necessary to balance the pitch. Moreover, the measured pitch was stable over a focus range of at least 0.4 microns demonstrating that any phase imbalance present was not significantly affecting the observed lithography.
Feasibility evaluations of alternating phase-shift mask for imaging sub-80-nm feature with KrF
Myung-Ah Kang, Sung-Hyuck Kim, In-Kyun Shin, et al.
Alternating phase shift mask (AltPSM) is considered as one of the most promising technique in leading-edge lithography. Its optical performance can be verified by sub-100nm gate generation and guaranteed device properties, indicated as depth of focus (DOF) and on chip CD variation (OCV). Nevertheless, continuous gate reduction in logic device demands more high-qualified mask process and optimization of illumination to overcome resolution limit. As one of the solution, appropriate mask structure and OPC rule dependent on illumination condition are evaluated. Issues out of mask manufacturing and Cr-less PEPSM as substitution of PEPSM are also discussed. Besides, interrelation between issues of mask and optical characteristics are investigated and compared mutually. In the end of this paper, we propose the optimum mask type and opportune time for ArF lithography.
Optimization of alternating PSM mask process for 65-nm poly-gate patterning using 193-nm lithography
Sia-Kim Tan, Qunying Lin, Liang Choo Hsia, et al.
Alternating phase shift mask will be one of the most possible solutions for 65nm technology node as the further delay of 157nm lithography and next generation lithography. In this paper, alternating phase shift mask is used to pattern 65nm poly gate on logic device using 193nm lithography. Double exposure of dark field phase mask and binary trim mask were superimposed on wafers using 193nm scanner. Both mask making process and wafer exposure process are optimized in order to obtain maximum process margin on wafer for 65nm gate CD for pitch of 170nm. The amount of intensity imbalance on alternating phase shift mask with various mask making processes is fully characterized to improve mask making process. Furthermore, the impact of mask making process on process margin is evaluated with and without mask process optimization. The results show that with mask process optimization, large DOF of 0.50μm can be achieved for 65nm line with 170nm pitch. However, without mask process optimization, resolution is limited to 240nm pitch only due to intensity imbalance in 0 degree and 180 degree features. In addition, the study also shows that with alternating phase shift mask, intermediate NA of 0.70 is suitable for 65nm technology as high NA of larger than 0.75 will decrease DOF performance.
Feasibility study of SCAAM-type Alt-PSM for 157-nm lithography
Yasutaka Morikawa, Haruo Kokubo, Kenji Noguchi, et al.
Alternating Phase Shifting Mask (Alt-PSM) technology is one of the most effective Resolution Enhancement Technology (RET). It has been used for current optical lithography and will be used for 157nm lithography also. Considering about topographic structure of Alt-PSM, current etched quartz with undercut structure will be very difficult to be applied for 157nm Alt-PSM because undercut structure limits mechanical durability at narrower chrome width. To solve this problem, Side-wall Chrome Alternating Aperture Mask (SCAAM) is proposed. This structure has the characteristics of “There is no undercut”, “Ideal topographic structure for lithography (All quartz steps are covered by chrome film which means very few refracted light at quartz side-wall will go through chrome film and affect printing results compared with conventional etched quartz type Alt-PSM)”. We fabricated SCAAM type Alt-PSM for 157nm lithography and printed by using 157nm microstepper with a 0.85-NA lens. In this report, we will show preliminary printing results of using SCAAM and which will be compared with the results of using conventional etched quartz type Alt-PSM.
Simulation of sub-90-nm node complementary phase-shift processes with ArF lithography
Mosong Cheng, Benjamin C. P. Ho, Kathleen Nafus
This paper investigate the resolution and process latitude of printing 90nm node via complementary phase-shift mask process in 193nm ArF lithography. A physical image-in-resist model is presented to simulate the through-does and through-focus variation of light intensity due to double exposure process. The exposure doses are optimized so as to minimize the through-pitch CD variation. The optimal PSM:BIM exposure dose ratio is found to be 68:32. Then the process latitude (PL) at different pitches is calculated under the assumption of perfect lens and no misalignment. The 200nm and over 400nm pitches have enough PL while forbidden pitches, 250-350nm, are not printable. The lens aberrations severely reduce the PL of 200nm pitch but has insignificant effect on isolated features. Misalignment has little impact on CD if pitch is more than 350nm, which is believed to be due to the optimal dose setup. But to maintain the printability of 200nm pitch, misalignment should be less than 10nm if aberrations exist. The pattern placement error is found to be a linear function of misalignment, and the coefficient of this function depends on pitch and is 0.1-0.3. The through-pitch CD variations are also analyzed and OPC is needed to address this issue. Finally the potential solutions to sub-90nm nodes are discussed.
Poster Session: OPC
icon_mobile_dropdown
Model-based PPC verification methodology with two dimentional pattern feature extraction
Kohji Hashimoto, Takeshi Ito, Takahiro Ikeda, et al.
A Novel model-based process proximity correction (PPC) verification methodology is proposed. This methodology features the comparison between actual processed wafers and target CAD data. The new system makes it possible to compare extracted two-dimensional pattern features on actual processed wafers with target pattern features on CAD data at any “hot spot” patterns. The “hot spot” patterns have relatively large CD errors on wafers after PPC in lithography simulation. In addition to this methodology, the model-based PPC verification flow was constructed with a feedback loop of the results. The application of this methodology to the 90nm-node CMOS gate yielded useful information on accurate CD control. The qualitative and quantitative consideration from the results indicated suitable subsequent actions regarding wafer fabrication, mask re-fabrication, PPC re-modeling and PPC re-parameterization in the feedback loop.
New process models for OPC at sub-90-nm nodes
At the sub-90nm nodes, model-based OPC accuracy requirements call for highly accurate compact process modeling and modeling strategies. We examined a large number of CD measurement datasets from a variety of 193nm lithography environments to quantify CD contributions from the different processing steps: mask making, resist development and etching. Based on this analysis, we developed a new class of OPC models, called VT5 models, based on the foundation of VTRE models. These physically-based, non-linear OPC models, heuristically capture various propagation, loading, and dissipation effects of silicon processing responsible for CD variability. The VT5 model comprises variable threshold and variable bias forms as functions of the optical image shape and layout density parameters. (Simpler VT5 version without the bias form and densities is reported in Y.Granik, N.Cobb, T.Do, "Universal process modeling with VTRE for OPC", SPIE 2002). We investigated various modeling strategies to streamline OPC model building and optimization. We identified primary optimization candidates among optical and process parameters, stressing model verification as possibly the most important, but often overlooked, step in the model building sequence. Substantial gain in VT5 accuracy comfortably serves the sub-90nm process nodes for the model-based OPC flow within ITRS error budget targets.
Hybrid PPC methodology using multistep correction and implementation for the sub-100-nm node
As semiconductor devices are scaled down to the sub-100nm node, the fine control of ACLV (across-chip line-width variation) to improve the performance of chips and the expansion of the process window to enhance yield are required. One of the techniques reducing ACLV is MPPC (model-based process proximity correction). However, it increases pattern complexity and does not guarantee enough process windows. Therefore, we propose a HPPC (hybrid PPC) methodology combining RPPC (rule-based PPC) and MPPC, which correct the gate on active by MPPC for device performance and the field gate by RPPC for process window. In addition, we optimize SRAF (sub-resolution assist feature) design to improve process windows further at the full chip level and apply the multi-step correction, which corrects optical and etch proximity effects separately to minimize ACLV. As the result of the application to the 90nm logic gate, we achieve over 0.3um DOF (depth of focus) and the line-width variation within ±5% of the target CD (critical dimension).
Image fidelity improvement through optical proximity correction and its limits
Lack of image fidelity, such as corner rounding and line end foreshortening, can have adverse effects on semiconductor devices and circuits, and its magnitude is of interest to lithography integration into the device flow. Yet corner rounding is rarely quantified. The question arises which fraction of the problem can be corrected by optical proximity correction, and which fraction cannot be corrected because of the spatial frequency limitation of the image transfer process. Image fidelity problems typically get worse with highly coherent illumination settings that are used for alternating phase shifting masks, so it is important to investigate corner rounding in connection with such masks. Equally, it is important to understand the impact of numerical aperture on corner rounding. Because of its simple shape, a corner lends itself to simulated and experimental evaluation. We propose a metrology algorithm for corner rounding and investigate it with simulation and experiment. We study the impact of optical settings, mask parameters, and serifs on corner rounding and discuss the impact on optical proximity correction.
Challenge for effective OCV control in 90-nm logic gate using ArF lithography
The introduction of ArF lithography technology is needed for on-chip linewidth variation(OCV) control less than 10nm in 90nm logic transistor development. Since conventional KrF lithography increased the burdens of mask fabrication and photo process due to excessive optical proximity correction(OPC), ArF lithography is more required to improve pattern feasibility in terms of line edge roughness(LER), corner rounding and contact overlapping margin than before. In this paper, we investigated two major components of OCV, that is, proximity and uniformity using ArF lithography. For a tighter CD control, the proximity can be corrected by hybrid OPC method, which is a combination of rule-based and model-based OPC. The uniformity can be effectively improved by several methods such as lithography-friendly layout formation, optimal substrate condition, decrease in MEEF and tuning of the resist process. In conclusion, by using ArF lithography we could obtain the satisfactory OCV control less than 10nm and reasonable process latitude simultaneously for 90nm logic gate under the condition of well-controlled proximity and uniformity.
Multiple-stage optical proximity correction
Standard industry practice in model-based optical proximity correction is to use a single-stage model in which mask, optical projection, resist, and etch effects are lumped together [J.P. Stirniman, M.L. Rieger, SPIE Proc. Optical/Laser Microlithography X, Vol. 3051, p294, 1997.] Through the 130nm node, where optical projection and resist effects dominated proximity errors, the single-stage model approach has proven to be a convenient, accurate and efficient methodology. A disadvantage of this approach is its lack of modularity. If any one component of the process changes, a new lumped model must be built, usually by shooting a new set of test wafers from which to collect calibration data. Staged correction, in which corrections for different process steps are carried out sequentially, has become an appealing alternative to single-stage correction for the 130 nm node, 100 nm node and beyond. In addition to providing potential "mix and match" capabilities, the component corrections can be better optimized for unique behaviors in the constituent process steps. Thus, the overhead of sequencing through separate corrections can be offset by increased correction efficiency at each step to achieve accuracy equal to, or better than, that of a single stage correction with a lumped model. Separate corrections for etch and for litho/resist have been put into use in the industry and an additional stage for mask correction has also been considered. In this paper we demonstrate advantages of staged correction over the traditional single-stage correction. Advantages and disadvantages of different staged correction flows will be examined, with particular emphasis on the flow where an etch correction is followed by a lithography correction.
Poster Session: RET
icon_mobile_dropdown
Mighty high-T lithography for 65-nm generation contacts
Contact patterning for the 65nm device generation will be an exceedingly difficult task. The 2001 SIA roadmap lists the targeted contact size as 90nm with +/-10% CD control requirements of +/-9nm. Defectivity levels must also be below one failure per billion contacts for acceptable device yield. Difficulties in contact patterning are driven by the low depth of focus of isolated contacts and/or the high mask error (MEF) for dense contact arrays (in combination with expected reticle CD errors). Traditional contact lithography methods are not able to mitigate both these difficulties simultaneously. Inlaid metal trench patterning for the 65nm generation has similar lithographic difficulties though not to the extreme degree as seen with contacts. This study included the use of multiple, high transmission, 193nm attenuated phase shifting mask varieties to meet the difficult challenges of 65nm contact and trench lithography. Numerous illumination schemes, mask biasing, optical proximity correction (OPC), mask manufacturing techniques, and mask blank substrate materials were investigated. The analysis criteria included depth of focus, exposure latitude and MEF through pitch, reticle inspection, reticle manufacturability, and cost of ownership. The investigation determined that certain high transmission reticle schemes are strong contenders for 65nm generation contact and trench patterning. However, a number of strong interactions between illumination, OPC, and reticle manufacturing issues need to be considered.
Improved outline phase-shifting mask (OL-PSM) for reduction of the mask error enhancement factor
Akio Misaka, Takahiro Matsuo, Masaru Sasago
We propose a new resolution enhancement technology (RET) for enhancing the resolution of contact hole patterns. The technology uses an attenuated mask with phase shifting aperture. The phase shifter is laid out based on the OL-PSM and CL-PSM algorithm. These RETs are called “Mask Enhancer”. Aerial images of random hole patterns are strongly enhanced by using the Mask Enhancer. We used the Mask Enhancer in 100-nm hole pattern fabrication in ArF lithography. The process window is strongly improved and the MEEF is drastically reduced compared to att-PSM.
Gate imaging for 0.09-μm logic technology: comparison of single exposure with assist bars and the CODE approach
xIn order to address some specific issues related to gate level printing of the 0.09μm logic process, the following mask and illumination solutions have been evaluated. Annular and Quasar illumination using binary mask with assist feature and the CODE (Complementary Double Exposure) technique. Two different linewidths have been targeted after lithography: 100nm and 80nm respectively for lowpower and high-speed applications. The different solutions have been compared for their printing performance through pitch for Energy Latitude, Depth of Focus and Mask Error Enhancement Factor. The assist bar printability and line-end control was also determined. For printing the 100nm target, all tested options can be used, with a preference for Quasar illumination for the gain in Depth of Focus and MEEF. For the 80nm target however, only the CODE technique with Quasar give sufficient good results for the critical litho parameters.
Low-proximity contact hole formation by using double-exposure technology (DET)
Charles Chang, Elvis Yang, Tzong-Shane Wu, et al.
As semiconductor technologies move toward 0.18um and below, it is difficult to get high pattern fidelity by 248-nm wavelength exposure. To reduce proximity effect, a lot of resolution enhancement technologies (RET) such as OPC, assistant feature, and double exposure technologies (DET) have been introduced. In this paper, random contact holes with low proximity effect were delivered by using 248-nm exposure tool in conjunction with double exposure technology. A low proximity resist patterns were formed by a well-designed Pack-mask. Then ion implantation treatment produced a solvent proof skin on the developed resist. The second lithography process was performed over the post-implanted resist layer. Resist coating as well as exposure perfectly transfer the patterns from Cover-mask. After etch, random holes with low proximity effect were easily achieved. In addition, higher energy association with higher dosages is able to maintain good critical dimension even if wafers went through three rework processes.
Evaluation of various pitches of 100-nm contact holes applying IDEALSmile with high NA KrF scanner
The industry demand for an extension of optical lithography using KrF and ArF exposure tools remains strong, concerning process maturity of NGL and the higher capital cost for implementing new tools. Many solutions exist for printing to print fine lines and spaces with k1=0.3 or smaller, these include the use of alt-PSMs, dipole illumination and other RETs. Moreover, the application of these RETs using NA0.85 ArF scanner enables feature shrinkage down to the 65nm Node. However, contact/via holes are the most difficult features to successfully print according to the sizes dictated by ITRS road map. One of the primary reasons for the inability to maintain the same shrinkage pace is the resolution limitation due to two-dimensional diffracted light. Another factor is that, with the exception of negative-tone resist, the complicated strong PSM (alt-PSM) applications have some difficulties to deploy. In 2002, Canon demonstrated a new method, entitled IDEALSmile, which simultaneously resolves 100nm dense and isolated contact holes while providing a robust process window. The advantages of the IDEALSmile technique are the high-resolution capability and the large process window with a conventional method such as single exposure using binary mask. In order to apply the IDEALSmile technique on actual device patterns, it is necessary to evaluate its through-pitch performance in terms of its process window, MEEF and etc. Using the NA0.73 KrF scanner, greater than a 0.3um common process window was achieved for 120nm holes, ranging from 1:1 dense features through 1um pitch isolated features. Moreover, 0.1.um dense holes were resolved with sufficient process window under the same exposure conditions. These results lead us to conclude that, using the IDEALSmile technique, we can achieve a sufficiently large through-pitch process window for the 65nm node using a state-of-the-art NA0.85 ArF scanner.
Zero MEF hole formation with Atten-PSM and modified illumination
Extremely fine hole pattern formation with dark spot image is investigated with Atten-PSM and specific modified illumination. In optical image calculation, by the application of tone reversed image in Atten-PSM under an optimized cross-pole illumination, dark spot image with zero MEF and iso-focal characteristics is obtained for very wide range of pattern pitch. In KrF wavelength, formation of ~110 nm size dark spot image with resolution DOF higher than ~0.50μm can be achieved for the pattern pitch of isolated to ~240 nm. In this imaging, MEF may become very low or exactly zero for the pitch of isolated to ~300 nm. Because of low or zero MEF, OPC is essentially difficult or may be performed imperfectly for this method. However, small OPE of ~10 nm in CD variation throughout pattern pitch could be expected by the application of optimized illumination. In preliminary experiments under KrF optics of NA=0.75, high DOF and zero MEF characteristics are successfully proven, even while the experiments are carried out with non-optimal modified illumination.
Optical lithography at half the Rayleigh resolution limit by two-photon absorption resist
Recently, it has shown that Rayleigh diffraction limit (a size λ/2) is overcome using entangled-photon pairs, where λ is the optical wavelength. However, the intensity of the entangled-photon pairs generated from optical parametric down-conversion are so weak that it is not enough to attain the practical throughput. We propose a new method which enables to enhance the resolution over the Rayleigh limit with coherent laser light by using polarization-dependent two-photon absorption resist.
Grating analysis of frequency parsing strategies for imaging interferometric lithography
Eric S. Wu, Balu Santhanam, Steven R. J. Brueck
The limitations of a conventional optical lithography are consequences of the limited spatial frequency coverage (~ NA/λ) of the optical system. To improve the resolution of printed patterns, Imaging Interferometric Lithography (IIL) exploits interference phenomena to produce sub-wavelength structures on the wafer and provides a simple approach to attainment of the ultimate spatial frequency coverage of 2/λ, independent of the optical system NA. In the first promising experiments, different divisons of frequency space between multiple exposures were investigated empirically. While the use of multiple exposures includes a better coverage than available from a single exposure, automated software routines or strategies need to be developed to find the optimum setup that makes the best compromise against all of the desirable lithography specifications. From a comprehensive grating analysis, we derive a set of optimal parameters for exposure energy ratio among exposures and search a proper set to improve the aerial image quality. Additionally, comparing with partial coherent imaging schemes, we examine the strengths and weaknesses of IIL through different analyses and these studies provide additional support for IIL as a promising alternative RET for deep sub-wavelength optical lithography.
Dipole options for 90-nm lithography technologies and below
In this study, a discussion of the challenges and the general requirements associated with the possible candidates of resolution enhancement techniques for 90 nm lithography technologies are provided. Theoretical analysis of the benefits of dipole illumination will be covered. As dipole illumination performance is pitch dependent, simulation results have demonstrated superb printing performance with scattering bars added. Nevertheless, there are some forbidden pitches that are degraded by the use of dipole illumination. The motivation behind this study is to investigate the limit of dipole illumination to image for 90 nm equal lines and spaces and identify the forbidden pitches. Rayleigh Criterion applies only to a feature at the resolution limit of imaging system. Therefore, Rayleigh equations are not sufficient to address the effect of NA and coherence (σc) on DOF. Hence, we offer to extract from Fraunhofer diffraction equation to incorporate coherency factor σc and pitch changes to extend use of Rayleigh equation. Results show that the extension of Rayleigh equation is capable to map out the forbidden pitch locations for any feature size and illumination conditions. More importantly, it can complement the concept of objective lens pupil filling to provide the theoretical ground for illumination design in order to suppress the forbidden pitch phenomenon. The influences of NA, outer σo and inner σi on the depth of focus and exposure latitude on 90 nm equal lines and spaces are shown quantitatively with experiment results. Experimental results show that decreasing inner sigma results in contrast loss of the structure in the optimal orientation. Furthermore, the influence of NA is relatively stronger compared to the effect of coherence (sigma) in terms of linewidth variation through pitch.
Poster Session: DRAM and Thin Film Heads
icon_mobile_dropdown
ArF issues of 90-nm-node DRAM device integration
Doo-Hoon Goo, Byeong-Soo Kim, Joon-Soo Park, et al.
Recently, the design rule shrinkage of DRAM devices has been accelerated. According to International Technology Roadmap for Semiconductor (ITRS) 2001, 90 nm node will start in 2004. For this achievement, lithography has been standing especially in the forefront and leading the ultra fine patterning technologies in the manufacturing of semiconductor devices. We are now in the moment of transition from the stronghold of KrF to the prospective of ArF. In this paper, we applied ArF process to the real DRAM devices of 90nm node. We proved good pattern fidelity and device performance. The ArF process, however, has still some weak points - resist shrinkage and LER (Line Edge Roughness). Resist shrinkage is very crucial problem for measuring CD. To overcome it, we applied ASC (Anti-Shrinkage Coating) process to ArF resist and improved the CD measurement. LER also becomes an issue, as the design rule is shrink. It is found that they are very dependent on resist type. However, it could be cured effectively by VUV treatment. Finally we will mention the current status of low k1 factor and the future lithographic strategy of which technologies will be most feasible based on current situation.
Process latitude extension in low-k1 DRAM lithography using specific layer-oriented illumination design
Improvement of process latitude is tested in typical DRAM patterns by using the optimized illumination for each layer pattern. The optimized illumination for a specific layer is generated by modifying the Fourier transformed image of the layer and by using in-house illumination optimization program, which can simulate the maximum process latitude. These illumination shapes are compared with each other, and it is confirmed that both illuminations are similar in shape. The typical DRAM patterns are exposed using the optimized illuminations, and the process latitude is compared with typical annular illumination cases. It is certain that the process latitude using the optimized illumination is greater than the high sigma annular illumination. By using the optimized illumination, the enlarged process latitude makes it possible to use lower grade tools for a critical layer. It is expected that the lifetime of low-grade exposure tools can be extended by this illumination optimization technique.
Comparative study of chromeless and attenuated phase-shift mask for 0.3-k1 ArF lithography of DRAM
The purpose of this paper is to do the direct comparison of between the novel chrome-less phase shift mask (CLM), which is suggest by Chen et. al. recently, and attenuated phase shift mask which has been in the main stream of DRAM lithography. Our study is focused on the question of whether the CLM technology has a potential advantages compared with attenuated PSM, so as to substitute the position of it in 0.3 k1 lithography era of DRAM. Firstly, some basic characteristics of both masks are studied, that is intensity distribution of diffraction orders and optical proximity effect etc. And then mask layouts are optimized through the resist patterning simulation for various critical layers of DRAM with CLM and attenuated PSM, respectively. Resolution performances such as exposure latitude and DOF margin and mask error enhancing factor etc. are compared through the simulations and experiments. In addition, it is also studied in the point of mask manufacturing of CLM such as phase control issues, defect printability, mask polarity, and so forth.
Printing 95-nm DRAM full chip patterns in KrF lithography
95nm KrF lithography has been developed for 512 Mb DRAM. KrF 0.80NA scanner was used to print 190nm pitch patterns and this means the process factor k1 is 0.306. Crosspole illumination was used to print critical layers, which has four poles on x and y-axis. To improve CD uniformity of critical layers we also used fogging effect corrected (FEC) reticles and thin photo resist process, which needs the hard mask etching process to overcome poor dry etch resistance. For 95nm DRAM cell patterns, we could get more than 8% exposure latitude (EL) and 0.3 μm depth of focus (DOF). With FEC masks and optimized resist process, CD uniformity of word line layer printed on wafer was less than 10nm. Overlay accuracy of critical layers is mostly less than 25nm. However at core and periphery area of DRAM the extreme off-axis illumination like crosspole brought poor process latitude in weak zone duties and therefore the hard optical proximity correction (OPC) work was required. In a real integration other novel technologies are used such as gap-filling for STI and ILD processes, Wsi gate, W bit line and SAC processes. This paper reported only lithographic performance for printing 95nm DRAM patterns. Consequently KrF lithography is still promising technology to print sub 100nm node DRAM.
Sub-100-nm DRAM cell patterning results and relation with lens aberration at 248-nm lithography era
248nm wave lithography process is being pushed and extended to sub 130nm node by continuous RET(Resolution Enhancement Technique) improvement. By applying various kind of RET such as exposure lens NA(Numerical Aperture) enlargement, more strong OAI(Off Axis Illumination), elaborated OPC(Optical Proximity Correction), and high performance resist, we still can’t give up for 248nm wave technology 130nm node and beyond. But there are some major challenges to reduce MEEF(Mask Error Effect Factor) and understand lens aberrations. This paper will try to find out mutual relationship between 248nm 0.8NA exposure lens aberration and actual patterns. Influence of lens aberration on patterning characteristic will be investigated by using in house simulation tool.
Challenges of implementing 193nm lithography in printing sub-70nm line patterns for thin film heads
Chun-Ming Wang, Justin J. Hwu, Timothy J. Minvielle
The rapid increase in the areal density of hard drives has demanded a parallel improvement in component technology. In particular, the development of new thin film heads (TFH) requires the constant reduction of read head track widths. State-of-the-art lithography techniques have been invoked to keep pace with the need for smaller read-head patterning. The critical feature in the read head is the sensor width, MRW. The aggressive roadmap for the thin film head industry makes it essential to print 70nm isolated lines or below for the next generation. KrF lithography, extensively used in the current node, is inadequate to produce read heads with 70nm and narrower isolated lines. Optical lithography will need to transition to 193nm for products of the future generation. This paper reviews the challenges posed by the transition from KrF to ArF lithography in thin-film head processes. Using ArF scanners and binary masks, 100nm isolated lines can be printed with 8nm across-field CD variation. Alternating phase shifting masks (AltPSM) are utilized to further enhance the resolution. Metal deposition into lithographically defined stencils increases the difficulty of stripping photo resist. To facilitate the resist stripping, a release layer like PMGI is often applied beneath the photo resist. However, the resolution improvement from ArF lithography makes it difficult to control the even narrower release layers. In this paper we demonstrate an alternative approach, a bridge structure, to lift off photo resist. The results show the success of constructing 4um-long bridges with sub-100nm track width. Therefore this can be a promising alternative means of producing MRW.
Poster Session: 157 nm
icon_mobile_dropdown
High-power excimer lasers for 157-nm lithography
Stefan Spratte, Frank Voss, Igor Bragin, et al.
According to the ITRS-Roadmap, the 157 nm wavelength of the F2-laser is the most likely solution to extend the optical lithography for production of ICs with critical dimensions below 70 nm down to the 50 nm node. This requires high power, high repetition rate F2-lasers with highest reliability, operating in the power range of more than 40 W at repetition rates of at least 4 kHz. In the recent three years strong efforts have been done in order to investigate and develop all kind of materials, technologies and devices which are necessary to introduce the 157 nm lithography for high volume mass production in the year 2004/5. Towards this road Lambda Physik has developed a 4 kHz line selected F2-laser with an output power of 20 W meeting the spectral performance requirements and therefore suitable for pilot 157 nm scanner. In order to reach an output power of 40 W under retention of the required spectral performance, we are now concentrating on the output power increase which comprises a new tube design, a modified discharge and charging circuit. In this paper the laser performance data which has been verified and measured by existing and improved 157 nm metrology as well as new findings on general F2-laser properties at high repetition rate, high power operation will be discussed. The prototype 4 kHz line selected F2-laser gains benefit from the outstanding long term reliability of the resonator optics. The field proven NovaLine F2020 optics modules are only slightly modified for 4 kHz operation. Lambda Physik will present appropriate reliability data which had been confirmed from field application showing laser tube and optical modules life times passing 5 Bio shots at 2 kHz repetition rate operation.
Overcoming the resolution challenge using special illumination techniques to print 50/50-nm nested contact holes at 157-nm wavelength
Several approaches to printing 50/50 nm nested contact holes are described and compared using lithographic simulations (ProlithTM 7.1). The approaches used include: off-axis quadrupole illumination and attenuating phase-shift mask with optimized polarization of the illumination; chromeless alternating phase shift-masks (CAPSM) in conjunction with special polarization schemes; immersion lithography with extremely high numerical aperture (NA) at 157 nm wavelengths; and EUV lithography. We show how the limits of the off-axis illumination technique can be pushed with the use of radial polarization and how the mask bias (or background transmission) can be used to optimize the image. Resolution limits are further pushed with 2D chromeless alternating PSM combined with the radial polarization. We show that with radial polarization, high-contrast images can be obtained and high-quality contact holes at 100 nm pitch can be printed using negative photo-resist. It is shown that, with immersion in a liquid of refractive index equal to 1.5, standard attenuating PSM with quadrupole or quasar illumination with unpolarized light and positive photo-resist will allow the printing of 100-nm-pitch contact holes. We compare these findings with results obtained at an EUV wavelength to confirm that imaging at an EUV wavelength and low NA can also provide excellent conditions to print 100-nm-pitch contact holes.
Spectral dynamics analysis of utlra-line-narrowed F2 laser
We have developed an ultra-line-narrowed, high-repetition-rate, high-power injection-locked F2 laser system for 157 nm dioptric projection systems under the ASET project “F2 Laser Lithography Development Project”. A spectral bandwidth of < 0.2 pm (FWHM), an output power of > 25 W, and an energy stability (3-sigma) of < 10 % at 5 kHz repetition rate was successfully obtained by using a low-power ultra-line-narrowed oscillator laser and a high-gain multi-pass amplifier laser. These parameters satisfy the requirements of exposure tools. A numerical simulation code that can simulate the spectral dynamics of the F2 laser under different operation modes such as free running operation, line-narrowed operation, and injection-locked operation, has also been developed. Using this simulation code, it is found that the instantaneous spectral bandwidth narrows monotonously during the laser pulse, and a narrower spectral output can be obtained by seeding the tail area of the line-narrowed F2 laser pulse. And the line-narrowing operation of the oscillator laser and the behavior of the injection-locked laser system can be predicted very precisely with this simulation code. The development of F2 laser for microlithography will be accelerated by this new simulation code.
Development of an organic bottom antireflective coating for 157-nm lithography
Shigeo Irie, Masato Shigematsu, Seiro Miyoshi, et al.
In 157-nm lithography, an organic bottom-antireflective-coating (BARC), which has been mainly used as an antireflective technology in KrF or ArF lithography, is needed to reduce reflection from the substrate under the resist. To apply a conventional BARC to 157-nm lithography, the BARC thickness must be thinner than the BARC thickness used in KrF or ArF lithography. Because conventional BARCs have a lower dry-etching rate than resists with a fluorinated polymer for 157-nm lithography and the thickness of remaining resist after BARC dry-etching may be greatly reduced. Moreover, the substrate reflection under a conventional thin BARC cannot be completely controlled since the k-value of the extinction coefficient at a 157-nm wavelength is small. Therefore, a BARC material for 157-nm lithography must have a higher k-value at the 157-nm, a higher dry-etching rate than resists with a fluorinated polymer, good matching between the fluorinated resist and the BARC material to ensure a good resist pattern shape, and low outgassing from the BARC material. In this paper, we evaluate a newly developed BARC material (NCA646) for 157-nm lithography. We found that the k-value of this BARC material was 0.45 (1.8 times that of a conventional BARC (DUV30J; Brewer Science, Inc)), and the ratio of the dry-etching rate to that of a KrF resist was 1.53 (1.6 times that of DUV30J). These improvements were achieved by introducing a new chromophore into a BARC polymer of novolak resin. Furthermore, the amount of outgassing from the BARC material when irradiated by 157-nm light was close to 0 ng (irradiated condition; 100 mJ/cm2), and resist patterns with no footing were obtained with four kinds of fluorinated resist on this BARC material. We concluded that this BARC material was suitable for 157-nm lithography.
Impact of attenuated phase-shifting mask for 157-nm lithography with high numerical aperture lens
A phase-shifting mask (PSM) is one of the most effective resolution enhancement technologies to improve the resolution limit and process margins such as exposure latitude (EL) and depth of focus (DOF). The attenuated phase-shifting mask (Att-PSM) is the most practical PSM, because it has a simple structure and can be easily fabricated. However, it is very difficult to evaluate the impact of using Att-PSMs on the resolution limit and process margin, under the condition of both a shorter wavelength and higher numerical aperture (NA). The reason is that the resolution improvement of the Att-PSM is very small under the above condition. In this study, we investigate the impact of using the Att-PSM instead of a binary mask under the conditions of shorter wavelength (157-nm) and higher-NA (0.85-NA). We evaluated the resolution limit by both aerial image simulation and exposure experiment. The aerial image simulation confirmed that the resolution improvement in the line and space pattern that can be expected from an Att-PSM of 5% transmittance diminished by decreasing wavelength and increasing NA. In particular, when a wavelength of 157-nm and an NA of 0.85 are used, we obtained a 6% resolution improvement compared to the binary mask. In the exposure experiment, we obtained an 11% resolution improvement when using a TaSiOx-type Att-PSM of 5.7% transmittance. From these results, we found that the Att-PSM can be used to fabricate smaller size features even shorter wavelength of 157-nm and the higher NA of 0.85.
Bottom antireflective coatings (BARCs) for 157-nm lithography
The 70-nm technology node is projected to go into manufacturing production by late 2004. The most promising technology for the 70-nm technology node of semiconductor devices is 157-nm lithography. Although advances in developing 157-nm technology have been hampered by greater challenges than originally expected, considerable progress has been made. Great efforts have been made to improve the exposure tool, the laser, the resist materials, the resist processing, the mask materials, and bottom anti-reflective coatings (BARCs). BARCs are essential in achieving the 70-nm-node resolution target by minimizing the substrate reflectivity to less than 1% and planarizing substrates. This paper will describe the various design considerations for a workable 157-nm BARC, including optical constants, thermal stability, photo stability, etch rate and selectivity, resist compatibility, film conformality, coating quality, and lithography profile. It will demonstrate that to maintain less than 1% reflectance for a 157-nm BARC, the value of refractive index n (real) must be from 1.3 to 1.8 and that of k (imaginary) must be from 0.26 to 0.6, determined by Prolith modeling. The refractive index ranges are set as optical constant targets for the design of BARCs formulations. The photoresist profiles from 157-nm lithography utilizing our developed BARCs will also be presented.
Poster Session: Image Quality Assessment
icon_mobile_dropdown
Wavefront aberration measurement in 157-nm high numerical aperture lens
157-nm lithography is being investigated for the sub-65nm technology node of semiconductor devices. Many efforts have been reported on the exposure tool, the F2 laser, the resist materials, the resist processing and the mask materials. A critical component for the success of this 157-nm lithography is the availability of high numerical aperture (NA) lenses that lead to higher resolution capability and a larger process margin. It was reported in a previous article that a 0.85 high NA 157-nm microstepper has demonstrated a resolution capability of 55 nm dense line and space features in combination with an alternating phase shirting mask and using a 120nm thick fluoropolymer resist. The influence of the intrinsic birefringence of the CaF2 lens material on the wavefront aberrations of the projection optic was also experimentally confirmed. In this paper, the effect of the wavefront errors on the imaging performance will be discussed from an evaluation of the short-range flare and the local area flare present in the high numerical aperture (NA) lens.
Experimental assessment of pattern and probe-based aberration monitors
First experimental evidence of the high sensitivity of interferometric-probe based aberration targets on phase-shifting masks is presented. Measurements were made on an AIMS tool modified for NA = 0.2 with 150 μm imaging and 300 μm illumination pinholes to match an inadvertent 4× oversizing of the layout dimensions. Calibration of the actual NA (= 0.18) was accomplished through known phase-edge distances and comparison of images of isolated probes and large features with aerial image simulation. Even though only two-ring versions of the targets were measured the peak of the 90 deg. central probe in the defocus target increased linearly with focus at a rate of 47% of the clear field per Rayleigh unit (RU) of defocus when measured over a ±1/2 RU interval about best focus. The focal position can be measured to within 1/40 RU and the prediction of best focus on an absolute basis agrees with that determined by the Strehl ratio to within 1/35 of a Rayleigh focal length. The two-ring spherical and higher-order spherical targets showed decent orthogonality to focus with changes in their central peak intensities of only 0.47 and 0.37 of that of the defocus target even when viewed at an NA 10% smaller than their design.
Tool ranking using aberration measurements in a high-volume manufacturing facilility
Cesar M. Garza, Scott P. Warrick, Gary Stanley Seligman, et al.
The exposure tool is a critical enabler to continue improving the packing density and transistor speed in the semiconductor industry. In addition to increasing resolution (packing density) a scanner is also expected to provide tight control of the Across Chip Linewidth Variation, ACLV, (transistor speed). An important component of ACLV is lens aberrations. Techniques that measure in-situ the lens aberrations are now available. In a previous paper we reported good agreement between the first 25 Zernike coefficients measured in-situ using one of these techniques ARTEMIS and PMI (Phase Metrology Interferometry) data collected at the lens manufacturer. However questions have arisen as to the practicality of ARTEMIS, especially in view of its heavy reliance on a very large number of SEM images. We have measured the first 25 Zernike coefficients for 13 ASML 500/700 DUV Step & Scan systems in a high-volume wafer fab. In this paper we report on certain enhancements that were made to the best practice of ARTEMIS. We will also present a summary of the measurements taken and our first attempt to cluster the tools according to the aberrations measured.
Monolithic detector array comprised of >1000 aerial image sensing elements
Roderick R. Kunz, Dennis D. Rathman, Steven J. Spector, et al.
A monolithically integrated multi-element photodiode array with 7749 discrete detectors has been fabricated where each sensing element is equipped with a sampling aperture to allow for aerial image measurements with high spatial precision in the focal plane of lithographic lenses. As currently configured, any one of seven 1107-element linear arrays can be used at a given time to allow sampling across the long axis of a scanner lens. The individual elements are located 24 microns apart and are broken down into 27 sets of 41 distinctly different aperture types, with each set spaced 1 mm apart. In addition, the sampling apertures on the device are small enough to allow the device to act as a polarization sensor with high (<50 microns) spatial resolution. The high speed analog output amplifier allows for complete 1107-element images to be obtained at the full repetition rate of lithographic lasers (2KHz).
Evaluating scanner lens spherical aberration using scatterometer
Changan Wang, Gary Zhang, Colin L. Tan, et al.
Lens spherical error is an important lens aberration used to characterize lens quality and also has a significant contribution to across chip line width variation (ACLV). It also impacts tool-to-tool matching efforts especially when the optical lithography approaches sub-half wavelength geometry. Traditionally, spherical error is measured by using CD SEM with known drawbacks of poor accuracy and long cycle time. At Texas Instruments, an in-house scatterometer-based lens fingerprinting technique (ScatterLith) performs this tedious job accurately and quickly. This paper presents across slit spherical aberration signatures for ArF scanners collected using this method. The technique can successfully correlate these signatures with Litel lens aberration data and Nikon OCD data for spherical aberration errors as small as 10mλ. ACLV contributions from such small spherical errors can be quantified using this method. This provides the lithographer with an important tool to evaluate, qualify and match advanced scanners to improve across chip line width variation control.
Pupil-fill imperfections and their effect on lithography
As lens aberrations are continuously reduced, the effects of imperfections in the pupil fill, or the partial-coherence pattern produced by the illuminator of a lithographic tool, are rising up out of the noise level and becoming important. Imperfections in the pupil fill of Nikon S204-generation scanners were previously measured and found to have minimal lithographic effect. Now, pupil-fill effects in new-generation high-NA scanners have been measured and characterized. We present a systematic study of pupilgrams, measured with a pinhole reticle while exercising illuminator adjustments, and correlate them with simultaneous measurements of CD uniformity and V-H bias to evaluate the importance of the illuminator in overall CD performance. We also present two novel analysis schemes that are used to assess the pupilgrams and are shown to be reasonable predictors of CD performance.
Poster Session: Simulation and Analysis
icon_mobile_dropdown
Programmable lithography engine (ProLE) grid-type supercomputer and its applications
There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc.’s (PAL’s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown. Topics covered describe why ProLE solutions are needed from an economic and technical aspect, a high level discussion of how the distributive system works, speed benchmarking, and finally, a brief survey of applications including advanced aberrations for lens sensitivity and flare studies, optical-proximity-correction for a bitcell and an application that will allow evaluation of the potential of a design to have systematic failures during fabrication.
Domain decomposition methods for simulation of printing and inspection of phase defects
A detailed study of the domain decomposition method by edges (edge-DDM) is used to show the smallest feature sizes that can be accurately simulated using the decomposition, and thereby understand the domain of applicability for this simulation method during printing. For features in arbitrary layouts, edge-DDM is accurate to better than 1% for any mask lateral feature dimension of 2l or longer. The smallest feature dimension could be even smaller, depending on the types of edges present in the layout. Design graphs are produced to show the smallest feature dimension for any specific layout. In general, the TE polarization limits the edge-DDM applicability, and extensions to model the boundary interactions at small CDs may be able to reduce the smallest feature dimension to equal that of the TM polarization, resulting in a 1 - 1.5 λ minimum dimension. A 'defect projector' method for rapidly and accurately simulating defect printability is introduced and combined with edge-DDM. Calculations of the CD change from the defect projector method agree with calculations of CD change from rigorous simulations with embedded defects to within 30%, despite low NILS values from the test structure used in this study. Finally, the impacts on edge-DDM accuracy for both off-axis illumination and the larger numerical apertures utilized during inspection are investigated. Results show that high spatial frequency errors resulting from edge-DDM synthesis of the near fields are problematic for inspection. Asymptotic anticipation is suggested for modeling the high frequency components.
Theoretical consideration on quantum lithography with conventional projection
Toru Fujii, Naoki Fukutake, Hisao Osawa, et al.
At the end of last century, the name of “quantum lithography” has been emerged. This exciting approach was proposed for making a resolution two times higher than that of the conventional optics without changing a wavelength and a numerical aperture. For those who want optical lithography to last long, this has been thought to be a great technology. However, an applicability of the proposed method to the current exposure system i.e., reduced projection exposure system has not yet been examined clearly. We have investigated the proposed quantum lithography to apply into the current exposure system using reticle. For simplicity, coherent illumination i.e. sigma is zero condition is used for calculation. Our quantum lithography compatible to mask exposure system explains probability of one and two photon absorption on the image plane i.e. on wafer. We have shown that the half-wavelength quantum lithography using conventional mask exposure system is impossible because diffraction at the mask makes biphoton into two photon. We have found that there is still super-resolution quantum lithography using mask exposure, however, there is little possibility of quantum lithography practically today because biphoton light source is as dark as stars. To realize quantum lithography practically, further development of not only biphoton light source but also two-photon absorption resist is indispensable.
Process sensitivity and optimization with full and simplified resist models
While numerical simulation is generally regarded as indispensable for wavefront engineering tasks such as OPC decoration and phase-shift mask design, full resist models are rarely used for this purpose. By "full resist models", we mean models derived from a physical, mechanistic description of the chemical response of the photoresist to exposure and the subsequent PEB and develop processes. More often, simplified models such as an aerial image threshold model or the Lumped Parameter Model (LPM) are used because these models are much faster and make optimization of optical extension technology more tractable. In a previous study, we examined the differences between the process windows calculated with full and simplified models, and we showed that the aerial image threshold model was not capable of describing even the qualitative shape of the process window calculated with the LPM and the full physical models in PROLITH. However, the comparison in our previous study was for an isolated line resist, and this class of resists typically has low contrast in order to improve depth of focus. In the current study, we compare the aerial image threshold model, the aerial image threshold with resist bias model, and the Lumped Parameter Model with the full physical models in PROLITH. All of the models are evaluated for simulating the response of both high and low contrast resists, and then we compare the resulting models' ability to predict process windows, line end shortening and defect printability.
Resist footing variation and compensation over nonplanar wafer
Takashi Sato, Ayako Endo, Kohji Hashimoto, et al.
This paper reports a problem regarding DUV lithography on topographical substrate and solution for obtaining desired CD control and resist pattern shape. In our experiment, large footing for 250 nm resist pattern was observed when the resist pattern was transferred over polysilicon step pattern of 175nm in height. This pattern error is not negligible regarding device performance. The exposure tool used was a KrF scanner of NA0.6. Resist was 500 nm thick with no ARC. Computer simulation was used to demonstrate the amount of footing. A non-rigorous diffraction model did not recreate the footing appearance at the poly-Si step. However, a rigorous diffraction model of incident light in a cone recreated the footing amount at the poly-Si step faithfully. In this simulation, optical distribution in the resist over the nonplaner wafer was solved by the FDTD method. Optical intensity at sidewall of the step differs between the two models. Experimental results as well as simulation results showed that the amount of the footing depended on a coherency factor of illumination. Larger coherency resulted in larger footing. In the case of a large coherency the illumination rays come from various directions to the wafer, and a large shadow area is likely to appear behind the steep step. As a consequence, optical behavior in the vicinity at the steep step has a strong impact on the resist footing.
Comparison of vector theories for aerial image calculation
Byoung Sup Ahn, Sonny Y. Zinn, Sung-Woon Choi, et al.
In simulation of optical lithography it is well known that for high-NA the coupling between the vector components of the electromagnetic wave cannot be ignored and a vector diffraction theory should be employed to calculate aerial images. Commercial programs produce the same aerial image for scalar diffraction theory. When vector models are selected, they give the consistent images in latest versions. However formula of vector models give the different mathematical formula. To understand the origin of the difference, we compared the vector models adopted by Refs.
Validity of the diffused aerial image model: an assessment based on multiple test cases
Lithography modeling is a very attractive way to predict the critical dimensions of patterned features after lithographic processing. In a previous paper, we have presented the assessment of three different simplified resist models (aerial image model, aerial image convolved with fixed gaussian noise and aerial image convolved with variable gaussian noise) by using a systematic comparison between experimental and simulated data. It has been shown that the aerial image convolved with fixed gaussian noise, or "diffused aerial image model" (DAIM), exhibits surprisingly good results of CD prediction for lines @ 193nm. Using these datasets, the DAIM appeared as an accurate model for CD prediction. This approach allows also an easy run, and because it needs only four adjustable parameters, it avoids the difficult task of resist parameters extraction associated to full resist models. In this paper, we enlarge the datasets used for the assessment of the DAIM by considering both lines and contact holes of various sizes printed at different wavelengths. The reference wafers have been printed at 248nm, 193nm and 157 nm. The procedure used to extract the model parameters has been improved and now needs less data to provide acceptable values. We will show that the validity of the DAIM extends well outside the results presented in Ref. 1. Experimental data printed using various wavelengths, resists and exposure tools can be simulated accurately with CD prediction error ranging within few percents. It is to be noted that the results that will be presented on contact holes data indicate that the model is valid for 2D features. Finally, a comparison with full resist models shows that the accuracy of DAIM is comparable to more sophisticated and heavier models.
Practical resist model calibration
Pary Baluswamy, Amy Weatherly, Dave Kewley, et al.
The lack of calibrated resist models has lead to a reliance on aerial images. This has limited capability of simulation to predict printed image shapes and CDs, especially in low K1 regimes. Calibration of resist models for matching simulation to pattern on wafers has always been a challenge due to various reasons. The primary problem is the large number of model parameters that need to be optimized. Another problem is the uncertainty associated with measurement of even the most basic parameters like thickness and refractive index. The amount of time and effort that is needed to calibrate the multitude of parameters is impractical in most situations. Some authors have taken the approach of optimizing a subset of parameters while retaining arbitrary default values for the rest. This leaves one wondering about the need for such models in process optimization and if a simpler empirical model would be sufficient. In this paper the various models are reviewed and the ones needing the smallest set of parameters are selected for calibration using a commercial resist modeling software package. The results of the calibration are checked against actual lithographic performance.
Image-blur tolerances for 65-nm and 45-nm node IC manufacturing
The deployment of 157nm lithography for manufacturing of integrated circuits is faced with many challenges. The 65 and 45nm ITRS nodes, in particular, require that the lithographic imaging technology be pursued to its theoretical limits with full use of the strongest resolution enhancement techniques. Stringent demands are therefore placed on the quality of the imaging optics to attain the optimal image fidelity for all critical IC device structures. Besides aberrations and light scatter in projection optics, image quality is also strongly influenced by the dynamics of the wafer and reticle stage. The tradeoffs involved in increasing scan speeds and exposure slit-widths, to achieve the ever-important productivity improvements as well as aberration, distortion, and pulse-energy averaging, must be carefully gauged against the image quality impacts of scan-induced errors. In this work, we present a simulation methodology, based on incoherent image superposition, for treatment of the general aerial image effects of transverse image-blur in two dimensions. Initial simulations and experimental results from state-of-the-art 193nm scanner exposures are discussed. The requirements for the transverse image stability during a step-and-scan exposure are defined in the context of 193nm and 157nm lithography, based on generalized image contrast and process window criteria. Furthermore, careful consideration of actual mask layout (post resolution enhancement and optical proximity correction) is necessary in order to understand the implications on CD control. Additionally, we discuss the contributors to transverse image blur in scan-and-repeat lithography, and show that the fading requirements for 65nm and 45nm node imaging notably differ from predicted exposure set-up and process contributions in manufacturing. The total fading budget, or tolerance, for the 65nm node is 15nm, and less than 10nm for the 45nm node given the present imaging strategy assumptions. This work concludes that image-blur contributors must be well controlled, and as such are enablers of 65nm and 45nm lithographic imaging.
Aberration optimizing system using Zernike sensitivity method
Yasuo Shimizu, Tadashi Yamaguchi, Kousuke Suzuki, et al.
We introduce a projection lens adjustment procedure that is customer application oriented. This technique is based on the simulated imaging performance using Zernike sensitivity, the measurement results of wavefront aberration and wavefront change by lens element position change. This system finds the optimum combination of lens position where the amount of specific imaging performance error is in tolerance. In this paper, the idea of optimization and some optimization results are shown.
Web tool for worst-case assessment of aberration effects in printing a layout
A web-based tool is presented that analyzes the worst-case effect of lens aberrations on projection printed layouts. These effects are important to the designer since they can be half as large as those of OPC. They can easily be detected by scanning through the layout and matching the inverse Fourier transform of the aberration function to the local layout geometry at each location of interest. The software system for detecting and quantifying these effects is based on a client/server model, where the user interface runs on the client side as a Java applet and the server has access to the binaries and performs all of the heavy numerical processing. The online system provides direct access to this lithography tool, allowing the user to create custom aberration patterns with Zernike polynomials and input custom mask layouts in either CIF or GDS II formats. As a result of the simulation run, the user is provided with a JPEG image of the match results as well as a text file listing match statistics including coordinate locations of the best matches and the match factors.
Evaluation of Zernike sensitivity method for CD distribution
Toshiharu Nakashima, Steve D. Slonaker, Takehito Kudo, et al.
Wavefront aberrations of the projection lens are measured in many situations. The results are expressed by coefficients of Zernike polynomials that can be used as a basis for critical dimensions (CD) performance evaluation. Here we investigated several methods to obtain the CD distribution from the Zernike coefficients. We present three unique methods, called “Transformation of CD-Focus", "Conversion of Defocus into Aberrations" and “Response Surface of Aerial Image". These methods calculate CD distribution faster than direct simulations. Five tests with different cases were conducted to compare the three methods. Their accuracies are reported.
Boundary layer model to account for thick mask effects in photolithography
Jaione Tirapu-Azpiroz, Paul Burchard, Eli Yablonovitch
The lack of transparent optical components at short wavelengths limits the available wavelengths in Deep Ultraviolet lithography, while the required minimum feature on wafer continues to shrink towards deeper sub-wavelength scales. This places a serious limitation on Kirchhoff boundary conditions that replace the field on the mask openings by the incident field, since this approximation fails to account for the increasingly important topographical effects (thick mask effects) in the computation of the lithographic image. In this paper we present a sophisticated various on Kirchhoff approximation capable of modeling rigorous near field effects while retaining the simplicity of the scalar model. Our model is based on a comparison of the fields produced by both the thick and ideal thin masks on the wafer. Polarization and edge diffraction effects as well as phase and transmission errors, are included in our model.
Poster Session: Exposure Tools, Subsystems, and Related Topics
icon_mobile_dropdown
Accelerated damage to blank and antireflectance-coated CaF2 surfaces under 157-nm laser irradiation
Vladimir Liberman, Mordechai Rothschild, Stephen T. Palmacci, et al.
Successful insertion of 157-nm lithography into production requires that materials comprising the optical train meet the lifetime requirements of the industry. At present, no degradation of bulk fluoride materials has been observed for at least up to 109 pulses. However, last year we reported on the surface damage to fluoride materials that appeared after 3-4x109 pulses at moderate fluences of 3-4 mJ/cm2/pulse2. This damage manifested itself as a precipitous transmission drop of up to 50% at 157 nm and was accompanied by the formation of a porous rough surface layer about 0.20 μm thick. Understanding this surface damage is important for the durability of laser windows and beam delivery optics, and it may also help elucidate fundamental 157-nm photophysics of fluoride surfaces. To understand the underlying phenomena, we have designed and constructed a new accelerated damage test chamber. The chamber utilizes 157-nm light from a lithography-grade laser operating at 1000 Hz. Inside the chamber, light is focused onto the sample to a submillimeter spot size. The chamber allows us to test in-situ transmission of multiple spots on a given sample over a range of fluences up to 140 mJ/cm2/pulse without breaking purge. We have used this chamber to understand the scaling of the damage mechanism for both uncoated and antireflectance (AR) -coated CaF2 samples as a function of laser repetition rate and fluence. Substrate damage appears to be governed by a complex set of mechanisms, both thermal and non-thermal in origin. Preliminary damage studies of AR-coated substrates show that AR-coating related degradation occurs well before the onset of the substrate surface damage.
Compaction and rarefaction of fused silica with 193-nm excimer laser exposure
J. Martin Algots, Richard Sandstrom, William N. Partlo, et al.
Extensive testing of the laser damage behavior of fused silica has been performed over the past few years by several researchers. The results have shown that compaction and rarefaction / expansion of the material can occur. The actually observed process depends on the used energy density and laser pulse number at constant pulse length. In order to check the influence of the different laser parameters in more detail, an experimental set up has been constructed that allows us to investigate not only the influence of the energy density and laser pulse number but also the effect of the integrated square pulse width on the laser damage behavior. An optical delay line is used to create a longer integrated pulse width than the natural laser pulse width. To make these tests relevant to the microlithography community, the integrated energy densities chosen for these tests span the range typically found in the projection optics of a 193-nm excimer laser-based microlithography tool. The samples are exposed to several billions of pulses with wavefront measurements made periodically.
193-nm detector nonlinearity measurement system at NIST
To meet the semiconductor industry’s demands for accurate measurements on excimer lasers, we have developed a system using the correlation method to measure the nonlinear response of pulse energy detectors of excimer laser at 193 nm. The response of the detector under test to incident laser pulse energy is compared to the corresponding response of a linear monitor detector. This method solves the difficulties caused by large pulse-to-pulse instability of the excimer laser and delivers measurement results with an expanded uncertainty (k=2) of 0.8 %.
New lens barrel structure utilized on the FPA-6000AS4 and its contribution to the lens performance
In order to respond to the requirements from the semiconductor industry, a projection optics utilizing an ArF laser as the illumination source is being developed. The projection optics equipped on the FPA-6000AS4 has been designed with 0.85 NA and field size is 8 mm X 26 mm. The goal was to achieve an extremely small aberration level in order to satisfy the requirements for the 90nm node patterning device manufacturing. In addition, to achieve this performance, the lens-barrel structures have been redesigned from the conventional barrel type. Thus, it becomes possible to lower the aberrations generated in the lens manufacturing process to the minimum level. We developed the new lens-barrel structure to minimize the stress induced deformation placed on an optical element. This structure, which is called the SP-barrel structure, allows stable lens performance in spite of temperature change and the mechanical impact, compared with earlier structures. Moreover, it has been proven that this structure can reduce the deterioration of the lens performance due to manufacturing errors. This allows the lens performance to closely approach the intentions of the optical design. This report describes the performance enhancements for the FPA-6000AS4 projection optics. In particular, we discuss the lens barrel design, along with exposure test results and wavefront aberration measurement results.
Excimer lasers for superhigh NA 193-nm lithography
Rainer Paetzel, Hans Stephan Albrecht, Peter Lokai, et al.
Excimer lasers are widely used as the light source for microlithography scanners. The volume shipment of scanner systems using 193nm is projected to begin in year 2003. Such tools will directly start with super high numerical aperture (NA) in order to take full advantage of the 193nm wavelength over the advanced 248nm systems. Reliable high repetition rate laser light sources enabling high illumination power and wafer throughput are one of the fundamental prerequisites. In addition these light sources must support a very high NA imaging lens of more than 0.8 which determines the output spectrum of the laser to be less than 0.30 pm FWHM. In this paper we report on our recent progress in the development of high repetition rate ultra-narrow band lasers for high NA 193nm microlithography scanners. The laser, NovaLine A4003, is based on a Single Oscillator Ultral Line-narrowed (SOUL) design which yields a bandwidth of less than 0.30pm FWHM. The SOUL laser enables superior optical performance without adding complexity or cost up to the 4 kHz maximum repetition rate. The A4003's high precision line-narrowing optics used in combination with the high repetition rate of 4 kHz yields an output power of 20 W at an extremely narrow spectral bandwidth of less than 0.30 pm FWHM and highest spectral purity of less than 0.75 pm for the 95% energy content. We present performance and reliability data and discuss the key laser parameters. Improvements in the laser-internal metrology and faster regulation control result in better energy stability and improved overall operation behavior. The design considerations for line narrowing and stable laser operation at high repetition rates are discussed.
Stage accuracy results using interferometers compensated for refractivity fluctuations
Philip D. Henshaw, Pierre C. Trepagnier, Robert F. Dillon, et al.
Air refractivity changes, which include pressure, temperature, and composition effects, affect the performance of the Helium-Neon (HeNe) interferometer used to control the wafer and reticle stages of a step-and-scan lithography system. nanAlign is an auxiliary interferometer system designed to compensate for errors induced in a HeNe interferometer by refractivity changes. We conducted wafer exposure tests of nanAlign with 116 total wafers; 60 wafers with the same field order for each pass are discussed in this paper. We found that nanAlign measurements made on the x-axis could be used to improve the overlay in the y-axis. Over the entire ensemble of 60 wafers, the improvement of the x-axis was 0.6 nm, and the improvement of the y-axis was 0.4nm. Over the entire ensemble the worst wafers showed the most improvement, and there was some improvement on almost all wafers under a wide variety of conditions.
High-performance beam stabilization for next-generation ArF beam delivery systems
Leonard Lublin, David Warkentin, Palash P. Das, et al.
With the advent of 193 nm systems processing 300 mm wafers, the production lithography cell is about to undergo a technology shift. The mechanism for delivering the beam from the light source to the illumination system, here referred to as a Beam Delivery Unit (BDU), must change to meet the challenges imposed by this shift. To support these changes, Cymer is developing a BDU that will guarantee a stable beam at the scanner entrance during exposure. The beam stabilization control system has been implemented in a test BDU. We shall present results from experiments that demonstrate our ability to significantly improve short and long term “Beam Stability”.
Dual-chamber ultra line-narrowed excimer light source for 193-nm lithography
Vladimir B. Fleurov, Daniel J. Colon III, Daniel J. W. Brown, et al.
Since the announcement in March 2002 of plans to develop an advanced light source to meet the future spectral power and cost requirements of photolithography, we have made significant progress in the development and productization of the core technology for an ultra line-narrowed, excimer light source based on a master oscillator-power amplifier (MOPA) approach. In this paper, we will focus on the architecture and performance of the first generation of production-ready, MOPA-based ArF light sources developed at Cymer, Inc. This first generation of MOPA-based ArF light sources is referred to as the XLA 100 product series.
Ultra line-narrowed ArF excimer laser G42A for sub-90-nm lithography generation
193-nm lithography is going to move from pre-production phase to mass production phase and its target node become narrowing from 90 nm to 65 nm. In these situations, the laser manufacture needs to provide the high durable ArF excimer laser, which has superior spectrum performance. Gigaphoton has already introduced 4 kHz ArF laser (model G41A) to 193-nm lithography market, which produce 20 W and spectrum bandwidth of 0.35 pm (FWHM). G41A has showed high reliability and long lifetime over 5 billion pulses. In this paper, we report on the 4 kHz ArF excimer laser for mass production, model G42A, which has 20 W, spectral bandwidth less than 0.3 pm (FWHM) and a spectral purity less than 0.75 pm (E95).
New concerns with the design of filters for the protection of lithography optics
Andrew J. Dallas, William Ding, Brian Hoang, et al.
The optimal medium in which DUV resists are exposed is becoming increasingly under investigation by lithography tool manufacturers. These medium requirements have created even more design restrictions for effective filtration methods. Traditional airborne molecular contamination control in tracks and exposure tools has focused on the removal of weak bases that poison the resist. Newer concerns, including; the degradation of optics, changes in resist sensitivity, the index of refraction and the demands of tighter geometries, all contribute to the need for quantification and control of gas-phase contamination within exposure tools. As a result, filter manufacturers are required not only to remove a broader spectrum of contaminants (e.g. organic and acid gases), but to supply removal efficiency data, under a variety of conditions including; variable challenge concentrations, mixed stream contamination, humidity (dew points), flow rates, and temperatures. The paper will address these new concerns focusing on the efficiency effects of relative humidity for various contaminant streams using a variety of filter media. In addition, the removal of hydrophilic compounds such as ammonia and sulfur dioxide when drying an air stream will also be considered. This ongoing study has contributed to the design of a point-of-use air-shower filter used to protect lithography lenses. Preliminary field tests by a major manufacturer indicate that the removal of the specified bases, acids and total organics is below detection limits of <0.1 ppb.
Outlier rejection with mixture models in alignment
Shinichi Nakajima, Yuho Kanaya, Mengling Li, et al.
Outliers in measurement often interfere with alignment. They are caused by sudden damages in the alignment mark, and existence of particles, resist damages and so on. In a conventional way to identify outliers, the observations that have larger residual than previously determined threshold are identified as outlier. It works well only with the operator’s labor of adjusting the threshold according to the deviation of ordinaries (non-outliers). However, labor is a problem especially in Small-Quantity Large-Variation fabrication such as for ASIC, System-LSI and so on. A novel method for elimination of the labor has been developed. It utilizes normal mixture models whose number of components is determined based on the Maximum Penalized Likelihood (MPL) method. It can be regarded as an identification method that determines threshold adaptively using ordinaries’ deviation. Simulation results show that the penalty coefficient, the only parameter of the method, can be a constant in the variation of ordinarie's deviation. It also shows that in the absence of outliers, the accuracy of the method is comparable with the maximum likelihood estimation that is commonly considered to be the best method when the observations follow the normal distribution. The method performs better than conventional ones when there are a sufficient number of observations (no less than ten) in the standard Enhanced Global Alignment (EGA). Superiority of the adaptive method is dependent upon the probability of outlier occurrence, variation of the situation, the number of observations and the complexity of the model fitted to the observations.
Joint Session: Contamination Issues in Lithography
icon_mobile_dropdown
Ambient effects on the laser durability of 157-nm optical coatings
Vladimir Liberman, Mordechai Rothschild, Stephen T. Palmacci, et al.
We present results of the durability of antireflectance (AR) coatings under laser irradiation with emphasis on the interplay between coating materials and ambient. We find that introducing ppm-levels of water has a dramatic impact on the performance of certain coatings. In particular, no significant degradation of a coating was observed for up to 1MJ/cm2 dose in the presence of ~20 ppm H2O, whereas linear transmission drop of several percent was observed when irradiating a coating of similar design in <0.1 ppm H2O but under 1.5 ppm O2. Cycling water concentration on and off leads a corresponding cycling of transmission of the coatings. Adding water vapor to the ambient has a much greater benefit to coating durability than adding corresponding amounts of gas phase oxygen. In a series of experiments involving the same coating stack with different degrees of porosity of the outer layer, moisture was found to have the greatest impact on the most porous coating.
Immersion Lithography
icon_mobile_dropdown
Immersion lithography: its potential performance and issues
Imaging performance and issues of immersion lithography are discussed with the results of the recent feasibility studies. Immersion lithography has advantage in the numerical aperture of optics by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer. In case of 193nm exposure, water (n = 1.44) has been found as the best liquid. It is shown, by using imaging simulations, that ArF (193nm) immersion lithography (NA=1.05 to 1.23) has equivalent performance to F2 (157nm) dry (NA=0.85 to 0.93) lithography. Six fundamental issues in the ArF immersion lithography are investigated and studied. Results of the study indicate that there are no “show stoppers” that prevent going into the next phase of feasibility study.
Poster Session: Simulation and Analysis
icon_mobile_dropdown
Simulation study of process latitude for liquid immersion lithography
A simulation package has been developed for predicting the influence of immersion, i.e. the presence of a uniform liquid layer between the last objective lens and the photoresist, on optical projection lithography. This technology has engendered considerable interest in the microlithography community during the past year, as it enables the real part of the index of refraction in the image space, and thus the numerical aperture of the projection system, to be greater than unity. The simulation program described here involves a Maxwell vector solution approach, including polarization effects and arbitrary thin film multilayers. We examine here the improvement in process window afforded by immersion under a variety of conditions, including λ = 193 nm and 157 nm, annular illumination, and the use of alternating phase shift mask technology. Immersion allows printing of dense lines and spaces as small as 45 nm with acceptable process window. We also examine the effect of variations in liquid index on the process window and conclude that the index of the liquid must be known to and maintained within a few parts-per-million. This has important implications for the temperature control required in future liquid immersion projection systems.
Poster Session: Exposure Tools, Subsystems, and Related Topics
icon_mobile_dropdown
Measurement of the refractive index and thermo-optic coefficient of water near 193 nm
We discuss our approaches for measuring the absolute index (n), and its dependencies on wavelength (dn/dλ) and temperature (dn/dT), of high-purity water for wavelengths near 193 nm, using the minimum deviation prism method and an interferometric technique. We present preliminary results for these quantities measured by the minimum deviation method.
Poster Session: Simulation and Analysis
icon_mobile_dropdown
Simulation benchmarking
Jacek K. Tyminski, Toshiharu Nakashima, Takehito Kudo, et al.
Photolithography simulation has become a common methodology used in engineering tasks such as critical level patterning analysis and process design, patterning tool qualification to meet the process control requirements, selection of the patterning tools capable of delivering requisite patterning performance, and projection lens tuning for optimum patterning performance. Such diverse use of simulation is motivated by the need to quantify the patterning tradeoffs, when the performance margins collapse around the fundamental process constraints. These complex analysis and design tasks relay on various photolithography simulators available as commercial or proprietary software. The diversity of the available simulators poses two issues: what is the role of numerical methodologies in modifying the simulation analysis otherwise limited by the image formation fundamentals, and to what extend the results obtained with different simulators are similar to each other. In this paper, we present the results of the comparison involving three simulators, two of them commercial. The comparison involved image formation simulations of the current generation of the critical IC designs. The comparison was a basis of a judgment on the portability of simulation analyses obtained by various photolithography simulation tools.
Poster Session: 157 nm
icon_mobile_dropdown
Scattering losses in fused silica and CaF2 for DUV applications
Scattering losses for fused silica were measured over a wide wavelength range (193-800 nm) using different laser sources. The data indicate that scattering centers are smaller than ~ 12 nm, and scattering is consistent with Rayleigh type even at 193 nm. Scattering losses scale with wavelength as 1/λ4, and scattering loss at 193 nm was found to be (0.65±0.08)x10-3/ cm absorption units or (0.15±0.02)% transmission per cm. CaF2 measurements were completed in the visible wavelength range. The experimental approach for DUV wavelength measurements for CaF2 is described. Estimated scattering losses at 193 nm are ~0.003% transmission per cm and ~0.006% transmission per cm at 157 nm. Data for CaF2 indicate deviation from Rayleigh-type scatter.
Poster Session: Exposure Tools, Subsystems, and Related Topics
icon_mobile_dropdown
Deep-UV liquid immersion mastering of high-density optical discs
Helmar van Santen, Jaap H. M. Neijzen
A water immersion technique has been developed to increase the numerical aperture (NA) of a 257 nm optical disc mastering system from 0.9 to 1.2. The developed system is capable of performing point exposures on a rotating disc at scanning velocities of more than 5 m/s. Gas inclusion in the immersion liquid, either from the photo-resist or through the dynamic movement of the substrate could be avoided. Furthermore, unwanted mechanical disturbances were sufficiently suppressed. Contamination of the photo-resist was avoided through careful handling of the water that was applied as immersion liquid. The resulting resolution enhancement was demonstrated in grooves. In 25 nm Novolac type resist, 70 nm wide grooves were written with liquid immersion, compared to 100 nm wide grooves at NA = 0.9. Liquid immersion was also successfully applied to write the master substrate from which a ROM disc can be made through injection molding. ROM discs for the recently launched Blu-ray Disc system have been successfully written with a density corresponding to 25 GB in a single layer of a 12 cm disc.