Show all abstracts
View Session
- Image Quality Assessment
- DRAM and Maskless Lithography
- Lithography Simulation and Analysis
- OPC Techniques I
- Process Optimization and Control I
- Process Optimization and Control II
- Alternating PSM Implementation
- OPC Techniques II
- Additional Paper from Session 9
- Resolution Enhancement Techniques I
- Resolution Enhancement Techniques II
- Mask-related Issues
- Poster Session
- 157-nm Lithography
- Exposure Tools and Subsystems I
- Exposure Tools and Subsystems II
- Contamination Issues in Lithography
- Process Optimization and Control II
- Poster Session
- 157-nm Lithography
- Poster Session
- Resolution Enhancement Techniques I
- Resolution Enhancement Techniques II
- Poster Session
Image Quality Assessment
High-NA lithographic imagery at Brewster's angle
Show abstract
Recent advances have enabled exposure tool manufacturers to ship tools with Numerical Aperture (NA) equals 0.8, and to envision optics with even larger NA. Thus the lithography community must grapple with images formed by oblique waves close to Brewster's angle. (For a typical chemically amplified resist with index of refraction n equals 1.7, Brewster's angle is 59 degree(s), corresponding to NA equals 0.86.) This paper will consider some of the surprising phenomena that occur at such high NA. Both vector diffraction simulation results and experimental results from the IBM interferometric lithography apparatus will be discussed. One of the most interesting modeling predictions is that, near Brewster's angle, the swing curve for TM polarization is much smaller than normal, while the swing curve for TE polarization is much larger than normal, and experimental measurements verify this prediction. Special image cross sections using the Flagello decoration method will also demonstrate the loss of TM image contrast due to vector imaging effects.
Challenges in high NA, polarization, and photoresists
Show abstract
Optical lithography is being pushed into a regime of extreme-numerical aperture (extreme-NA). The implications of the nonscalar effects of high-NA lithography (above 0.50) have been discussed now for many years. This paper considers the consequences of imaging at numerical apertures above 0.70 with the oblique imaging angles required for low k1 lithography. A new scaling factor, kNA, is introduced to capture the impact of low k1 imaging combined with extreme-NA optics. Extreme-imaging is defined as k1 and kNA values approach 0.25. Polarization effects combined with resist requirements for extreme-NA are addressed, especially as they relate to 157 nm lithography. As these technologies are pursued, careful consideration of optical and resist parameters is needed. Conventional targets for resist index, absorption, diffusion, and reflectivity based on normal incidence imaging may not lead to optimum performance without these considerations. Additionally, methods of local and semi-local mask polarization are discussed using concepts of wire-grid polarizer arrays. Back-side and image-side polarization OPC methods are introduced.
Simple estimation of lens aberration with pinhole aperture on the backside of photomask
Show abstract
A novel method for monitoring lens aberration in projection optics of a stepper is developed utilizing pinhole aperture formed on backside of photo mask. With the pinhole aperture, illumination beam to a mark pattern on the front side of a photo mask becomes semi-coherent with an incident angle which is determined by lateral distance between the pinhole and the mark. When the mark pattern generates diffraction beams within narrow angle region, imaging is carried out by using localized area of pupil. As a result, Hartmann test structure is effectively realized by this configuration. By elaboration of mask pattern, measurement error is significantly reduced resulting in sufficient accuracy for monitoring lens aberration in current scan stepper. Simulations by optical image calculation reveal that measurement error is less than 10m wave in RMS and 40m wave in maximum local deviation for an aberration which is expressed with first 35 polynomials of Zernike series. In preliminary experiments, measured aberration seems to be reasonable. This method should provide a simple, easy and cost effective tool for monitoring of lens aberration.
Impact of Zernike cross-term on linewidth control
Show abstract
Recent introduction of phase measurement interferometer (PMI), to measure wavefront aberrations brought about rapid reduction of residual aberrations in stepper and scanner projection lenses. Zernike sensitivity method (ZSM) is useful to capture and to understand the lens aberrations impact on the imaging performances, and to guide the improvements in patterning performance of the projection tools. In this paper we present ZSM for CD-Focus curve capable of precisely predicting CD at any focus position. We found that cross-term interactions of several Zernike combinations impact ZSM for CD-Focus. We present an example of V-H difference for which the cross-term interactions dominate. Aerial image simulation results presented here are illustrated by corresponding to experimental results.
Flare and its impact on low-k1 KrF and ArF lithography
Show abstract
We present a complete method for the characterization and modeling of flare based on the measurement of the modulation transfer function (MTF) of scanners. A point-spread function (PSFscat) representing only the scattered light or flare in the tool is inferred by comparing the measured MTF with a calculated MTF for aberration-free imaging. This PSFscat is then used to predict the effect of flare for different layouts. In particular, local variations in pattern density are shown to couple with mid- and short-range flare and lead to significant CD non-uniformity across the field. Finally, we examine double exposure techniques that are sensitive to flare because of the total light reaching the wafer, from the two masking steps.
Effectiveness and confirmation of local area flare measurement method in various pattern layouts
Show abstract
It is well known that flare, which increases the background intensity and loses the image contrast, degrades the pattern fidelity and CD uniformity. Usually there is little mid and long-range flare at the initial exposure tool introduction except the short-range flare, so called, aberration. However, flare effect is observed in used exposure tools. To estimate the influence of flare, both lens quality of the exposure tool and mask pattern layout with various open ratios are important parameters to be considered. So it is very crucial to make a standard mask layout to measure the flare value as a tool specification. So far, CD variation of the long-range flare has been measured and reported. The long-range flare includes the average influence of the short and mid-range flare and affects more than several hundred- micron distances. Recently it is observed that lens contamination is a dominant component among sources of flare and induced by the pattern layout with its different open ratio. Being contaminated, the lens malfunctions with various types of scattering sources. These scattering sources make the mid and long range flare. This type of flare source has time dependence. If there are proper monitoring methods for the flare measurement, it is possible to maintain the lens quality within the limit of mid range flare. In addition, matching the flare value to CD distribution is not easy because there is no standard measurement method to distinguish the short and mid-range flare from the long-range one. In this paper a LOcal Area Flare Evaluation Reticle (LOAFER) method is suggested. The LOAFER is designed to measure the local area flare of the lens, that is, the short and mid-range flare and the local flare distribution of the exposure tool lens can be characterized. Then matching the result to the real device pattern will be introduced.
DRAM and Maskless Lithography
Is model-based optical proximity correction ready for manufacturing? Study on 0.12- and 0.175-um DRAM technology
Yuping Cui,
Franz X. Zach,
Shahid A. Butt,
et al.
Show abstract
Two full-chip OPC approaches, a traditional rule-based approach and a more recent model-based approach are compared on DRAM applications using both ArF and KrF lithography, with off-axis illumination and phase shift masks. The similarities and differences between these two OPC approaches are compared in detail with selected one- and two-dimensional layout situations. Our results from the model-based approach show good line width control for one- dimensional structures and improved line-end printing for two-dimensional structures; however, results also show severe process window limitations for some layouts. The cause of the process window limitations with the model-based approach are discussed. To address the process window limitations in the model-based approach, a rule-based pre- correction was used to ensure adequate process window at deviated dose and focus conditions. With pre-correction combined with the model-based approach, our wafer data shows good correction quality and process window.
Patterning half-wavelength DRAM cell using chromelessp phase lithography (CPL)
Show abstract
For each generation of DRAM cell layout design to achieve ever higher device density packing, tighter pitched array cell patterns are required. Very tight-pitched patterns present a great imaging challenge for sub-100nm devices. In order to pattern the cell patterns using KrF exposure, it is necessary to make use of resolution enhancement techniques (RET) such as phase shifting mask (PSM), off-axis illumination (OAI), and optical proximity correction (OPC). At k1 approximately 0.3 imaging, we have found a combination of PSM, OAI, and OPC that allows the most robust manufacturing process. In this paper, we have applied chromeless phase lithography (CPL) with OPC on the critical DRAM cell layers, such as capacitor node and contacts. The methodology of manipulating CPL image contrast by using phase cancelling effect is proposed. The mask design flow are discussed along with the consideration of data preparation and mask manufacturing complexity for CPL. The patterning performance has been characterized by using both simulation and experimental data from printed resist wafer. Using CPL mask with optimized OAI, a 0.8 NA 248nm exposure system is well capable of printing sub-100nm DRAM cell patterns.
Introduction of full-level alternating phase-shift mask technology into IC manufacturing
Show abstract
A study to partition a gate level design into an alternating phase shift mask and a chrome on glass trim mask is presented. After determination of important rules for the partitioning by simulation, all investigated gate level pattern could be partitioned, only with slight modifications of the wiring. By application of optical proximity correction (OPC) good gate width and sufficient pattern fidelity control was obtained with the chosen OPC methodology using a calibrated optical model. Nevertheless, several indications of weak spots at two dimensional patterns at extreme defocus are discussed based on experimental data and simulation. To further improve the process window of such pattern, new methods are necessary to detect and prevent such remaining weak spots.
Theoretical analysis of the potential for maskless lithography
Show abstract
In order to be practical, maskless lithography schemes are limited as to how small the physical address grid can be. Thus, graybeam techniques are used to create a small virtual address grid while maintaining a large physical address grid. One important consideration for maskless lithography is the impact of these small 'virtual' address grids on image quality. Using simple simulations of aerial image formation as the summation of Gaussian spots and PROLITH simulations of the projection of square pixels, several important conclusions about the use of graybeam are made. Graybeam results in a non-linear variation in edge position with gray level, with the non-linearity increasing with larger physical address grid size. While this edge position deviation from non-linearity can be calibrated out of the writing scheme, the calibration curve is process dependent. One problem with the use of graybeam is the reduction of image quality as expressed by the image log-slope. For the raster scan case of a physical address grid equal to half of the spot size, the worst case graybeam level has an image log-slope at the edge that is 20% less than the best case. For the projection imaging case of a physical address grid equal to the pixel size, the worst case graybeam level has an image log-slope at the edge that is 15% less than the best case.
Lithography Simulation and Analysis
Algorithmic implementations of domain decomposition methods for the diffraction simulation of advanced photomasks
Show abstract
The domain decomposition method developed in [1] is examined in more detail. This method enables rapid computer simulation of advanced photomask (alt. PSM, masks with OPC) scattering and transmission properties. Compared to 3D computer simulation, speed-up factors of approximately 400, and up to approximately 200,000 when using the look-up table approach, are possible. Combined with the spatial frequency properties of projection printing systems, it facilitates accurate computer simulation of the projected image (normalized mean square error of a typical image is only a fraction of 1%). Some esoteric accuracy issues of the method are addressed and the way to handle arbitrary, Manhattan-type mask layouts is presented. The method is shown to be valid for off-axis incidence. The cross-talk model developed in [1] is used in 3D mask simulations (2D layouts).
3D lumped parameter model for lithographic simulations
Show abstract
Simplified resist models are desired for fast simulation of resist profiles over large mask areas. The Lumped Parameter Model was originally developed as one such model. However, the LPM model has been limited to 2D resist simulations of 1D aerial image slices with positive tone resists. In this paper we present a modified Lumped Parameter Model applicable to 3D resist simulations of both positive and negative tone resists. In addition several new LPM parameters are introduced that further improve accuracy. The derivation of the 3D LPM model, rationale for including the new parameters, and simulation results using the new model are given.
Illumination, mask, and tool effects on pattern and probe-based aberration monitors
Show abstract
The practicality and manufacturability of pattern and probe-based aberration monitors for characterizing optical lithography tools in light of tool and mask performance issues is investigated via simulation. The effects of the partial coherence of the illumination, the use of off-axis illumination, alignment optics obscuration, mask pixel size, and intensity imbalance effects on the ability of the pattern and probe aberration monitors to quantify residual aberrations at levels approaching 0.01(lambda) rms is assessed. Targets from nine rectangles to over 100 rectangles, in sizes up to seven rings were simulated with SPLAT under various illumination conditions. Results show that the targets respond best for nearly coherent illumination ((sigma) equals 0.1 to 0.2). Phase-compensation for reversal in sign of the mutual coherence function is shown to be feasible and will be essential for off-axis illumination. While the intensity imbalance for phase shifting masks can be significant, the affect on the aberration measurement is relatively small.
OPC and image optimization using localized frequency analysis
Show abstract
A method of assist feature OPC layout is introduced using a frequency model-based approach. Through low-pass spatial frequency filtering of a mask function, the local influence of zero diffraction energy can be determined. By determining isofocal intensity threshold requirements of an imaging process, a mask equalizing function can be designed. This provides the basis for frequency model-based assist feature layout. By choosing assist bar parameters that meet the requirements of the equalizing function, through-pitch focus and dose matching is possible for large two dimensional mask fields. The concepts introduced also lead to additional assist feature options and design flexibility.
LER as structural fluctuation of resist reaction and developer percolation
Show abstract
Line edge roughness (LER) in chemically amplified resists (CARs) is analyzed as a fluctuation in acid catalyzed reaction to determine molecular solubility and developer percolation. Two probability processes to cause LER are modeled: the local acid generation/diffusion process and the main reaction/developer percolation processes. LER caused by fluctuation in the main reaction and percolation is found to be significantly larger than molecular size. Dependence of LER on various parameters is clarified, such as acid concentration, diffusion length, molecular sizes, protection ratio and its variation, and image. Our results suggested a trade-off relation between the acid fluctuation component and the main reaction component of LER in most ArF resists today. High contrast exposure characteristics of the dissolution rate in CARs today are also explained with the model.
Quantification of image quality
Show abstract
Traditionally, the common window method is used to quantify image quality in optical lithography. The common window method can take dose variation, focus error, mask critical dimension error and aberrations into account. However, the demerit of the common window method is its computation time. In this paper, a new metric called Normalized Process Latitude (NPL) is proposed. The NPL considers dose variation, focus error, mask critical dimension error and aberrations to output its final quantification value. Its processing time for quantifying one feature is usually within 10 seconds on a PC with 1 GHz CPU and 256 MB DRAM. We perform several comparisons between the total window value and the NPL. It is found that the NPL draws similar conclusion as the total window. We can conclude that NPL is a sensible figure of merit for image quantification.
OPC Techniques I
Contrast-based assist feature optimization
Show abstract
By defining contrast as the maximum image-log-slope (ILS), we propose a novel method to optimize assist feature sites and locations. We present results that indicate that an ILS optimization at best focus provides enough information to arrive at a solution that improves the depth of focus of the design. Sub-resolution assist features (SRAF) are inserted using a rule-based approach that depends on the equivalent contrast of the original design. Later, an optical rule check (ORC) is performed to identify the regions in which the contrast of the main features is below a certain threshold. After such regions have been properly identified and selected, the neighboring edges are subjected to a sensitivity analysis that returns a contrast matrix which can be later compressed in a global contrast cost function. New positions of the assist feature edges are later evaluated and the assist features are modified accordingly. By following these steps, it is possible to alter: location, width and shape of the assist features in such a way that there is an overall improvement of the main feature contrast. A complete and integrated approach should be able to accept restrictions in the printability of assist features. In order to eliminate errors coming from the cross interactions between the globally optimized assist features and the original design, we incorporate a local clean up procedure that preserves the global validity of the current assist feature rule while improving the local behavior of the original edges. In this fashion, killer defects due to inter-rule dependencies are avoided.
Advanced hybrid optical proximity correction system with OPC segment library and model-based correction module
Show abstract
Advanced hybrid optical proximity correction (OPC) system with OPC segment library and a model-based correction module has been found to be much promising for reducing the mask data processing time. Recycling the OPC segment library made of previous products for next derivative products with common design rule could reduce the OPC process time down to 11% at the sixth Application Specific Integrated Circuit product for the conventional hybrid OPC scheme. Then, under the circumstances that the block-level layout verification tool with the hybrid OPC tool and the lithography simulator is utilized by designers for avoiding the lithographic dangers in the early stage of design, the most effective library can be generated in this layout verification flow and used for the correction of the completed layout. Due to this scheme, the OPC process time could be decreased to 11-16% for 256-Mbit Dynamic Random Access Memory gate and metal layer.
Complex 2D pattern lithography at lambda/4 resolution using chromeless phase lithography (CPL)
Show abstract
Fostered by continued advancements in the field of optical extension technologies, optical lithography continues to extend far beyond what was thought possible only a few years ago. The application of chromeless phase lithography (CPL), or '100% transmission PSM,' has been used to demonstrate the potential for achieving quarter-wavelength optical lithography (k1 approximately 0.2). The ability to print 70 nm lines through pitch using a 248 nm, 0.70 NA wafer scanner, QUASAR off axis illumination, and a chromeless mask (CLM) has been demonstrated. However, it was confirmed by Chen, et al., that imaging complex 2D structures with high transmission CLM reticles involves very strong optical proximity effects. The need to use high NA wafer steppers with off-axis illumination in order to apply chromeless phase lithography exacerbates these effects. This phenomenon is further magnified and the interactions become more complex as the pitch between 2D structures is decreased. The nature of the proximity effects observed with chromeless phase lithography and the means to correct for them using various optical proximity correction (OPC) methods are described and explained. Patterns representing real device- like structures are used to demonstrate that data processing algorithms are feasible which can correct for the induced proximity effects and thus make it possible to incorporate CPL technology for low-k1 production lithography.
Model-based design improvements for the 100-nm lithography generation
Show abstract
Due to the challenging design rule and CD control requirements of the 100 nm device generation, a large number of complex patterning techniques are likely to be used for random logic devices. The complexity of these techniques places considerable strain upon model-based OPC software to identify and compensate for a wide range of printing non- idealities. Additionally, the rapidly increasing cost of advanced reticles has increased the urgency of obtaining reticles devoid of process limiting design or OPC errors. We have evaluated the capability of leading edge model-based OPC software to meet the challenging lithography needs of the 100 nm device generation. Specifically, we have implemented and verified model usefulness to correct for pattern deformation in complex binary gate, contact and via processes utilizing highly optimized illumination. Additionally, we present results showing the abilities of model-based methods to accurately find design related printing problems in complementary phase shift gate designs before they are committed to an expensive reticle.
Process Optimization and Control I
CD versu. pitch across the slit for multiple 248-nm step-and-scan exposure tools
Show abstract
Proximity effects in optical lithography are under investigation for quite some time. Most of these studies focus on the understanding of the origin of the CD-through- pitch variations and are performed for a single point in the exposure field. Knowing the optical proximity effect, corrections on the reticle can be made to compensate for it (Optical proximity correction, OPC). However, because of the data complexity, corrections for a certain duty cycle are applied independent of location in the exposure field. In order to make CD biasing on the reticle cost effective, the proximity effect variations across the exposure field need to be small. The cycle of measuring the proximity effects, applying corrections to the reticle layout and measuring again on the wafer is very time-consuming. Therefore there is a big gain if proximity corrections, as determined on one individual system, can be applied to other exposure tools without extensive testing and modification of OPC. This implies that proximity effects need to be constant through the slit of an individual tool and constant from one tool to another. In this paper we will study the across slit of the lens and tool-to-tool variation of the optical proximity effect.
Optimization of process condition to balance MEF and OPC for alternating PSM: control of forbidden pitches
Show abstract
The feasibility study to use high NA ArF lithography for 70 nm process development was done. After intensive simulation works, new forbidden-itch behavior of alternating PSM in low k1 imaging was found out. This forbidden pitch due to extremely small MEF and OPE of alternating PSM at small (sigma) . In order understand the mechanism and behavior of the forbidden-pitch, simulation and experiment were done as function of critical parameters of NA, (sigma) and wavelength. Solution to control forbidden-pitch for low k1 imaging of 70 nm has been address as critical item which needs to be overcome.
Mask error tensor and causality of mask error enhancement for low-k1 imaging: theory and experiments
Show abstract
Three important concepts about the mask error enhancement factor (MEEF) are proposed in this paper. From the fundamental assumption, the MEEF is derived to be a function of the image log slope and the aerial image variation caused by mask making error. Secondly, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex two-dimensional response to the mask making error around the line-end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented in this paper.
Subwavelength lithography: an impact of photo mask errors on circuit performance
Show abstract
The impact of photo mask manufacturing errors in the photolithography process and subsequently on the final device and test circuit (ring oscillator) performance are investigated. A statistical Monte Carlo process generates a population of normally distributed simulated photo mask errors during the reticle manufacturing process. Further steps predict how these photo mask errors impact printed poly gate patterns under different lithography conditions. Sensitivity analysis performed with the Sequoia Device Designer software tool identified the metal oxide semiconductor field effect transistor (MOSFET) channel length (Lpoly) as the most sensitive MOSFET parameter and an estimate of the distribution of device performance for realistic photo mask errors is made.
Aerial image degradation effects due to imperfect sidewall profiles of EAPSM mask for 130-nm device node: 3D EMF simulations and wafer printing results
Show abstract
As our chip producing industry gearing up for mass production of 130nm device technology node, use of EAPSM (Embedded Attenuated Phase Shift Mask) technology in the critical pattern levels became unavoidable because of the low k1 factor lithography involved. However, this 2-layer EAPSM material (attenuator material covered with Chrome) requires two distinctively separate lithography/etch processes needed to be carried out. These added complexities of processes are prone to degradation of the absorber material's (MoSi) sidewall leading to imperfect sidewall profiles (top corner rounding, off-normal sidewall angle, etching intrusion into quartz substrate, footing, . . . etc.). These imperfections of sidewall cause aerial image degradations thus reduce effectiveness of full benefits of PSM technology. In this paper, we discuss our findings of mask level aerial image degradation dependency on EAPSM material sidewall imperfections, which result from immature mask making processes, and assessments of its effects on pattern transfer onto wafer level using 3&2D EMF and subsequent lithography simulations. The results were then, compared to actual wafer results for the wafer level printing confirmation to the simulation results. We distinguish consequence of resulting aerial image differences between EMF simulations vs. Kirchhoff approximation (treatment of absorber to be infinitely thin layer; normally used in conventional lithography simulations) in the KrF EAPSM material (MoSi). Furthermore, we have carried out look-ahead assessments for ArF (193nm) lithography using ArF EAPSM material (MoSiON) and made association between the sidewall profile variations and CD uniformity performance of EAPSM. We will make case that 3D EMF capability consideration is important in the low k1 factor lithography simulations.
Process Optimization and Control II
CD control with effective exposure dose monitor technique in photolithography
Show abstract
We have established the effective dose metrology using a dose monitor mark named the effective dose-meter that has no focus response. By arranging the effective dose-meter onto scribe line in a device reticle, the in-line monitor of effective dose on product has been realized. The effective dose-meter was designed to monitor effective dose as a resist line length whose dimension is detectable with an optical measurement tool. The design is considered not to impact on both reticle fabrication and wafer processing. By monitoring the effective dose-meter, the contribution of effective dose error to critical dimension variation could be obtained independently with focus error. Dose budget analysis from in-line effective dose monitor made clear the current process ability with respect to reticle linewidth variation and resist processing uniformity. This paper describes the mark design, and the analysis result of in- line effective dose monitor in device fabrication with KrF lithography.
New method to determine optimal photolithography process conditions using scatterometry
Show abstract
A quick, accurate, automatic and robust method to evaluate the best focus and lens quality of the advanced lithography tools is highly demanded when the optical lithography is pushed into 130 nm regimes and beyond. This paper presents how this tedious lithographer's daily job has been performed in Texas Instrument in a more pleasant way thanks to scatterometery. The widely used critical dimension (CD) SEM measurement and +/-10% golden rule have been experiencing in great difficulties to define the optimal process conditions. CD only is not capable to fully describe the resist profile. Lithographers must consider all resist profile parameters such as sidewall angle, resist height and linewidth of resist profile which can be quickly measured by scatterometer. Across exposure field variation, another key process sensitive parameter, has to be integrated into the decision-making loop of process optimization. A new parameter (DCAT ratio) has been introduced and defined as a function of those process sensitive parameters. It has a clear maximum and zero first derivative point (that is, a preferred parabolic bell shape) at the best process condition. The DCAT ratio has been used to find the true best focus offset for multiple scanners to guide tool-to-tool focus matching. It has been used to qualify scanners, optimize lithography process and determine the exposure latitude.
CD uniformity improvement by active scanner corrections
Show abstract
As resolution shrinks, also the demands for litho CD Uniformity are becoming tighter. In replicating the mask pattern into photoresist, a sequence of modules within the patterning cluster (coat, expose, develop, etch) is responsible for CD non-uniformity. So far, the strategy has been to make the contribution of each of these modules as small as possible. The CD Uniformity can be improved in a more efficient way by compensating the various error sources with adapted dose profiles on the scanner. An inventory is made of the requirements for this compensation mechanism. In more detail a description is given how the scanner can apply these dose corrections. With experiments, the feasibility of the concept is proven. Improvements in CD Uniformity over 5nm are demonstrated, both on test structures as well as on real device layers.
Analysis of focus errors in lithography using phase-shift monitors
Show abstract
We present here a procedure to characterize focus behavior on a first generation prototype 193-nm scanner using phase-shift focus monitors, which clearly identifies the influence of full field dynamic effects and that of the wafer topography and flatness. These results are used to correct the systematic errors due to incorrect tool set-up and show that proposed procedure has capability to identify focus errors and on this basis to construct a focus budget for all components: reticle, wafer, tool. We also present results using a new focus monitor based on phase gratings, which is more sensitive than the traditional phase-shift focus monitor.
Alternating PSM Implementation
New alternating phase-shifting mask conversion methodology using phase conflict resolution
Show abstract
A new methodology for completely phase-shifting a layout with creating local phase conflicts is proposed for lithographic techniques combining one phase-shifting mask and one binary mask exposure. Critical and non-critical areas of the layout are identified and phase conflicts are avoided by splitting the shifter regions from non-critical areas to non-critical areas without crossing critical areas. The out-of-phase splits of the shifter regions are removed using the binary exposure. Simulation results and experimental data collected for 90 nm technology node show no sign of process latitude loss around the areas where the shifters are split. The overlay latitude is commensurate with 90 nm technology scanner requirements (tool to itself). This approach can also be utilized at the cell library level by creating two copies of each cell with forced phase- shifting boundary conditions. The top and bottom of all the cells have the same phase while the left and right side of each cell have opposite phases, in degrees either 0 - 0 and 180 - 180 or 0 - 180 and 180 - 0. This implementation guarantees conflict-free cell creation and placement.
Methodology for generating exposure tool specifications for alternating phase-shift mask application for 70-nm node
Show abstract
An enhanced methodology of generating exposure tool specification, specifically lens specification, using alternating phase shift mask (AltPSM) features as anchor structures is introduced in this paper. The use of AltPSM as a resolution enhancement technique requires a unique set of exposure tool requirement on lens and body functionality. The lens aberration level requirement and sensitivity of certain type of Zernike term need to be identified for optimization of the lens for AltPSM application. The NA/Sigma scaling of AltPSM with current level of aberration is discussed briefly. We also extend the response surface CD model to a quadratic model that includes cross talk among Zernike terms. New definition of CD aberration sensitivity based on the quadratic model allows the sensitivity term to include the crosstalk effect. Specifications for aberration levels were established by Monte Carlo CD simulation, taking into account the inherent correlation between Zernike coefficients that we determine from analysis of the pupil Power Spectral Density. Difference on the phasemap behavior and final calculated specification are compared for the correlated and uncorrelated Zernike case. Finally we briefly discuss the flare effect on trim mask exposure and the issues in AltPSM EMF simulation in a high NA regime. Similar treatment for image placement indicated it is as critical as CD for 70nm mode AltPSM application.
Through-pitch correction of scattering effects in 193-nm alternating phase-shift masks
Show abstract
A methodology to study the bias and phase correction of strong phase-shifting masks is introduced. Isolated apertures are simulated to investigate the influence of aperture size, undercut etch, and quartz sidewall angle on aperture transmission. The simulations match well with experimental results that are measured with an ArF microlithography simulation microscope. For alternating apertures, electromagnetic calculations are done to solve for the diffracted fields. An analytical method is derived to deduce aperture bias and phase error from the diffracted orders. This method can be used as an easy way to optimize the cross section of the phase shifting mask. The method is demonstrated for the example of a single trench alternating phase shifting mask. A constant bias that minimizes the asymmetry and phase error without the need for an undercut etch is found. Such bias works for both the case of equal lines and spaces through pitch and constant linewidth through pitch. Because this bias is easy to design into a mask, the design and manufacturing of alternating phase shifting can be simplified.
Theoretical discussion on reduced aberration sensitivity of enhanced alternating phase-shifting masks
Show abstract
Two theories are developed to quantify image skew of photomask features caused by aberrations. In one formulation, the extent of image distortion can be described by the image asymmetry, which captures both image shift and sidelobe intensity imbalance. This quantity is equivalent to the shift of the image centroid. In situations where one is more concerned with placement error than centroid shift, the change in the location of the intensity extremum can be expressed as functions of the mask spectrum and the wave aberration. This theory on image shift is applied to the study of enhanced alternating PSMs. Although the optimal mask pattern is aberration-function-specific, mask spectra with gradual variations have lower placement sensitivity in general. These theories are applicable to all mask technologies and patterns.
OPC Techniques II
Hybrid PPC methodology and implementation in the correction of etch proximity
Show abstract
In the exponential drive to go to the smaller feature size, the control of the line width variation becomes more important than ever before. Hybrid PPC (Process Proximity Correction) has been one of the indispensable methods to satisfy the requirements of CD control and yield improvement. In this paper, an effective methodology for hybrid PPC is presented to reduce the data volume and the complexity of patterns and to enhance the accuracy of correction. The selective engine in the hybrid PPC flow classifies the gate patterns into the areas of model-based and rule-based PPC considering a device performance, a modeling accuracy, and the extension of the contact overlap margin. The effective method of edge pattern modeling is exploited to compensate the nonlinear etch proximity effect in the asymmetrical pattern configuration. Using the hybrid PPC method with the 1 nm correction grid, 22% of the additional reduction in the intra-die CD variation compared to the rule-based PPC with 5 nm correction grid has been achieved.
Universal process modeling with VTRE for OPC
Show abstract
In previous work, Cobb and Zakhor (SPIE, 2726, pp.208-222, 1996) introduced the VTR (Variable Threshold Resist) model and demonstrated its accuracy for fitting empirical data for 365 nm illumination (SPIE, 3051, pp. 458-468, 1997). The original work showed how EPE can be modeled as a function of a peak local image intensity and the slope of the adjacent cutline. Since then, authors such as J. Randall, et al., (Microel. Engineering, 46, pp. 59-63, 1999) have analyzed the VTR model including other parameters such as dose. In the current approach, the original VTR has been enhanced to the VTR-Enhanced (or VTRE) in 1999, and VT-5 models in 2002, for production in OPC applications, which include other image intensity parameters. Here we present a comprehensive report on VT (Variable Threshold) process modeling. It has the demonstrated ability to accurately capture resist and etching responses, alone or in the combination with experimental VEB (Variable Etch Bias, SPIE, 4346, p. 98, 2001) model, for a wide range of process conditions used in the contemporary IC manufacturing. We analyzed 14 different semiconductor company processes experimental setups totaling 3000 CD measurements to prove this point. We considered 248, 193, and 157 nm annular and standard illumination sources for poly, metal, and active layers. We report an accuracy of VT family models under a wide range of conditions, show usage methodology, and introduce a novel method for calculating VTRE wafer predictions on a dense image intensity grid. We use multiple regression method to fit VT models and discuss methods for calculating regression coefficients. It is shown that models with too many eigenvectors exhibit a tendency to overfit CD curves. Sub-sample cross-validation and overfitting criteria are derived to avoid this problem. The section on test pattern and usage methodology describes practical issues needed for VT usage in OPC modeling. Particularly we discuss the effects of metrology errors on modeling. Also we introduce criteria for the important issue of model stability and propose refined test pattern structures designed to uniformly cover the VT parameter ranges. It is often required that the model has to 'hit' some CD measurements exactly. We introduce the 'bubble' technique to accomplish this. A 'bubble' constitutes an additional term in the VT functional form; it explains single CD measurement. We demonstrate how 'bubbles' help fit the pitch uniformity and iso-line linearity curves exactly. Lastly, the section on dense region calculation demonstrates how this TCAD-oriented technique can be used for tuning OPC algorithms. In its original form, VTR can easily be applied to sparse imaging at sample sites for OPC/ORC applications. It is useful to be able to calculate the VT wafer prediction for a fully dense grid of values, and plot the results like an aerial image contour plot.
Assessment of OPC effectiveness using two-dimensional metrics
Show abstract
A complete evaluation of the optical proximity effects (OPE) and of their corrections (OPC) requires a quantitative description of two-dimensional (2D) parameters, both at resist- and at reticle-level. Because the 2D behaviour at line-ends and at line-corners can become a limiting factor for the yield, it should be taken into account when characterising a process, just as the CD- and pitch-linearity are already kept under control. This implies the measurement of 2D-metrics in a precise way. We used an SEM Image Analysis tool (ProDATA SIAM) to define and measure various OPC-relevant metrics for a C013 process.
For the METAL (M1) process, we show that the overlap between line-ends of M1-trenches and underlying nominal contacts is a relevant metric to describe the effectiveness of hammerheads. Moreover, it is an interesting metric to combine with the CD process window. For the GATE process, we demonstrate that for a given set of metrics there is a degree of OPC aggressiveness beyond which it is not worth to go. We considered both line-end shortening (LES) and corner rounding affecting the poly linewidth close to a contact pad, and this on various logic circuits having received different degrees of fragmentation. Finally the knowledge of the actual line-end contour on the reticle allows one to simulate separately the printing effect of that area loss at reticle line-ends. The area loss measured by comparing the extracted contour to the target one is regarded as a combination of pull-back and area loss at corners. For our C013 gate process, and for the 130nm lines at a 1:1.25 duty cycle, those two parameters contribute together to approximetely 40% of the measured LES in the resist. This fact raises the question of specifications on 2D reticle parameters. We also find a linear correlation between the area loss at reticle line-end corners and the corresponding increase of LES on the wafer, which suggests a way towards putting specifications on the reticle line-ends.
Model-assisted double dipole decomposition
Show abstract
Double dipole processes in general have been considered for full Manhattan design styles. However, with the assistance of current model-based OPC tools and high-resolution optical systems, it is possible to analyze the requirements for all angle designs. When angled features present in the design layout are located in regions where only connectivity and not CD control is critical, the method generates an acceptable solution for a given set of optical conditions. The present methodology investigates the use of selective edge biases for clear-field double dipole decomposition. Such an approach is based on the double exposure nature of the method. In full Manhattan designs, two different contrast values are associated to every edge, and in general one is higher than the other. On the contrary, angled edges may have the same contrast depending on the shape of the pupil and local proximity environment. This method maximizes the overall contrast of the layout by creating selective feature biases. These biases are placed in the non-optimal optical direction and protect the regions that have a higher contrast in the complementary dipole direction. The initial decomposition generates two masks in which a maximum global contrast function is maximized. This initial decomposition is later fed to a two-layer model based correction. The final result is analyzed in terms of contrast, pattern fidelity and focus dependence in order to determine the feasibility of printing Manhattan and angled features using a double dipole approach for sub 100 nm processes.
Subresolution assist feature implementation for high-performance logic gate-level lithography
Show abstract
This paper investigates the implementation of sub-resolution assist features (SRAFs) in high performance logic designs for the poly-gate conductor level. We will discuss the concepts used for SRAF rule generation, SRAF data preparation and what we term "binary" optical proximity correction (OPC) to prevent catastrophic line-width problems. Lithographic process window (PW) data obtained with SRAFs will be compared to PW data obtained without SRAF. SRAM cells are shown printed with annular illumination and SRAFs, for both the 130 nm and 100 nm logic nodes as defined by the International Technology Roadmap for Semiconductors (ITRS). This study includes a comparison of the experimental results of SRAMs printed from designs corrected with rule-based OPC to those printed from designs corrected with model-based OPC.
Additional Paper from Session 9
Resolution Enhancement Techniques I
Minimization of image placement errors in chromeless phase-shift mask lithography
Show abstract
Image placement errors and their effect on process latitude are a remaining issue in the development of strong phase shift mask technology. In this work, we will review the various causes of image placement error for strong phase shift imaging, including both mask and stepper lens contributions. We will also review various methods of minimizing these image shift errors including the mask fabrication process, stepper lens improvement, and proper design of the lithography process. We will also present experimental results showing how aerial image asymmetry effects can be minimized by the use of an optimized resist process.
Polarization masks: concept and initial assessment
Show abstract
Polarization from photomasks can be used as a new lever to improve lithographic performance in both binary and phase-shifting masks (PSMs). While PSMs manipulate the phase of light to control the temporal addition of electric field vectors, polarization masks manipulate the vector direction of electric field vectors to control the spatial addition of electric field components. This paper explores the theoretical possibilities of polarization masks, showing that it is possible to use bar structures within openings on the mask itself to polarize incident radiation. Rigorous electromagnetic scattering simulations using TEMPEST and imaging with SPLAT are used to give an initial assessment on the functionality of polarization masks, discussing the polarization quality and throughputs achieved with the masks. Openings between 1/8 and 1/3 of a wavelength provide both a low polarization ratio and good transmission. A final overall throughput of 33% - 40% is achievable, corresponding to a dose hit of 2.5x - 3x.
Extending KrF to 100-nm imaging with high-NA- and chromeless phase lithography technology
Show abstract
In this paper the concept of chromeless phase lithography (CPL) is introduced and experimental results on an ASML PAS 5500/800 are presented. CPL is a single exposure technique and is capable of resolution enhancement on all device layers (bright and dark field masks). Line space structures through pitch are measured with cross section and have O.35jim depth of focus (DOF) at 10% exposure latitude without forbidden pitches. CPL experimental results for a k1 of 0.38 (½ pitch) are presented for three DRAM device layers, isolation brick wall, storage capacitor, and honeycomb contact. Each of these layers have a DOF of O.35jim at 10% exposure latitude. CPL experimental results are presented for a SRAM gate and contact with lOOnm feature size (k1=O.32) and have a DOF of O.35jim at 10% exposure latitude.
Resolution Enhancement Techniques II
Attainable road to the lower-k1 extension using high-transmittance attenuated phase-shifting mask in the KrF lithography world
Show abstract
KrF lithography around 0.3k1 was studied using high transmittance attenuated phase shifting mask(att.PSM). Although gradual transition to the more high NA KrF scanner or ArF scanner takes place, the strong requests for the timely process development to keep up with the rapid shrinkage of device drive the extension of lithography technology to the lower k1; 0.3 or even below.
Under the given illumination condition, aerial image contrasts for varying design rules(D/R) can be related to the transmittance of att.PSM. In other words, there exists an optimum mask transmittance for each D/R, from which we try to seek the feasible way of extension to the lower k1.
We will cover the EL(Exposure Latitude), the MEEF(Mask Error Enhancement Factor), and also discuss an interesting behavior of the N-M offset in utilizing high transmittance att.PSM in the low k1 node. We used the att.PSM of 20% transmittance, as a special case experiment, to investigate the effect of high transmittance around 0.3k1 lithography. This study may facilitate the application of high transmittance att.PSM to the lower k1 and contribute to extending the lifetime of optical lithography.
Dipole decomposition mask design for full-chip implementation at 100-nm technology node and beyond
Show abstract
For cost-effective Integrated Circuit (IC) manufacturing, it is highly desirable to use Binary-Chrome Masks (BIMs) instead of Phase Shifting Masks (PSMs). For the 70nm technology node, it is of particularly appealing if Argon Fluoride (ArF) BIMs can still be used. In this paper, we demonstrate that double dipole ArF exposure together with BIMs is capable of achieving acceptable overlapped process window for printing 70nm Critical Dimension (CD) features. The main challenge of using such a technique for IC manufacturing is how to properly decompose the original mask patterns into two separate orientation masks (vertical and horizontal). To compensate for the possible two-dimensional (2D) pattern distortion due to the strong proximity effect, a novel set of
Complementary double-exposure technique (CODE): a way to print 80-nm gate level using a double-exposure binary mask approach
Show abstract
To follow the accelerating ITRS roadmap, microprocessor and DRAM manufacturers have introduced the Alternating Phase shift mask (Alt.PSM) resolution enhancement technique (RET) in order to be able to print the gate level on sub 130nm devices. This is done at very high mask costs, a long cycle time and poor guarantee to get defect free masks. S. Nakao has proposed a new RET. He showed that sub 0.1um features could be printed with good process latitudes using a double binary mask printing technique. This solution is very interesting, but is applicable to isolated structures only. To overcome this limitation, we have developed an extension to this technique called CODE. This combines Nakao's technique and the use of assist features removed in a second subsequent exposure. This new solution enables us to print isolated as well as dense features on advanced devices using two binary masks. This paper will describe all the steps required to develop the CODE application. (1) Determination of the optimal optical settings, (2) Determination of optimal assist feature size and placement, (3) Layout rules generation, (4)Application of the layout rules to a complex layout, using the Mentor Graphics Calibre environment, (5) Experimental verification using a 193nm 0.63NA scanner.
Development of a sub-100-nm integrated imaging system using chromeless phase-shifting imaging with very high NA KrF exposure and off-axis illumination
Show abstract
Examining features of varying pitch imaged using phase-shifting masks shows a pitch dependence on the transmission best suited for optimum imaging. The reason for this deals with the relative magnitude of the zero and higher diffraction orders that are formed as the exposing wavelength passes through the plurality of zero and 180-degree phase-shifted regions. Subsequently, some of the diffraction orders are collected and projected to form the image of the object. Chromeless Phase-Shift Lithography (CPL) deals with using halftoning structures to manipulate these relative magnitudes of these diffraction orders to ultimately construct the desired projected image. A key feature of CPL is that with the ability to manipulate the diffraction orders, a single weak phase-shifting mask can be made to emulate any weak phase-shifting mask and therefore the optimal imaging condition of any pattern can be placed on a single mask regardless of the type of weak phase-shifter that produces that result. In addition, these structures are used to render the plurality of size, shape and pitch such that the formed images produce their respective desired size and shape with sufficient image process tolerance. These images are typically made under identical exposure conditions, but not limited to single exposure condition. These halftoning structures can be used exterior, as assist features, or interior to the primary feature. These structures can range in transmission from 0% to 100% and they can be phase-shifted relative to the primary features or not. Thus CPL deals with the design, layout, and utilization of transparent and semi-transparent phase-shift masks and their use in an integrated imaging solution of exposure tool, mask and the photoresist recording media. This paper describes the method of diffraction matching, provides an example and reviews some experimental data using high numerical aperture KrF exposure.
Mask-related Issues
Desirable reticle flatness from focus deviation standpoint optical lithography
Show abstract
We performed precise and systematic approaches for clarifying what reticle flatness should be from the standpoint of focal deviation in optical lithography. The impact of reticle warpage on focus deviation was measured by aerial image sensor to obtain tiny reticle-induced focus shift precisely. We clarified the criteria of reticle flatness after chucking. Optimum free-standing shape to become desired shape after chucking was obtained by simulation and analytical approach. The flatness of chucked reticle was found to be determined by both free-standing plate shape inside the reticle holder and plate shape facing the holder. Reticle flatness was newly defined according to the results. Requirements respecting the newly defined flatness for each technology node were clarified from focus budget analysis.
Lateral shearing interferometer for phase-shift mask measurement at 193 nm
Show abstract
The physical limits of optical lithography are mainly determined by the aperture of the mask projection system and the wavelength of the light. In addition to the wavelength shift to the deep UV the application of special techniques to improve the processing window are required. This has furthered the application of the phase shift mask as a lithography tool. The generation of the exact intensity distribution needed in the plane of the wafer strongly depends on the accuracy of the phase shift introduced by the phase shift mask. However, one difficult issue is the reliable measurement of the phase shift introduced by the phase mask at the working wavelength. This is of course mainly due to the lack of suitable and simple interferometric devices for the deep-UV-region -- here 193 nm. We propose the use of a diffractive shearing interferometer as a way out. By combining two Ronchi-phase gratings it is possible to produce shear and phase shifts for the evaluation of the fringe patterns simply by axial and lateral shifts of the phase gratings relative to each other. Since the excimer laser emits spatially partial coherent light only the coherence issue is one of the physical problems dealt with in our experiments. The state of the art of our experiments so far reached will be presented.
Influence of 157-nm specific cleaning procedures on the quality of FIB repair depositions on reticles
Klaus Eisner,
Christof M. Schilz,
Alivina Williams,
et al.
Show abstract
An UV lamp cleaning system (172 nm) and a 157 nm laser have been used to irradiate Ga-based focused ion beam (FIB) repair depositions on 193 nm and 157 nm reticles. The thickness change of the depositions due to the irradiation has been measured using an atomic force microscope. For the 193 nm reticle additional transmission measurements were realized. These depositions are found to be highly resistant to UV lamp cleaning treatments of up to 18h (2mW/cm2, 1% O2). Extended tests were also done with 157 nm irradiation (9kJ/cm2, 1 - 10ppm O2). At the end of these tests a film loss of the depositions of 0.4nm /kJ/cm2 and a transmission change of 10% could be determined.
Attenuating phase-shifting mask at 157 nm
Show abstract
An attenuating phase shifting mask has been designed, fabricated, and tested at 157 nm. It consists of two layers, a metal attenuator and a transparent phase shifter. The metal, platinum, was chosen for its chemical and radiation stability. The phase shifter was a commercial spin-on glass. A single step of pattern transfer has been implemented, which significantly simplifies the fabrication process of the mask. The lithographic advantage in increased depth of focus was demonstrated for 130-nm spaces and contacts, and it was found to agree with numerical simulations.
Poster Session
Behavior of candidate organic pellicle materials under 157-nm laser irradiation
Show abstract
Transmission loss during irradiation remains the critical limitation for polymer pellicle materials at 157 nm. In this work we establish a framework for calculating the necessary pellicle lifetime as well as a test methodology for evaluating the laser durability of candidate polymer films. We examine the role of key extrinsic environmental variables in determining film lifetime. Oxygen concentration affects pellicle lifetime, but there is not an oxygen level that effectively balances pellicle perforation and cleaning against the onset of photochemical darkening. Neither moisture level nor 172-nm UV lamp pre-cleaning were found to have a significant impact on pellicle lifetime.
157-nm Lithography
157-nm pellicles: polymer design for transparency and lifetime
Show abstract
The introduction of 157 nm as the next optical lithography wavelength has created a need for new soft (polymeric)
or hard (quartz) pellicle materials. Pellicles should be > 98% transparent to incident 157 nm light and, ideally, sufficiently
resistant to photochemical damage to remain useful for an exposure lifetime of 7.5 kJ/cm2.
The transparency specification has been met. We have developed families of experimental Teflon™AF (TAFx)
polymers with > 98% transparency which can be spin coated and lifted as micron-scale, unsupported membranes. Still higher
transparencies should be possible once optimization of intrinsic (composition, end groups, impurities, molecular weight) and
extrinsic (oxygen, absorbed hydrocarbons, contaminants) factors are completed. The measured transparencies of actual
pellicle films, however, are affected by many factors other than absorption. Film thickness must be precisely controlled so as
to allow operation at the fringe maxima for the lithographic wavelength. Roughness and thickness uniformity are also
critical. An important part of our program has thus been learning how to spin membranes from the solvents that dissolve our
pellicle candidates.
Meeting the durability specification at 157 nm remains a major concern. The 157 nm radiation durability lifetime of
a polymer is determined by two fundamental properties: the fraction of 157 nm radiation absorbed and the fraction (quantum
efficiency) of this absorbed radiation that results in photochemical darkening. Originally it was assumed that lifetime
increases uniformly with increasing transparency. We now have cases where materials with very different absorbances
(TAFx4P and 46P) have similar lifetimes and materials with similar absorptions (TAFx46P and 2P) have very different
lifetimes. These findings demonstrate the importance of the relative quantum efficiencies as the 157 nm light energy
distributes itself along degradative versus non-degradative pathways. In an effort to identify chemical and structural features
that control lifetime, we have been studying model molecular materials, some quite similar to the monomer units used to
make our pellicle candidates. Several of these models have shown transparencies much higher and lifetimes far longer than
our best pellicle candidates to date.
157-nm lithography with high numerical aperture lens for 70-nm technology node
Show abstract
l57nm lithography is being investigated for the sub-7Onm technology node of semiconductor devices. Many efforts have been reported on the exposure tool, the F2 laser, the resist materials, the resist processing and the mask materials1. A critical component for the success of this 157nm lithography is the availability of high numerical aperture (NA) lenses that lead to higher resolution capability and higher process margin. In this article, we describe our recent evaluation results of a high precision 157nm Microstepper with 0.85 NA lens combined with simulation analysis of the lithographic performance. The details of the evaluation results discussed here include the resolution limit of the high NA lens and the possible effects of intrinsic birefringence upon the lithographic performance.
Progress of Nikon's F2-exposure tool development
Show abstract
Progress of Nikon's F2 tool development is described. Intrinsic birefringence of CaF2 reported in the middle of last year by NIST had large impact on F2 optics designing. However, we believe Nikon has already overcome it, and the imaging performance of our newest design is almost the same level to the performance without the intrinsic birefringence. Several methods to correct the intrinsic birefringence are discussed in this paper. Evaluation software for the intrinsic birefringence is also developed, and simulated performances of the newest optical designs, which correct for the intrinsic birefringence, are shown. Among them, simulated CD uniformity of 35nm width gate is a good measure to evaluate the optical design performance. We have also made a steady progress on gas purging. Purging of 02 and H20 concentration less than O.lppm and lppm respectively has been attained.
System design of a 157-nm scanner
Show abstract
Two key technologies of 157nm exposure tools are projection optics and the environment control with highly purified gasses. For the projection optics, the NA is required to be extremely high even from the beginning to meet the accelerated ITRS roadmap, while compensating for the chromatic aberration issues with a line selected laser. In addition, the NIST has raised an issue of intrinsic birefi-ingence with the CaF2 materials, which has serious effects on the image quality if left uncorrected. We have found answers to suppress the intrinsic birefringence effects in the practical sense for the newly designed high NA system. One solution is to optimize the combination of the rotational positions of [1 11] crystals used for the projection optics, and to combine some [100] crystals with [1 11] crystals. Looking at the environmental control issue, there are two points. One is the purging of the constantly-sealed projection optics. We have experimented on the components in the projection optics, and have achieved the purging target for them. The second point is the purging around the reticle and the wafer both of which are continually carried in and out. We have got a practical solution, partial purge system, through simulations and basic experiments using a mock-up. The partial purge mechanism is effective in keeping the environment at high purity, capable of assuring the target purging level. It can also solve the problem of lens contamination due to outgas from the resist.
157-nm technology: Where are we today?
Show abstract
In this paper we present a status overview of the development of 157-nm lithography. Solutions and challenges in the exposure system design are discussed. The solutions and challenges include optics, purging, and reticle handling issues. The impact of CaF2 birefringence (intrinsic and stress induced) on lens performance is evaluated. Experimental data on optical path purging and radiation cleaning is presented. The pellicle dilemma is reviewed, and feasibility of a thick glass plate pellicle is discussed. Additionally, a status summary on resist process development is given.
Exposure Tools and Subsystems I
Performance enhancement of 157-nm Newtonian catadioptric objectives
Show abstract
Newtonian design forms have been developed to explore higher numerical aperture imaging systems at a wavelength of 157 nm with elements made of CaF2 crystal. First-generation systems working at 0.60 NA are currently printing features smaller than 130 nm for resist-process-development. Second-generation design forms, working with variable numerical apertures above 0.75 NA, will push feature sizes significantly below 100 nm. Several aspects of second-generation designs have been improved to accommodate the need for characterizing and enhancing imaging performance. Closed-loop methods of optimization to reduce aberrations have been developed to characterize and control the effects of crystal-related birefringence on imagery. In addition these systems are learning vehicles to enhance knowledge of aberration-image performance dependence at high numerical apertures.
System qualification and optimization for imaging performance on the 0.80-NA 248-nm step-and-scan systems
Show abstract
This paper shows the improvements in imaging performance on the ASML PAS5500/800TM, the PAS5500/850BTM and the TWINSCANTM AT:850BTM Step & Scan systems. During setup, the lens aberrations are measured by the TAMIS technique and optimized. This gives excellent imaging performance for aberration sensitive features such as 'two bar,' the DRAM isolation pattern and isolated lines printed with alternating PSM. Lithographic tests based on these features were developed and tested on a number of 800 and 850 systems and gave results well within specification limits. Consequently, the imaging performance has been improved for a wide range of applications.
Development of a 5-kHz ultra-line-narrowed F2 laser for dioptric projection systems
Show abstract
The roadmap of semiconductor fabrication predicts that the semiconductor market will demand 65 nm node devices from 2004/2005. Therefore, an Ultra-Line-Narrowed F2 laser for dioptric projection systems is currently being developed under the ASET project of The F2 Laser Lithography Development Project. The target of this project is to achieve a F2 laser spectral bandwidth below 0.2 pm (FWHM) and an average power of 25 W at a repetition rate of 5 kHz. The energy stability (3-sigma) target is less than 10%. An Oscillator-Amplifier arrangement at 2 kHz was developed as a first step of an Ultra-Line-Narrowed F2 laser system. With this laser system, we did the basic study of the synchronization technology for line narrowing operation using two system arrangements: MOPA (Master Oscillator/Power Amplifier) and Injection Locking. Based on this experience we have developed the 5 kHz system. With the 5 kHz Line-Narrowed Injection Locking system, we have achieved a spectral bandwidth of < 0.2 pm with an output energy of > 5 mJ and an energy pulse to pulse stability of 10%. The feasibility of a 5 kHz Ultra-Line-Narrowed F2 Laser for Dioptric Projection Systems has been demonstrated.
High-power high-repetition-rate F2 lasers for 157-nm lithography
Klaus Vogler,
Ingo Klaft,
Frank Voss,
et al.
Show abstract
According to the ITRS-Roadmap, the 157nm wavelength of the F2-laser is the most likely solution to extend the optical lithography for production of ICs with critical dimensions below 70nm down to the 50nm node. The introduction of the 157nm lithography for high volume mass production requires high power, high repetition rate F2-lasers operating in the power range of more than 40W or at repetition rates of more than 4kHz. To meet the narrow time gap for an introduction of the full-field 157nm-scanner systems for real production in the year 2004/5 the community have to solve several challenging issues even in the laser section. F2-laser systems are needed which completely fulfill all specifications of a lithography light source, either for a refractive or a catadioptic projection optics. Verification and precise measurement of the key laser parameters in the VUV usually requires a specific development of the metrology, necessary for this task. In this report we present the progress which had been achieved in the development of high repetition rate high power single-line F2 lasers for catadioptic lithography application. The key features of a F2-laser > 4kHz will be demonstrated. We will also review the main parameters and the performance data from the field of the standard lithography-grade F2020 a 2kHz system which is already applied for pilot scanner tool design. Some improvements of these systems with regard to single line power, dose stability, polarization and gas life will be shown and reliability data from the field will be reviewed. Critical dependence of the spectral properties of the F2-laser emission at 2 kHz and 4 kHz will be discussed. Some new investigations on the coherence properties of the Fluorine laser are also implemented.
Exposure Tools and Subsystems II
Controlling CD variations in a massively parallel pattern generator
Show abstract
Micronic is developing a massively parallel pattern generation system based on a micro-mechanical spatial light modulator (SLM). The electro-mechanical and optical properties of the micromirrors in the SLM can vary from one to another and over time. Therefore the response of each mirror must be calibrated, with accuracy sufficient to maintain CD uniformity requirements. We present a practical method for performing this calibration which greatly improves the micromirror grayscale uniformity and reduces CD error contribution from the SLM to less than 2nm.
New-generation projection optics for ArF lithography
Show abstract
We have developed an ArF scanner with 0.7NA, the FPA- 5000AS2, to meet the requirements of the semiconductor industry. The biggest improvement of this system from the previous model is its projection optics. The new projection lens design allows residual aberrations to be extremely small in order to satisfy the requirements of increasingly severe device production. Furthermore, the aberrations derived from the manufacturing process are minimized in the same manner as conventional i-line and KrF lenses by precisely measuring them with a phase measuring interferometer (PMI). To reduce manufacturing-induced aberrations, we calculate various components of imaging performance at each lens manufacturing process and feed them back to the tuning process. Focusing only on aberration in the expression of root mean square (RMS) can never be sufficient for optimal aberration reduction. Lens performance can be optimally improved by gaining a balance among Zernike terms, which represent aberrations, for critical dimensions of various device patterns. It helps us supply users with a projection lens having performance that meets their requirements. This paper reports on the imaging performance of the new lens for both static and dynamic exposure as well as simulation results using PMI data. It also presents the mechanical barrel system that holds the high performance projection lens, intrinsic birefringence (IBR) of CaF2, and leading-edge ArF lens technologies such as chemical clean technology. And imaging performance of the newest 0.75 NAArF projection lens is demonstrated.
High-NA and low-residual-aberration projection lens for DUV scanner
Show abstract
This paper describes several kinds of new technologies, which are introduced into newly developed 0.78 NA ArF projection lens for Nikon's latest DUV scanner, the NSR- S306C. A new lens configuration for an ArF projection system is obtained as a result of a minute survey of the space of the aspheric optical design. The new configuration uses fewer elements and less volume of calcium fluoride (CaF2) than a conventional type. Lens mounting performance and its stability is another key issue to realizing a high performance imaging system, because lens element deformation due to lens mounting degrades imaging performance severely. Reduction of the number of the elements of a new optical design can increase room for the opto-mechanical system. Even complicated mechanisms, such as kinematic lens mounting, can fit in the space. A pure kinematic lens mounting is developed for the new ArF projection lens system to minimize lens deformation due to lens mounting. The same mechanism is applied to the positioning scheme of a lens element for high precision lens adjustment. Simultaneous use of the new lens positioning system and a lens controller can perform high precision and rather complex lens fine-tuning. Intrinsic birefringence of calcium fluoride (CaF2) is a new item, which is a hot issue in F2 optics. Even for ArF projection lens system, the intrinsic birefringence is one of the most critical issues in terms of impact upon lens performance. Special treatment is required to avoid the degradation of imaging performance due to the intrinsic birefringence effects. Resist image comparison between an ArF lens with the treatment and that without is reviewed. Finally, actual lens performance is shown.
Performance of a high-productivity 300-mm dual-stage 193-nm 0.75-NA TWINSCAN AT:1100B system for 100-nm applications
Show abstract
To realize high productivity at the 100 nm node, ASML developed the TWINSCANTM AT:1100B. This dual stage 193 nm lithography system combines high throughput TWINSCANTM technology for 300 nm wafers, excellent dynamical performance, and low aberration 0.75 NA StarlithTM1100 projection optics. The system is equipped with a 20 W 4 kHz ArF laser and the AERIALTM II illuminator, enabling high intensity off axis and multi-pole QUASARTM illumination. Important process control requirements for the 100 nm technology node are CD variation across the chip and across the wafer. Full wafer leveling, including dies on the edge of the wafer, and CD uniformity performance on 300 mm wafers with and without topology are presented, showing full wafer CD uniformity numbers as low as 6.3 nm 3(sigma) for 100 nm isolated lines with assisting features. Imaging performance of dense, fully isolated lines, plus dense and isolated contact holes is shown. Also printing of critical customer structures is discussed. With these results it is demonstrated that the TWINSCANTM AT:1100B 300 mm ArF Step & Scan system meets the requirements for the 100 nm node.
Contamination Issues in Lithography
Contamination rates of optical surfaces at 157 nm in the presence of hydrocarbon impurities
Show abstract
Photodeposition rates for ten hydrocarbon species have been measured on CaF2 substrates under 157-nm irradiation in the presence of ppm scale levels of oxygen. The species are representative of hydrocarbon based compounds observed in outgassing studies of common build materials used in 157-nm based lithographic systems. Photodeposition rates have also been measured for a subset of the hydrocarbon species on a MgF2 thin film, six anti-reflective dielectric stacks, and fluorine doped fused silica for comparison with the results on CaF2 substrates. Two contamination processes are observed. One is the formation of an equilibrium layer on the surfaces. The other is a quasi-permanent contamination which is most pronounced at elevated levels of contaminant.
Contamination and degradation of 157-nm stepper optical components: field experience at International SEMATECH
Show abstract
Significant improvement in 157nm optical components lifetime is required for successful implementation of pilot and production scale 157nm lithography. To date, most of the 157nm optics lifetime data has been collected in controlled laboratory conditions by introducing predetermined concentrations of contaminants and monitoring degradation in terms of transmission loss. This publication compliments prior work by documenting field experience with the 157nm Exitech Microstepper currently in operation at International SEMATECH. Failure mechanisms of various optical components are presented and molecular contamination levels in purge gas, tool enclosure, and clean room are documented. Finally the impacts of contaminant deposition and degradation of components on imaging performance is discussed.
Development of environmental control technologies for 157-nm lithography at ASET
Show abstract
Purging and reduction of out-gassing are very important issues that need to be treated in order to realize F2 laser lithography system. Several methods of purging are tried and out-gases from metals, O-rings, lubricants, and an adhesive are analyzed. Metal surfaces mainly release oxygen and water independent of surface roughness, Ni plating, or elements. Other substances are not detected by API-MS or GC-MS. Since O-rings are indispensable to make gas-tight structures, several kinds of O-rings made of fluoro-compounds are tested. Black fluoro-rubber o-ring, O-ring F, is recommended from the view of organic out-gassing but Teflon-based fluoro-elastomer, O-ring A, is a good candidate in terms of the water out-gassing. Greases emit a large amount of out-gases even when the samples are not irradiated by 157 nm laser. As an adhesive, Adhesive A is recommended because of the fact that it does not release as much organic and inorganic compounds which may absorb 157 nm laser light. Finally preliminary demonstration using a model exposure system is performed to obtain purging time for several cases.
Impact of photo-induced species in O2-containing gases on lithographic patterning at 193-nm wavelength
Show abstract
In order to prevent contaminants and impurities from being deposited on the optical elements of the tool, ArF lithographic patterning of photoresists is currently done in the exposure chamber of a scanner or stepper that is purged with clean dry air. Unfortunately, the 6.4 eV (193 nm) ArF laser photons can dissociate molecular oxygen in air into atomic oxygen, form ozone, form singlet molecular oxygen and atomic oxygen from the photodissociation of ozone, and mediate singlet molecular oxygen formation in polymers by energy transfer mechanisms from impurities or specially added sensitizers (S) (e.g. dyes). Once formed, these species mediate photo-oxidative degradation processes of resist polymers, including cross-linking, chain scission, oxidation, and other secondary reactions by free radical mechanisms, resulting in resist feature erosion, poor resist feature profiles, particularly under bright field illumination in full field scanners and steppers. The occurrence of these photo-oxidative degradation processes has been experimentally verified in photoresist films exposed in commercially available ArF laser scanners and steppers that are purged with clean dry air. Using a custom-built ultra-high vacuum (UHV) chamber equipped with ArF laser beam line, mass spectrometer, and infra-red spectrometer, as well as an ex-situ X-ray photo-electron spectrometer and a Fourier Transform infra-red spectrometer, the effects of different exposure environments (dry air, nitrogen, oxygen, ozone/oxygen mixture, and vacuum) in either contributing to or mitigating these photo-oxidative degradation processes during exposure of photoresist films were studied. The effects of these photo-oxidative degradation processes have been quantified, and it was observed that the processes are initiated at the surface of resist polymers by photo-induced species, and proceed inwards, giving rise to a gradient of deteriorated material across the specimen thickness.
Process Optimization and Control II
Solution to resist poisoning in the integration of 248- and 193-nm photoresists with low-k dielectric materials
Show abstract
This article presents a general solution to the resist poisoning problem in 248 and 193nm resists integrated with low k dielectrics in VFTL process. This study investigated the interactions of various 248nm and 193nm photoresists with spin-on low-k materials. Two kinds of experiments were conducted: (1) material characterization involving blanket film/s on bare Si wafers, and (2) interactions in the dual-damascene full via-first trench-last (VFTL) stacks. The first study facilitates a fundamental understanding of material interactions. This basic understanding can be then extended to high-resolution patterning. In particular, the VFTL process that involves photoresists, anti-reflective coatings, low-k materials, and hard masks was studied. The effects and interactions of via plasma etch and ash processes on subsequent trench lithography steps was also investigated. This article will present experimental results and strategies to reduce or eliminate photoresist poisoning in the full VFTL process.
Poster Session
Simple focus monitoring by eccentric illumination aperture
Show abstract
Simple focus monitoring method has been successfully developed by application of a special illumination aperture, which generates oblique illumination beam. By this method, very high sensitive focus monitoring has been achieved in a current stepper. In the stop of the illumination aperture, an opening is located at eccentric position near pupil edge. Then, illumination beam obliquely incidents to mark pattern on mask. Because of this configuration of illumination beam, imaging is carried out with oblique beams on wafer. As a result, imaging becomes non-telecentric. That is, image formed by this illumination laterally shifts almost proportional to focal deviation. To measure the lateral pattern shift, box-in-box mark is formed by double exposure. Inner box is formed by the oblique illumination in the first exposure and outer box is formed by conventional low coherent illumination in the second exposure overlaying inner box by stepping of wafer. Then, relative displacement of inner box to outer box is measured by commercially available overlay measurement system. Since sine of landing angle of imaging beams is approximately NA*sigma, which is over approximately 0.50 in a current stepper, the focus sensitivity, which is defined by a ratio of lateral pattern shift per unit defocus, may become approximately 0.50. Because resolution of lateral pattern shift is approximately 2 nm in current overlay measurement, the resolution of focus sensing becomes very high of approximately several nm.
Maximizing common process latitude by integrated process development for 130-nm lithography
Show abstract
Maximized inherent common process latitude of 130 nm line/space features through pitch is demonstrated in this work. It is shown that the principle method for doing so is by reducing the through pitch, or proximity, bias. The effects that formulation, illumination optics and mask error have on proximity bias are studied. Formulations exhibit a wide range of bias that does not necessarily depend upon activation energy or process temperatures. Optical settings for inner and outer sigma for both annular and quadrupole illumination, likewise, have a demonstrable effect on the proximity bias. Larger, tighter annuli or poles produce larger bias, while lower settings incur a loss of resolution. Either effect limits the common latitude so a balance is struck between them. Additionally, while the effect of outer sigma is obvious in the data, the inner sigma effect is not observed until data are corrected for mask error and the mask error factor. The proximity bias ranges between approximately 10 and 80 nm, depending upon the combination of conditions. Sub-resolution assist features (scattering bars) are specifically excluded from use in this experiment.
Resist distribution effect of spin coating
Show abstract
The thin film formation of spin coating is one of the important factors in the fabrication of micro-electronic devices. In this study, the theoretical models for thickness variation during spin coating are discussed and the nanotopography impact is analyzed. The finite-difference-time-domain method and the finite element method are used to solve the convective diffusion equation for the solvent distribution and the Navier-Stokes equation including solvent evaporation for the film thickness change. These numerical calculations have good agreement to the experimental results of the non-chemically amplified resist (CAR) and the CAR. Solvent distributions of non-spin coating are described through the mesoscale modeling by using the Monte Carlo method. How the nanotopography impacts on the variation of resist distribution after spin coating is investigated quantitatively. So, the reason of the similarity in the transfer functions of the different type wafers is the solvent diffusion and evaporation.
Wafer edge-shot algorithm for wafer scanners
Show abstract
The requirement for the higher resolution is pushing up the NA of the projection lens, so the DOF becomes shallower and the focus budget becomes tight. On the other hand, the requirement for the higher through-put is still demanding. To achieve the best throughput, the alternate scanning exposure sequence is inevitable to current wafer scanners. To realize the alternative scanning exposure, it is necessary to perform precise focusing control even at the partial shot on the wafer edge region. A wafer edge stepwise focusing algorithm is developed. This algorithm utilizes multi-points focusing sensors and dynamically switches the focusing sensors during alternating scan exposure of the partial site on the wafer edge region. Thus the amount of the defocus on the wafer edge region is minimized. The actual performance of the wafer edge stepwise focusing algorithm is discussed. This algorithm can be used with or without pitching motion control of the wafer leveling stage. The influence of the pitching motion control to the focusing performance is also discussed.
Wafer flatness for CD control in photolithography
Show abstract
Wafer-induced focus error is investigated for analysis of our focus budget in photolithography. Using a newly developed wafer monitor, NIWF-300 (Nikon Corp.), we directly measure surface flatness of the wafer placed on wafer holder with vacuum chuck. Single site polished Si wafers were evaluated with NIWF-300 and a conventional flatness monitor. We also investigated the effect of wafer holder using a ring-shape wafer support and a pin-shape wafer support. As a result, we found wafer shape measured in a freestanding condition does not represent surface flatness of the wafer on a holder. The holder has an impact on the wafer surface. The increase of adsorption ratio between wafer and holder improves the surface flatness.
0.33-k1 ArF lithography for 100-nm DRAM
Show abstract
We have evaluated 0.33k1 ArF lithography using 0.63NA scanner to develop 100 nm DRAM. ArF resist problems were resist pattern shrinkage during CD SEM measurement, resist pattern collapse during wet development and poor etch resistance. Off-Site Measurement (OSM) method has been developed for decreasing pattern shrinkage. With OSM method, 8nm of CD shrinkage was down to 2nm for 100nm L/S patterns. We have found a proper BARC material that prevents resist patterns falling down. Lack of etch resistance was compensated by hard mask. With W/SiN hard mask, acrylate- type resist patterns were transferred well into W/poly-Si gate patterns. We have simulated process window of critical DRAM cell patterns (isolation, gate, bit line contact, storage node) in the simple off-axis illumination (OAI) and optical proximity correction (OPC) conditions based on single exposure. Simulation results were verified by lithography tests and it turned out that 0.33k1 process was possible with exposure latitude of above 10% and focus latitude of more than 0.4 micrometers . 0.33k1 ArF lithography was successfully implemented into 100 nm DRAM with CD uniformity of 10nm (3 (sigma) ) and overlay accuracy of 30 nm (mean +3 (sigma) ). We have also evaluated double exposure technique using dipole illumination targeting 90 nm in order to see the possibility of 0.29k1 process. 0.29k1 process was also likely to be possible, although some specific improvements were recommended for the wider process window. From the simulation and resist patterning results, we believe that 0.85 NA lens will be able to extend ArF lithography into 75 nm by single exposure technology using crosspole illumination (0.33k1 process) and 65 nm by double exposure technology using dipole and crosspole illumination (0.29k1 process).
Integration using KrF and ArF resist materials in a full via firstdual-damascene process scheme with CVD OSG low-k dielectric
Show abstract
Using a full via first (FVF) dual damascene (DD) scheme for copper processing with low-k dielectrics has presented many new challenges to the semiconductor industry. Among those challenges, for photolithography, resist poisoning at the trench level has been the most daunting. Resist and bottom anti-reflective coating (BARC) screenings for poisoning at the 0.13 micrometers and 0.10 micrometers technology nodes have been performed on a variety of KrF and ArF resist and BARC platforms using a simple semi-qualitative method. By varying resist parameters such as resin, photoacid generator (PAG), and solvent types, a lithographically suitable KrF resist is found for the 0.13 micrometers node with minimal sensitivity to poisoning. In addition, ArF resists and BARCs were screened for their sensitivity to poisoning for the 0.10 micrometers node. Suitable resist and BARC candidates are identified for preliminary use for the 0.10 micrometers node.
Aberration sensitivity control for the isolation layer in low-k1 DRAM process
Show abstract
One of the crucial factors to take mostly into account the development and production of 130 nm node in low k1 DRAM process is the lens aberration sensitivity control of optical lithographic tools. To meet the required specification these impact of lens aberration resulting from reducing process window caused by pattern deformation, CD uniformity, CD asymmetry, and pattern shift etc. should be understood and considered. In this study, we mainly focused on the aberration sensitivity control for the DRAM isolation layer that is very sensitive to odd components such as coma and three-foil etc. There are a few methods to do this, but the application of extreme sigma setting that is the powerful manner to improvement of asymmetric pattern and layout rotation were examined. It was confirmed that the simulated image and real patterning results for left-right CD difference came from aberrated lens are well matched. In addition, why is the extreme sigma setting more effective than standard settings was investigated with analysis of diffraction patterns on pupil filling of projection lens optics combined with Zernike coefficients phase map.
Impact of synchronization errors on overlay and CD control
Show abstract
Quality of exposures on Step&Scan systems highly depends on stages synchronization. While scanning, wafer and reticle stages must have same relative speed (4x ratio) and directions. In this paper, we investigate the tolerance to lateral vibrations of 0.18micrometers and 0.12micrometers gate patterning respectively on an ASML PAS5500/750E scanner (KrF) and a PAS5500/900 scanner (ArF) exposure tools. Results should be given both on the MA impact on overlay and the MSD effect on CD control. But, as no adapted experimental method has been found to correlate overlay degradation to induced MA and then confirm the theory that 1nm of MA induces 1nm of translation, only results on CD control will be discussed, including lateral MSD impact on nominal CD variations, process latitudes degradations and intrafield CD dispersion. In particular, we will show that MSD effect on CD strongly differs from 248nm imaging process to 193nm one.
193-nm lithography and resist reflow for the BEOL
Show abstract
This paper presents data obtained in developing a lithography process for the metal and via levels using a first generation 193 nm stepper and first generation 193 nm photoresist. For the line/space levels, process windows obtained using chrome on glass (COG) and phase shift masks are presented. The effect of print bias (wafer - mask dimension) on process window is shown. At 280 nm pitch, process windows for COG and phase shift masks are compared. When using a phase shift mask to print 245 nm pitch, thinner resist is shown to increase the process window. Results are shown for printing 245 nm pitch with a COG mask. For contact hole and via levels, a resist reflow process was investigated with the same resist used for the line/space levels. In this process contact holes are printed larger than required and then reduced in size by heating the resist and causing it to flow. The results obtained with different mask dimensions and different wafer critical dimension (CD) targets are discussed. Results show that a process could be developed for printing 150 nm contact holes with 400 nm depth of focus at 5% exposure latitude. Finally, the through-pitch behavior as a function of reflow bake temperature is shown. Although the more isolated vias tend to show more shrinkage than the nested vias, it is shown that the deviation in size through pitch can be controlled by adjusting the mask dimension.
Effect of scattering bar assist features in 193-nm lithography
Show abstract
The effect of assist feature optical proximity correction (OPC) has been well examined in 248nm lithography. The design of scattering bars is governed by rules that determine their ideal size and placement and for 248nm lithography have been successfully applied to improve the process latitude for 130nm features. However, assist feature OPC has not been explored for use in 193nm lithography. The objective of this paper is to determine the effectiveness of assist features, specifically scattering bars, in 193nm lithography using established rules for scattering bar size and placement. The experiment consists of a binary reticle with scattering bars of different size and placement. The exposures are obtained on an ASML 5500/950 scanner using conventional, annular and quasar illumination. The results of individual process latitude are examined for 100nm features from dense through isolated lines with no OPC applied to obtain a baseline process. The use of assist feature OPC is examined as a means to improve common and individual process latitudes. However, the initial results indicate that the existing rules for scattering bar design are not adequate to produce a useable process with 193nm lithography. The experimental results are examined to further refine the current rules for the design of scattering bars. The factors that resolve scattering bars and produce resist artifacts are discussed, including illumination, scattering bar size, type and placement. The results indicate that the existing rules for scattering bar design need to be modified for use in 193nm lithography.
Self-calibration of wafer scanners using an aerial image sensor
Show abstract
To improve both the versatility and stability of leading edge wafer scanners, the functionality of an integrated aerial image sensor has been expanded. The system performance of current wafer scanners is a strong function of the quality of image formation of the projection lens. Current wafer scanners use aerial image sensors for best image plane calibration, illumination telecentricity calibration, coma aberration calibration, and distortion calibration. The aerial image sensor is used not only for a scanner's self-calibration but also during the projection lens manufacturing purposes. The slit-scan type aerial image sensor is used for measurement of the intensity distribution of the aerial images. This type of the image sensor can detect the intensity distribution of the aerial image from 110nm L/ S to 6micrometers L/ S. Therefore this aerial image sensor covers most aerial image measurement requirements. In this paper we will focus on the aerial image measurement for self-calibration purposes and their actual performances. We evaluate the actual performance of illumination telecentricity and coma aberration measurement. Evaluation is based upon not only measurement repeatability but also its agreement with resist image measurement results.
Impact of scanner tilt and defocus on CD uniformity across field
Show abstract
Gate critical dimension (CD) uniformity across field is a key parameter in total gate CD control; it is especially important for highly integrated microprocessor chip with large die size and high speed. Intensive study has been conducted to reveal the impact of scanner leveling tilt, defocus and illumination distribution on CD uniformity across field. Correspondingly CD in die range, vertical-horizontal CD bias, resist side wall angle and profile have all been characterized and monitored for each individual scanner. The monitoring methodology we have established enables us to maintain these CD parameters within fairly tight control range, and also provided efficient and accurate data on tool capability and marginality for running production.
Lithographic comparison of assist feature design strategies
Show abstract
In this work the effects of sub-resolution assist features (SRAF) on the process window and the target CD control are investigated for the 100nm node gate level. Using 2-dimensional lithographic simulations the process windows of critical isolated and dense structures are determined and the overlapping process window with a second, dense feature is computed. This is achieved using a novel scheme of simulations over a wide range of line widths for a large pitch range. This approach allows us to explore systematically and simultaneously the impact of line width bias, number of placed assists, spacing to the main feature and spacing between the assist features as well as the assist feature width over a large parameter space. The overlapping process window is optimized following two different strategies: The first strategy places the assists only considering the space between two features independent of their width while reaching the target values for the different feature width using line biases. The second strategy under investigation defines the assist feature parameters based on both the line width of the target feature as well as the space from the target feature to the neighboring feature. For both approaches the implication for target CD control is discussed.
Bilayer and trilayer lift-off processing for i-line and DUV lithography
Show abstract
Lift-off resist processing has been used for a variety of applications as a way of patterning metal layers using additive deposition methods. Many different processes have been used for this purpose, each involving either single or multiple layers of resist which are processed to form a reentrant profile. In this study, we examine two specific applications where lift-off processing is especially challenging. In the first case, a high resolution i-line lift-off process was needed for an application having severe surface topography caused by thick surrounding ohmic structures. Conventional bi-layer resist processing provided poor critical dimension control due to adjacent reflective surfaces and swing effects caused by resist thickness non-uniformity. A solution was found by incorporating a developable anti-reflective coating into the resist stack to reduce reflectance and resulting swing effects. The result was a lift-off process with high resolution used to image gate trenches over severe topology with critical dimension control maintained. The second application involved creating a T-gate profile using conventional optical lithography methods and modern positive DUV resists. Problems related to interlayer mixing and dissolution were overcome by introducing a photostabilization process to harden the stem layer and maintain its fidelity during the coating of subsequent resist layers. The result was an all optical, positive DUV tri-layer resist stack performed using two separate optical exposures, which produced a 200 nm T-shaped gate structure.
Improved adhesion of photoresist to III-V substrates using PECVD carbon films
Show abstract
Amorphous PECVD carbon films have been investigated as a means to prepare III-V compound semiconductor substrates for improved photoresist adhesion. Results show that significant improvements in adhesive durability of patterned photoresist occurred for carbon primed GaAs and InGaAs wafers used in conjunction with both i-line and DUV lithography processes. These carbon layers, were 50-100 Angstrom in thickness, and varied in composition and morphology from a nitrogen-doped, diamond-like material (DLC), to a more hydrogen rich, polymer-like material (PLC). Adhesion durability tests performed in baths of ammonium hydroxide (NH4OH) and hydrochloric acid (HCl) in general showed superior performance compared to non-primed substrates. The sole exception was a failure of PLC priming on GaAs wafers used with a DUV anti-reflective coating. This same system, however, was shown to work extremely well when a DLC coating was substituted. Characterization of PLC and DLC films included use of AES, XPS, FTIR, AFM, and contact angle analysis. Results indicate that carbon films passivate III-V oxides, creating a stable, hydrophobic surface. This factor is proposed as a key reason for the improved resistance to aggressive aqueous environments. AFM results show that carbon films are extremely smooth and actually decrease surface roughness, indicating that mechanical adhesion is unlikely.
Implementation of phase-shift focus monitor with modified illumination
Show abstract
For the convenience of practical use of phase shift focus monitor (PSFM), which has been developed by T. Brunner, imaging characteristics of PSFM are investigated under modified illumination by optical image calculations and printing experiments. Although the mechanism of pattern shift with focus offset under modified illumination is different from that for conventional high coherent illumination, sufficient sensitivity for precise focus monitoring is predicted by optical image calculations. Also, it is revealed that reduction of NA, i.e., localizing illumination at the peripheral part of pupil is effective to obtain higher sensitivity. By experiments, predicted characteristics are observed and similar sensitivity to that in conventional high coherent illumination is confirmed both for annular and quadrupole illuminations.
Bottom antireflective coating processing techniques for via-first dual-damascene processes
Show abstract
As dual damascene process integration continues, Bottom Anti-Reflective Coating (BARC) processing information for partial and full via fill for via-first dual damascene has been an increasing concern. To fill this need a screening design of experiment was used to discover the main factors that would give increased fill and reduce iso-dense bias for both fill and top coverage. This DOE incorporated typical coat and bake module process parameters, such as acceleration, spin speed, and spin time for the dispense, spread and casting steps, and a two stage bake for the bake module. The process steps that were found to affect via fill and iso-dense bias for via fill and top coverage were then used in multi-level process characterizations and are presented here. Multiple viscosities were also tested in these designs to bracket partial via fill processing. Via fill and bias results for via fill and top coverage will be presented for contact vias that have diameters from 300 nm to 160 nm that range in pitch ratios of dense (1:1) to isolated (1:5).
Uniform metal patterning on micromachined 3D surfaces using multistep exposure of UV light
Show abstract
Focal depth limitations prevent use of normal lithography tools and processes on three-dimensional structures. A relatively little known form of uniform metal trace patterning over extreme 3-D structured wafers by a multi-step exposure method, called stitching technology, has recently been developed by Hewlett-Packard Company, with equipment support from the Ultratech Stepper Company, the result of which is being reported in this paper. The basic idea is to slice the metal lines to be patterned into topographic layers that can each be exposed in one step. Patches of patterned metal lines can thus be stitch-ed to one another (thus, the term stitching). Exposure of one photo-resist layer by stitching takes several individual exposures at different focus planes. A patent has been applied for this method on behalf of the Hewlett Packard Company. Results of the present investigation demonstrate the superior uniformity of metal trace pattern over 350-um deep trenches produced by multi-step exposure, as compared to the conventional single-step exposure method, typically used on planar semiconductor wafer. The integrated method offers an enabling technology for patterning of extensive topography typically required for a multitude of MEMS structures and designs, novel interconnect structures as well as advanced packaging applications. The method is simple, accurate and relatively low-cost in comparison with other 3-D exposure techniques available and capable of 3-D structure patterning.
Contact-reducing method over topography by combining the RELACS technique and bilayer process
Show abstract
Extending current lithography capability in the case of severe topography is desired in trench-first dual-damascene process. We demonstrate a solution of applying the RELACS process on DUV bi-layer resist system to provide a planarization material with CD shrinkage ability. By combining these two commercially available processes, the cost saving of contact-reducing techniques can be realized on wafers with aggressive topography. The main results presented in this study include (1) process window of the bi-layer/RELACS is comparable with that of the bi-layer only process. (2) Across wafer CD uniformity of the bi-layer/RELACS process is improved compared to that of the bi-layer process alone. Further etch steps does not degrade CD uniformity either. Nice post etch across CD uniformity and cross-section photos from post etch show the image resist still retains enough etch resistance after RELACS process. (3) Among all geometry in this study, the maximum difference from two orientations is 2nm in width and 5 nm in length. (4) Geometry size shows a bigger effect on shrinkage, for the ellipse contacts with aspect ratio 2, it shrinks 14nm more in length direction than in width direction. For contacts with aspect ratio 1.3, the shrinkage difference between width and length is relative small (about 2nm). (5) Total CD shrinkage in the range of 40 to 60nm has been achieved. Baking temperature sensitivity is measured to be about 1.3 nm/ degree(s)C. The value of temperature sensitivity suggests possible lot-to-lot, wafer-to-wafer and across wafer CD control for mass production. (6) A set of horizontal contacts is employed for pitch dependency studies. From pitch size changes from 450nm to 700nm in length direction, the length shrinkage changes by 8% of the target CD. And for pitch size changes from 450nm to 850nm in width direction, the width shrinkage changes by 9% of the CD target. Post RELACS OPC may be necessary for critical cases to compensate the pitch dependency of CD changes.
Innovative optical alignment technique for CMP wafers
Show abstract
Detecting position of the wafers such as after CMP process is critical theme of current and forthcoming IC manufacturing. The alignment system must be with high accuracy for any process. To satisfy such requirements, we have studied and analyzed factors that have made alignment difficult. From the result of the studies, we have developed new optical alignment techniques which improve the accuracy of FIA (alignment sensor of Nikon's NSR series) and examined them. The approaches are optimizing the focus position, developing an advanced algorithm for position detection, and selecting a suitable mark design. For experiment, we have developed the special wafers that make it possible to evaluate the influence of CMP processes. The experimental results show that the overlay errors decrease dramatically with the new alignment techniques. FIA with these new techniques will be much accurate and suitable alignment sensor for CMP and other processes of future generation ULSI production.
Integration of new alignment mark designs in dual inlaid-copper interconnect processes
Show abstract
In a joint development program between ASML and Motorola a new set of alignment marks have been designed and tested using the ATHENA off-axis alignment system on the ASML scanner. The new marks were analyzed for improved robustness against varying wafer-processing conditions to verify improved overlay capability and stability. These new marks have been evaluated on a set of dual inlaid-copper short flow wafers, with layer stacks consisting of 180 nm technology generation dielectric materials. Typical process variation has been deliberately introduced as part of the designed experiment to study the performance robustness of the new alignment marks. This paper discusses the new mark design and the theoretical reasons for mark design and/or integration change. Results shown in this paper provide initial feedback as to the viability of new variations of ATHENA alignment marks, specifically the SSPM and VSPM. Included in the results is the investigation to further stabilization of alignment signal strength. New ideas that are currently under development, to increase alignment mark signal strength stability, are discussed.
Novel strategy for wafer-induced shift (WIS)
Show abstract
Alignment error that originates in the actual wafer process is one of the factors that deteriorates total overlay accuracy. This error is known as wafer induced shift (WIS). WIS occurs through a change of alignment mark topography during the actual wafer processing. To reduce this error, we propose a tool that will simulate an alignment offset generated by WIS. We have called this tool the Alignment Offset Analyzer. The Alignment Offset Analyzer consists of a profiler for measuring the alignment mark topography and a simulator that simulates the alignment offset. By using the Alignment Offset Analyzer, we simulate the alignment signals from a Tungsten chemical mechanical polishing (CMP) wafer. The simulated alignment signals have an asymmetric shape due to the wafer processing. With these signals, the alignment offset caused by WIS can be estimated prior to the exposure sequence.
Clear-field dual alternating phase-shift mask lithography
Douglas A. Bernard,
Jiangwei Li
Show abstract
A new double exposure technique using two alternating phase shift masks (APSMs) is developed for patterning clear field designs at ultra small critical dimensions. It is called Clear Field Dual APSM Lithography. It is based on the hypothesis that phase conflicts can be avoided for both masks if apertures oriented along the vertical direction are assigned to one mask, and those along the horizontal direction to the other. It is expressed as a heuristic mask synthesis strategy in which each mask is imaged at the full exposure dose. The strategy was validated using aerial image simulations of various design configurations. Interesting results were obtained regarding image stitching, tight patterns, design rules, image resonance and its mitigation, and the beneficial properties of phase edges.
Defect printability of alternating phase-shift mask: a critical comparison of simulation and experiment
Show abstract
An alternative phase shift mask (alt-PSM) is a promising device for extending optical lithography to finer design rules. There have been few reports, however, on the mask's ability to identify phase defects. We report here an alt-PSM of a dual-trench type for KrF exposure, with programmed quartz defects used to evaluate defect printability by measuring aerial images with a Zeiss MSM100 measuring system. The experimental results are simulated using the TEMPEST program. First, a critical comparison of the simulation and the experiment is conducted. The actual measured topography of quartz defects are used in the simulation. Moreover, a general simulation study on defect printability using an alt-PSM for ArF exposure is conducted. The defect dimensions, which produce critical CD errors are determined by simulation that takes into account the full 3-dimensional structure of phase defects as well as a simplified structure. The critical dimensions of an isolated defect identified by the alt-PSM of a single-trench type for ArF exposure are 240 nm in bottom diameter and 50 degrees in height (phase) for the cylindrical shape and 240 nm in bottom diameter and 90 degrees in height (phase) for the rotating trapezoidal shape, where the CD error limit is +/- 5%.
CD control in phase-edge lithography: the effects of lens aberration and pattern layout
Show abstract
This paper presents a study of the CD accuracy of phase-edge lithography for 130-nm-node CMOS-gate patterning. In phase- edge lithography, although large process margins are obtained, precise OPC (Optical Proximity effect Correction) is necessary because of large proximity effect. Rule-based OPC was applied to phase-edge gate patterns (Lg equals 100 nm) with KrF exposure in fabricating 130-nm CMOS LSIs. Proximity effects due to pattern variations in the arrangement of the phase shift mask, the effect of double exposure, the micro- loading effect in dry etching and differences between the etching rates for nMOS and pMOS were all corrected. The variations in CD that were due to the proximity effect decreased from +/- 15 nm to +/- 5 nm by applying the OPC. Although the error in CD was decreased, process margins for specific pattern arrangements were degraded by lens aberration. Analysis shows that the image performance of an asymmetric pattern is strongly affected by odd-order aberrations and may reduce the process margins for those patterns. The suppression of lens aberration and symmetric phase-shifting mask designs which are less sensitive to aberrations are essential as ways to achieve highly accurate control of CD in applying the phase-edge method.
Effect of quartz phase etch on 193-nm alternating phase-shift mask performance for the 100-nm node
Show abstract
Alternating aperture phase shifting mask (AAPSM) technology is finding increased use in the patterning of critical layers due to the enhanced resolution and decreased linewidth variation characteristic of this technique. The potential advantages of AAPSM processes must be weighed against the increased complexity of reticle layout, higher reticle cost, and heightened sensitivity to parameters such as lens aberration. This work details the effect of shifter trench depth on patterning performance for the 100nm node. Data was collected at an exposure wavelength of 193nm using reticles built with deliberate errors in shifter trench depth. Differences in patterning performance observed as a result of these variations are compared with the impact predicted from modeling.
AttPSM CD control: mask bias and flare effects
Show abstract
Small MEEF is important as well as a large process window to control dense line CD variation. The MEEF and the process window are both strong functions of mask bias. In this study, MEEF and process windows were analyzed mainly with 100nm node dense lines with varied mask bias using 9% attPSM and conventional binary mask. Illumination influences were also analyzed. Flare is one of the big concerns of the lithographic performance, but its influences are not well understood. Long range flare was also studied in terms of CD control. Flare definitely reduces the process window, but has no influence on MEEF. A systematic analysis was done in order to explain the results.
Effect of feature size, pitch, and resist sensitivity on side-lobe and ring formation for via hole patterning in attenuated phase-shift masks
Show abstract
Attenuated Phase Shift Masks (att PSM) have become very popular in the industry for printing contact holes. Higher transmission att PSM generally tends to give a better depth of focus and exposure latitude. However, the main drawbacks of using higher transmission masks are side lobes, printing of unnecessary patterns and resist erosion. The side lobbing is strongly dependent on the feature size, pitch, coherency of exposure radiation, illumination type and the transmission of the mask being used. Along with these factors, the other most important factor is the resist contrast. In this paper the effect of pitch, feature size, and resist sensitivity were evaluated on side lobes and rings formation for via holes designed down to 180 nm. Six different pitches were studied (1:1 to 1:5). Two different types of resists were used and the mask transmission used for the study was 8%. Simulations were carried out using PROLITH 3D version 7.1 from KLA Tencor while the experimental verifications were done at Nikon 248 nm step and scan tool. The experimental results were found in accordance with the simulation data. The effect of NA & (sigma) have also been studied on resolution, exposure latitude and depth of focus.
Impact of transmission error for attenuated phase-shift mask for 0.10-um technology
Show abstract
Resolution enhancement techniques (RET) have been widely used to extend existing lithography to print features below the wavelength of the light source. One of such techniques is phase shifting. Due to the relative ease of implementation, the application of attenuated phase shift mask (PSM) is relatively more common compare to the strong alternating phase shift mask. The impact of the mask error critical dimension (CD) and its effect on printing onto wafers have widely been studied. However, the transmission error incurred in the blank and mask making has not been popularly investigated. This paper will study the impact of transmission error on the CD of wafer printing level. Simulation studies have be preformed for isolated lines as well as dense lines with assist features using 248nm laser source. In addition, the effect of phase error by on-axis as well as annular illumination type of light source will also be investigated.
Tandem process proximity correction method
Kohji Hashimoto,
Tatsuaki Kuji,
Shingo Tokutome,
et al.
Show abstract
Tandem process proximity correction (PPC) method is proposed for a novel PPC methodology in low k1 lithography. A features of this method is that proximity corrections for unit process (mask fabrication process, lithography process on wafers, etching process on wafers) are carried out in reverse order of process events in the mask EB data processing. Also, each correction model or rule is individually obtained with traces of experimental unit process proximity effects (PPE). An optically-related-model could be applied to only lithography PPC, for example, and optically-unrelated-models or rules could be applied to other unit PPCs. The above features indicate the possibilities of performing an accurate correction model with the large etching PPE. In addition, the tandem PPC could be available to optically-unrelated pattern shrinkage processes in low k1 lithography; those are a resist- slimming process, a thermal-flow-resist process and so on. The PPC model accuracy is discussed with the application to 0.13 um-ruled DRAM gate process and the result provides better performance. The features also imply that the tandem PPC involves the short turn-around-time (TAT) of a remodeling of an updated mask fabrication process. This is because the mask fabrication process update only requires the alternation of the mask PPC model in the tandem PPC.
Improved line-end foreshortening and corner-rounding control in optical proximity correction using radius of curvature method
Show abstract
We describe how to generate better Optical Proximity Corrections (OPC) for line-ends and corners by using rounded anchors and serifs. These rounded serifs and anchors can be made smaller in size and shape than the traditional rectilinear anchors and serifs. The smaller size of the serifs tend to have less problems in satisfying mask-rule constraints. They also have less adverse effects on the printability of neighboring shapes. We refer to these rounded anchors and serifs as Mouse-Ears. The rounding is done by circles which are regular octagons with Ortho-45 straight lines. The main idea of this paper stems from the physical description of the lithographic process, which can be conceptualized as a low-pass filter. The low-pass filter eliminates the sharp corners of the feature which are made of high spatial-frequency components and retains the low spatial-frequency components. Since the rounded anchors and serifs have fewer high-frequency components than their rectilinear counterparts they get less deformed in the lithographic process.
Adaptive OPC with a conformal target layout
Show abstract
In its purest form optical proximity correction (OPC) creates a mask layout to faithfully reproduce the design intent, or target, on silicon. Practical, production-worthy OPC deviates from this ideal in several respects. First, each set of anticipated process conditions -- defocus, dose -- would require a unique ideal correction. An optimized OPC shape must be derived to minimize harm over the expected ranges of process conditions. Second, the original design layout does not always convey accurate or complete information about the design intent. For example, square corners cannot be printed; how much corner rounding is acceptable? Some legacy design practices, such as line-end extension rules, anticipate (in part) proximity-effects where the intended line end is shorter than drawn. Without additional information, the OPC tool is constrained to aim for the one silicon layout matching the drawn layout as closely as possible. On the other hand, if the OPC tool is given limited liberty to deviate from drawn shapes and positions where they have little or no impact on circuit behavior the correction can be better optimized for several, sometimes competing, constraints - such as: minimizing output figure complexity, minimizing CD error through process variation, maximizing image contrast, and minimizing mask error enhancement factor. In this paper we will demonstrate OPC strategies for optimizing corrections to minimize the harmful effects of random process variations while simultaneously minimizing mask layout complexity. We introduce the concept of a 'conformal target' layout which enhances the drawn pattern with design-intent tolerance information. This information specifies bounds on minimum line and space dimensions, line position, and edge position. Such feature-specific tolerance information provides additional degrees of freedom for OPC synthesis to optimize trade-offs among process window behavior, contrast, MEEF reduction, output figure complexity, and other fab-specific objectives. Furthermore, the tolerance-based conformal target provides an ideal reference pattern for verifying OPC and other resolution enhancement treatments (RET) on the mask layout.
Model-based OPC considering process window aspects: a study
Show abstract
Critical features of a product layout like isolated structures and complicated two-dimensional situations including line ends have often a smaller process window compared to regular highly nested features. It has been observed that the application of optical proximity corrections (OPC) can create yet more aggressive layout situations. Although corrected layouts meet the target contour under optimal exposure conditions, the process window of these structures under non-optimal conditions is thereby potentially reduced. This increases the risk of shorts and opens in the resist images of the designs under non-optimal exposure conditions. The requirement from a lithographer's point of view is to conduct a correction that considers the process window aspect besides the desired target contour. The present study investigates a concept of using the over-dose and under-dose responses of the simulated image of an exposed structure to optimize the correction value. The simulations describing the lithographic imaging process are based on an enhanced variable threshold model (VTRE). The placement error of the simulated edge of a structure is usually corrected for the nominal dose and focus settings. In the new concept the effective edge placement error is defined as the average of the edge placement errors for the over-dose and the edge placement error for the under-dose conditions. If a specific layout has a very non-symmetric response to over-/under exposure for the evaluated condition, it is prone to a certain failure mechanism (open or short). Hence calculating the average of the edge placement errors will shift the effective correction towards a layout with larger process window. The paper evaluates this concept for 100 nm ground rules and 193 nm lithography conditions. Examples of corrected layouts are presented together with experimental data. The limitations of the approach are discussed.
Hopkins versus Abbe: a lithography simulation matching study
Show abstract
We have developed an ultrafast lithographic 2D aerial image simulator named LithoCruiserTM. It employs a novel algorithm based on Hopkins imaging (Titanium model). Compared to currently available simulation tools, LithoCruiser has demonstrated an order of magnitude increase in computational speed. This makes it well suited for predicting the printing of sizeable 2D patterns as well as the analysis of overlapping process windows for multiple feature cut-lines. With such a high computational speed, there is a need to determine whether its accuracy has been compromised. A comprehensive set of comparisons was performed against an internal standard based on Abbe imaging (Platinum model) - one that is comparable to commercially available simulators. Analyses of CD through pitch for three 1D mask types (binary chrome, dark field, and PSM) as a function of NA, illumination, and aberrations were conducted. LithoCruiser predicted trends through pitch that agreed well with both internal standard and commercially available lithography simulators. Largest deviations were no more than 5 to 10 nm with typical values of 3 to 5 nm. As the LithoCruiser accuracy setting was increased, CD values converged to target values. The accuracy of CD values was essentially independent of simulation grid setting when less than or equal to 10 nm.
Monte Carlo method for highly efficient and accurate statistical lithography simulations
Show abstract
Recent years have shown a strong increase in the use of statistical lithography error analysis for process tuning and in making technology choices. Simulation has shown it can play an important role in this area by accurately predicting experimental critical dimension (CD) distributions. Earlier statistical lithography simulation work was based on the Response Surface Methodology. The response surface is built by simulating CD dependence on input lithography process variables of interest such as focus, dose, mask CD, resist thickness, etc. The process parameters are then sampled from the Gaussian distribution to generate the distribution of the resulting resist CDs. When a large number of input parameters are being considered in order to describe the important experimental variations, the computational runtime is rapidly increased due to the requirements to fully simulate an (N+1)-dimensional response surface, where N is the number of input parameters. The work we present here has improved the speed of statistical lithography simulations through the use of Monte Carlo technique. With this technique, the runtime of the simulations is independent of the number of input parameters. The technique can be used for 1D or 2D simulations. We present results benchmarked with 130 nm process data showing the usefulness, runtime improvements and accuracy of this method. We have also used Variable Threshold Resist model (VTRM) in conjunction with the Monte Carlo technique. VTRM was calibrated against experimental focus-exposure matrices at varying line width and pitch. The use of VTRM greatly improves the accuracy of the statistical results by the virtue of establishing a good fit to the experimental data, which can be quantified by the root mean squares of residuals. VTRM also significantly speeds up the computation, since it uses only aerial image calculation as opposed to full resist modeling. Simulation results produced by using VTRM closely match the experimental results through a range of pitches, mask line widths and various illumination conditions.
Influence of OPC features on the profile of 2D mask patterns
Show abstract
With increasing use of OPC, there is a need to understand image formation better. The widely used Hopkin's model gives believable results but yields little insight into image formation. We present in this paper a new image formation technique based on the Geometrical Theory of Diffraction (GTD). Using GTD, we obtained a relationship between the edge on the mask and the disturbance in image space. We call this disturbance the Diffraction Edge Response (DER). Heuristically, the strength of the DER must drop nearing the end of an edge. The DER is thus modulated by a certain function. At this point of the development, we could not derive an expression for this function. However, we postulate that the Modulation Function is the square root of the intensity of the edge segment. This postulate is justified by the excellent agreement with results obtained using existing simulation tool. Image formation is thus governed by the DER and the Modulation Function. If the new image formulation is separated into the cross and non-cross terms respectively, it is observed from simulations that the cross terms have values closed to zero at the feature boundary. This unique property, stemming from the nature of the DER, turns a non-linear problem into approximately a linear problem at the feature boundary. A host of problems could then be understood. Using this tool, we show how the behavior of a simple corner varies with NA, PC and its dimension. We also discuss the implications of this tool on current OPC strategy. We have assumed an aberration-free system and an infinitely-thin 2D mask in this development. It is possible to extend it to an aberrated system and to 3D-mask. That will be our work in the future.
Assist feature OPC implementation for the 130 nm technology node with KrF and no forbidden pitches
Show abstract
At the 130 nm technology node with KrF illumination the k1 factor is only approximately 0.35. At k1 equals 0.35 it becomes essential to apply some form of Resolution Enhancement Technology (RET). The addition of sub-resolution assist features is one route to achieving a manufacturable process window. One potential drawback to assist features has always been the so called 'forbidden pitch' issue. In this paper the authors will describe a method for achieving a manufacturable process window for all pitches including those within the 'forbidden pitch' zone. The authors will show through simulation how careful optimization of the illumination settings can result in a manufacturable process window for all pitches. Finally, experimental results will be given which confirm the through pitch performance of the final process.
Model-based OPC for phase-shifter edge lithography
Show abstract
Phase shifter edge lithography (double-exposure method) provides improved image contrast and lithographic resolution. However, it is subject to the problems of optical proximity effects. Therefore, to make this technique practical for use in device manufacturing, it is necessary to understand the characteristics of optical proximity effects and through such understanding establish a practical OPC (Optical Proximity Correction) method to correct them. Since the size of both the phase shift mask (PSM) and the trim mask (a mask used to form a rough gate pattern) significantly affect the wafer CD (critical dimension), an OPC tool which takes the layout of the two masks into account is required. Due to the difficulty in describing a rule-table for both masks, a model-based approach is a suitable means to develop such a tool. A PSM and a trim mask are used to calculate aerial images. In a double-exposure approach, however, mask shape does not define the desired shape. Therefore, an additional layer which defines the desired shape has been introduced. The desired shape is also used to consider the etching effect, which is described in a rule-table and applied to the original layout. With this approach, the desired shape defines the resist shape. To improve computing time, we apply model-based OPC only to specified areas, and rule-based OPC outside of those areas. Because of the large amount of data that must be processed, the designed layout is divided into fractions and compute on a multi-processor system. Previously, we reported improvements in pattern-matching methods to reduce the simulation time. In this paper, we report full-chip- correction performance and results of OPC technology.
Enhancements in rigorous simulation of light diffraction from phase-shift masks
Show abstract
With the increasing importance of phase-shift masks (PSM), the rigorous simulation of the light diffraction from the mask becomes a standard technique in lithography simulation. The combination of rigorous simulation of light diffraction with scalar and vector imaging theory results in several possible model options. The paper presents an overview about these model options. A new approach to the modeling of oblique incidence of light on the mask is proposed. The performance of field decomposition techniques for two selected examples is discussed. The different model options are applied to the simulation of the imaging of an alternating PSM with a ArF scanner. Resist simulations are performed with a calibrated model. Simulation results are verified experimentally and presented via resist imaging data for different pitches.
New methods to calibrate simulation parameters for chemically amplified resists
Show abstract
In this paper we examine new models and the indispensability of model parameters of chemically amplified resists (CAR) for their usage in predictive process simulation. Based on a careful exploration of different modeling options we calibrate the model parameters with different experimental data. Furthermore, we investigate different modeling approaches: (1) Mode of coupling between diffusion and kinetic reactions, sequence of quencher base events (Hinsberg model); (2) Mode of diffusion: Fickian and linear diffusion model; (3) Development rate model: Performance of the Enhanced Notch model. The resulting models are evaluated with respect to their performance by comparing with experimental line-width for semidense (1-2, 1-1.6, 1-1.4, 1-1.2) and dense features, the bias between different features and full resist profiles. The investigations are applied to the Shipley resist UVTM 113. Finally, a parameter extraction procedure for chemically amplified resists is proposed.
Aerial image simulations of soft and phase defects in 193-nm lithography for 100-nm node
Show abstract
We report results from fast aerial-image simulations of defects with variable transmission and residual phase (which we call soft or phase defects in this work) in 193-nm lithography for the 100-nm node. These results include a qualitative benchmarking of mask-types and their sensitivity to defects with variable transmission and residual phase. We treat the cases of soft (phase) defects on (1) binary masks, (2) binary masks with assist-bars, (3) bright-field attenuated phase-shift masks, (4) bright-field attenuated phase-shift masks with assist-bars, and (5) alternating phase-shift masks. The focus of this paper is to study deviations of critical features close to such defects, thereby limiting the discussion to isolated lines. The various optical enhancement techniques show striking differences in their sensitivity to defects, which may lead to differences in repair criteria for mask making. Furthermore, we show that the distance between critical feature and defect is a critical parameter and that differences in aspect ratio of the defects studied here have a negligible effect on the critical feature.
Tuning and simulating a 193-nm resist for 2D applications
Show abstract
For some applications, the usefulness of lithography simulation results depends strongly on the matching between experimental conditions and the simulation input parameters. If this matching is optimized and other sources of error are minimized, then the lithography model can be used to explain printed wafer experimental results. Further, simulation can be useful in predicting the results or in choosing the correct set of experiments. In this paper, PROLITH and ProDATA AutoTune were used to systematically vary simulation input parameters to match measured results on printed wafers used in a 193 nm process. The validity of the simulation parameters was then checked using 3D simulation compared to 2D top-down SEM images. The quality of matching was evaluated using the 1D metrics of average gate CD and Line End Shortening (LES). To ensure the most accurate simulation, a new approach was taken to create a compound mask from GDSII contextual information surrounding an accurate SEM image of the reticle region of interest. Corrections were made to account for all metrology offsets.
Comparison between the process windows calculated with full and simplified resist models
Show abstract
While numerical simulation is generally regarded as indispensable for wavefront engineering tasks such as OPC decoration and phase-shift mask design, full resist models are rarely used for this purpose. By full resist models, we mean models derived from a physical, mechanistic description of the chemical response of the photoresist to exposure and the subsequent PEB and develop processes. More often, simplified models such as an aerial image threshold model or the Lumped Parameter Model (LPM) are used because these models are much faster and make optimization of optical extension technology more tractable. Simplified resist models represent a compromise between computational speed and simulation accuracy. The purpose of this study is to quantify the differences between the process windows calculated with simplified and full resist models. Our approach is first to fit the parameters in the simplified models to match results obtained with a full resist model, and then to compare the predictions of the simplified resist models with those obtained with the full model. We take two approaches to model tuning: mathematical derivation of relationships between the models, and least-squares fitting of FE matrix data for isolated and dense lines.
OPC applications into embedded-OPC designs
Show abstract
To achieve the demand of the ever-shrinking technologies, design engineers are embedding rule-based OPC (Optical Proximity Correction) or hand-applied OPC into bit-cell libraries. These libraries are then used to generate other components on a chip. This creates problems for the end users, the photolithographers. Should the photolithographer change the process used to generate the simulations for the embedded OPC, the process can become unstable. The temptation to optimize these shrinking cells with embedded adjustments can be overcome by other methods. Manually increasing fragmentation or manually freezing portions of bit cells can provide the same level of accuracy as a well-simulated embedded solution, so now the model-base OPC generated by the end user can be applied, tolerating process or illumination changes. Manually freezing portions of a bit cell can assist in optimization by blocking larger features from receiving a model-based solution, whereas increased fragmentation augments the model-based application. Freezing contacts or local interconnects landing sites at poly for example, would allow the model-based OPC to optimize the poly over the active regions where transistor performance is vital. This paper documents the problems seen with embedding OPC and the proper ways to resolve them. It will provide insight into embedded OPC removal and replacement. Simulations and empirical data document the differences seen between embedded-OPC bit cells and fragment-optimized bit cells.
Flexible fragmentation rules for next-generation OPC: tag prior to fragmentation
Show abstract
Fragmentation, cutting polygon edge into piecewise of small segments that are later allowed to move individually, has been widely accepted as the work-around methodology in modern model-based optical proximity correction (OPC) tools. As tuning a model-based OPC recipe, most engineers spend much time on the model fitting to make simulated curves a better fit to empirical data (CD measurements). Most failure cases, however, do not result from a model with bad fitting. Instead it has been frequently found that undesired OPC outcomes were derived from fragmentation process. Tuning fragmentation parameters may not be sufficient to resolve some failure cases since it could be intrinsic issues of the current fragmentation mechanism. An illustrative example is the poor correction of a hammerhead line end, in which current fragmentation mechanisms fail to identify it as a line end and later improper compensation (correction) is installed. Other examples include asymmetric OPC results are frequently found. In the present study, several examples were used to assist the analysis of current fragmentation mechanisms in the aspects of effectiveness and limitations. For the coming 0.1 micron or even more advanced generations of technologies, the role of fragmentation mechanism renders its importance more profoundly. Therefore, more powerful fragmentation mechanism will be one of major factors for the success of OPC process. It is the main goal of this study to propose a new fragmentation mechanism. Edges are tagged specifically according to their environment prior to the process of cutting edge into smaller segments. The pseudo code of the new fragmentation mechanism will be given with detailed descriptions.
Etch simulations for lithography engineers
Show abstract
We introduce the concept of etch simulations for lithography engineers. Traditional lithographic simulations begin with a design layout and model the optical and chemical processes involved in reproducing the design as a 3-dimensional photoresist pattern. What we are really after, however, is information about the pattern, as it would appear in silicon. To achieve this goal, we devise an etch algorithm whose inputs include a full lithography simulation and minimal information about an intended etch process. Namely, we take as inputs the horizontal and vertical etch rates for each material in the film stack, the angular distribution of the incoming ion flux, and possibly a fitting coefficient for physical sputtering processes. We then produce a set of output metrics -- before and after etch -- including the CD, sidewall angle, resist loss, etch depth, etc. This gives us the opportunity to look at after etch metrology as a function of traditional lithographic input variables such as focus, exposure dose, etc., and to understand the impact of lithographic changes on after etch CDs and process windows, but without being bogged down with the physical details of the etch process. This simplified approach to etch simulation yields several useful results. In this paper we present a study of the influence of the resist profile on after etch CDs; we look at process window determinations made before and after etch; and we consider OPC variations and their effects on pattern fidelity in post-etch silicon. In addition, we consider the etch module as an extension of the lithography simulator, allowing for modeling of a bilayer resist.
Accuracy of simulation based on the acid-quencher mutual diffusion model in KrF processes
Show abstract
The accuracy of the acid-quencher mutual diffusion model was examined for three commercial resists (acetal-type resists for use with KrF exposure), by comparing results for real wafer CDs with simulated results as obtained by using the model with best-fit parameters (diffusion length for acid/quencher, and relative concentration of quencher). Utilizing our model reduced the deviation between simulated and measured CDs for a wide range of patterns to 6 nm in terms of standard deviation and +/- 10 nm in terms of p-v range. Best-fit Parameters are in the following ranges; acid-diffusion length equals 7 - 13 nm, quencher-diffusion length equals 150 - 200 nm, and relative quencher concentration equals 0.16 - 0.175 (all for two-iteration calculation). The best-fit diffusion length dependence on number of iterations in diffusion/quenching calculation implied agreement with Fick's law and the dependence of the best-fit relative quencher concentration on exposure dose suggested the validity of this model. Quencher diffusion into an organic bottom anti-reflective coating (BARC) was also observed by carrying out a simple experiment.
Accuracy of new analytical models for resist formation lithography
Show abstract
The applicability and accuracy of newly developed analytical models for resist process effects are investigated. These models combine a stationary level set formulation with a lumped parameter model. They allow to propagate the 3D photoresist profile given the 3D aerial image distribution. The first model, based on the vertical propagation algorithm (VPM), takes into account the 2D intensity distribution inside the resist, including the absorption. The second model incorporates the scaled defocus algorithm (SCDF), which describes the 3D intensity of the resist, taking into account the defocus values. In this paper we investigate the applicability for any geometry, for process window determination and the accuracy by taking reference to the fully fledged simulator SOLID-C. The suggested methods allow to calculate 3D resist profile in a fast way thereby enabling the prediction of large areas.
Assessment of different simplified resist models
Show abstract
Resist modeling is an attractive way to predict the critical dimensions of patterned features after lithographic processing. Unfortunately, previous works have shown that model parameters are very difficult to determine and have often a poor range of validity outside the dataset that have been used to generate them. The goal of this work is to assess different simplified resist models using a systematic method. We have studied the accuracy of aerial image model and aerial image plus Gaussian noise convolution model. The approach is based on the comparison between simulated and experimental data for periodic lines of various dimensions at various illumination conditions. We also propose a reliable expression for Bossung curves fitting. Using simple physical considerations, the expression has been made very simple and efficient. After a proper setting of the model parameters to the experimental data, mean CD discrepancies between simulation and experiment are as small as 5% and can be 3% for certain feature types. Moreover, we show that simple Gaussian noise convolution models can be predictive with the same accuracy. The method for CD prediction is fully described in this paper. Significant improvements have been made in resists modeling over the last several years, but simplified resist models such as 'aerial image + Gaussian noise' seems to be an effective tool for CD prediction, which remains the major demand of IC manufacturers.
Optical lithography simulation considering impact of mask errors
Show abstract
With smaller features sizes and higher pattern densities on high-end mask for DUV lithography, pattern fidelity on mask features becomes essential for optical proximity correction (OPC) performance. But some degree of corner rounding on the mask is inevitable even using the latest writing tool. The corner rounding radius on mask is mainly determined by the resolution of writing tool, mask resist process and chrome etching process following. In this paper, we will first discuss corner rounding impact for two-dimensional pattern applied OPC. Secondly modeling mask patterning process by applying diffused aerial image model (DAIM). Thirdly we will compare mask simulation results and mask SEM image for various mask masking process. Finally, we will examine a new simulation method to enhance the accuracy of wafer patterning simulation by using not CAD layout but mask layout extracted from mask patterning simulation.
CD prediction by threshold energy resist model (TERM)
Show abstract
In the step of developing lithography devices, VTRM (Variable Threshold Resist Model), aerial image based simulation, is useful to get feedback for a resist process margin. VTRM is also used to compensate for the mask pattern's OPE (Optical Proximity Effect) and to optimize the optical system rather than the full simulation method that requires all the process parameters. However, VTRM has shown some problems that the exposure dose and focus should be fixed in one special condition to improve the prediction accuracy and cannot be combined together in one equation for pattern's size and type variation. In this paper, a new simulation method that has more accuracy and wider applicability than the VTRM method was suggested. The new simulation method can represent the photolithography process with simple formula. The parameters of this formula are composed of exposure dose and defocus as input components, CD as output component, and all the resist processes are kept constant to keep consistency for other resist processes. The first technical improvement of this equation is to use process-matched aerial image derived from the fact that the aerial images at the top resist surface cannot represent the bulk resist energy distribution. The second one is to introduce a new concept TERM (Threshold Energy Resist Model). The energy threshold level is used instead of the aerial image's intensity threshold level in order to predict CDs. Energy threshold level can be simply found by the simple equation and an experiment. The simple equation consists of a mask edge opening energy, the mask edge image intensity, and a process factor.
Model-based OPC for 0.13-um contacts using 248-nm Att PSM
Show abstract
Controlling errors of critical dimension (CD) uniformity is crucial to achieving optimal IC performance, high chip yield and long lasting reliability. When the CDs to be resolved are less than the wavelength equipped by a lithographic exposure tool, the chip level CD variations caused by optical proximity effect (OPE) have been found significantly. With the relentlessly reduced CDs in integrated circuits the impact of OPE to chip yield and performance is much more profound and necessitates an inverse correction. In this paper, we report a model-based full-chip OPC on the contact hole layer of 0.13-micrometers logic circuits using 248-nm photo processing and attenuated phase-shifting mask (Att PSM). The final result demonstrates that OPE of random logic contact hole level can be greatly surpassed and controlled even with mask errors and their enhancement factors included of which are typically quite significant with layers of contact holes.
OPC rectification of random space patterns in 193-nm lithography
Show abstract
This paper presents a methodology for modeling the space printability at the gate level in 193nm lithography. Spaces are shown to be more susceptible to process variations and lens aberrations than lines are. Experimental Scanning Electron Microscopy (SEM) pictures show that the scum and bridging effects can occur in spaces although all the line critical dimensions (CDs) are on target. A resist imaging model is used to simulate the line CDs through defocus, pitch and size, and the prediction error is within 5nm. However, this model can not reasonably predict space CDs without using variable threshold, which is explained a proposed trajectory dissolution rate model. Based on the dissolution model, a process rule checker is proposed which inspects the peak light intensity in a space and compares it with a given threshold. This condition is verified experimentally.
Inspection of chromeless AAPSM
Show abstract
The Chromeless Phase Shift Mask (CLM) approach from ASML MaskTools has been developed as an approach to achieve sub-100nm lithography using currently available stepper technology. The technology uses sub-resolution gray-scaled regions of zero-phase and pi-phase quartz on the mask to produce effective feature widths well below 100nm at the wafer. The features on the mask consist entirely of etched and unetched quartz. No features consist of chrome on the mask. The integration of this type of phase shift mask technology into the photomask-manufacturing environment requires that the mask manufacturer be able to inspect the mask for defects in the quartz. The Defect Sensitivity Monitor (DSM) pattern was used to construct a CLM mask. The mask was inspected using commercially available inspection platforms, and the resulting inspection capability is reported.
Evaluation of OPC mask printing with a raster scan pattern generator
Show abstract
MEBESR 50 kV mask pattern generators use Raster GraybeamTM writing, providing an effective grid that is 32X finer than the print grid. The electron beam size and print pixel size are variable between 60 nm and 120 nm, allowing a tradeoff between resolution and write time. Raster scan printing optimizes throughput by transferring precisely the amount of data to the mask that is consistent with the chosen resolution. As with other raster output devices, mask write times are not affected by pattern complexity. This paper examines the theoretical performance of Raster Graybeam for model-based optical proximity correction (OPC) patterns and provides examples of mask patterning performance. A simulation tool is used to model the MEBES eXaraTM system writing strategy, which uses four writing passes, interstitial print grids, offset scans, and eight dose levels per pass. It is found that Raster Graybeam produces aerial image quality equivalent to the convolution of the input pattern data with a Gaussian point spread function. Resolution of 90 nm is achieved for equal lines and spaces, supporting subresolution assist features. Angled features are a particular strength of raster scan patterning, with feature quality and write time that are independent of feature orientation.
New photomask substrate for improved lithography performance
Show abstract
As we enter the deep sub-wavelength lithography regime, using the 193 nm wavelength for the 130 nm node and beyond, the often overlooked mask material properties are beginning to have an impact on imaging performance. By analyzing properties such as index of refraction homogeneity and stress birefringence it was found that a wide variation exists within the available population of mask materials. The investigation of these materials demonstrated the considerable range in performance that can be achieved from the population of mask materials. We will show that due diligence in the selection of the mask material will provide the ability to image multiple features with a reduction in Mask Error Enhancement Factor and improved critical dimension uniformity on the wafer.
Mask damage by electrostatic discharge: a reticle printability evaluation
Show abstract
An evaluation of a Photolithography Mask damaged by Electrostatic Discharge (ESD) is presented, using pictures and data from the toolset at International SEMATECH's Advanced Technology Development Facility. The Photomask used in the printability evaluation is the Canary (DuPont TM) Reticle, demonstrating various degrees of ESD-induced damage to a repeating structure contained in the chrome-on-quartz pattern. Levels of damage to the chrome structures vary from non-existent, to barely detectable, to moderate, to catastrophic. The ESD-induced damage is then measured and compared through an assortment of Mask Metrology tools.
New photomask patterning method based on KrF stepper
Show abstract
To solve the very low throughput of an e-beam writer in mask fabrication, a new patterning method based on a step-and-repeat exposure system, that is a photomask repeater, has been developed. In this paper, we intended to clarify the feasibility of 0.15 micrometers generation mask fabrication with the KrF photomask repeater. Inter-field registration accuracy (3sigma) in the photomask repeater is 14.9 nm in X direction and 29.1 nm in Y direction and can meet the registration specification (30 nm) of a 0.15 micrometers generation mask. Intra-field mis-registration caused by stepper lens distortion is 14 nm (3sigma) in X direction and 12 nm in Y direction for a 12 mm X 12 mm field and gets larger with an increase of a field size. Inter-field CD uniformity (3sigma) is 15.7 nm in 122.5 mm X122.5 mm mask area and intra-field CD uniformity is 10.3 nm in 12 mm X 12 mm field area. The sum of inter-field and intra-field value in the KrF photomask repeater don't currently satisfy the 30 nm registration and 15 nm CD uniformity specification of a 0.15 micrometers generation mask. So we need to reduce the registration and CD errors with optimizing PR coating and development process, using a small field size and compensating the errors of intra-field factors to a mother mask.
Defect printability and specification of ArF mask in repeating feature
Show abstract
As ArF process will be substituted for KrF process at below 0.13 um node, it is time to study CD budget of mask error in ArF lithography. The purpose of this study is to investigate printability of ArF mask defects and corresponding effective specification in repeating cell. Mask defects in regularly repeated pattern were classified as point defect, line defect, and are defect, for convenience's sake, according to their sizes and lithographic explanations. Based on such classification, test reticle (ArF attenuated PSM) was manufactured in our captive mask shop. After exposed at a nominal dose and e-beam cured, each defects was inspected to extract effective specification for ArF process. MNPD (maximum non-printable defect) sizes of various duty patterns were manifested in point defect. In line defect and area defect, as the base pattern CD and duty ratio changed, the slope (MEF) of linear fitting was obtained. Maximum CD deviation from mean CD could be calculated from it. Mask CD budget was considered as 50% of total wafer CD error (10% of target) for mask spec generation. Experimental result was compared with DAIM (diffused aerial image model)-based simulation result because experiment had the error that arose from e-beam curing.
MEF studies for attenuated phase-shift mask for sub-0.13-um technology using 248 nm
Show abstract
Mask error factor (MEF) plays an important role as lithography progresses to sub wavelength patterning. For patterning feature in the sub wavelength region of the illuminating system, namely 0.10 um line and space feature, resolution enhancement techniques (RET) such as optical proximity correction (OPC), and assist features (AF) are applied. A study on the impact of MEF on 248 nm lithography will be investigated. Experimental results for both isolated line and dense lines up to 0.10 um with AF will be obtained and analyzed. A through pitch experimental study shows a decrement in MEF from dense line to semi-isolated line. Experimental studies on varying the placements of the assist features for both isolated will be conducted. Furthermore, the study also included the comparison by conventional and annular illumination for both line and space. Simulation results will also be utilized as a comparison.
Reticle process effects on OPC models
Show abstract
As critical dimensions (CDs) approach (lambda) /2, the use of optical proximity correction (OPC) relies heavily on the ability of the mask vendor to resolve the OPC structures consistently. When an OPC model is generated the reticle and wafer processing errors are merged, quantified, and fit to a theoretical model. The effectiveness of the OPC model depends greatly on model fit and therefore consistency in the reticle and wafer processing. Variations in either process can 'break' the model resulting in the wrong corrections being applied. Work is being done in an attempt to model the reticle and wafer processes separately as a means to allow an OPC model to be implemented in any mask process. Until this is possible, reticle factors will always be embedded in the model and need to be understood and controlled. Reticle manufacturing variables that effect OPC models are exposure tool resolution, etch process effects, and process push (pre-bias of the fractured data). Most of the errors from these reticle-manufacturing variables are seen during model generation, but there are some regions that are not and fail to be accounted for such as extremes in the line ends. Since these extreme regions of the mask containing the OPC have a higher mask error enhancement factor (MEEF) than that of the rest of the mask, controlling mask-induced variables is even more important. This paper quantifies the reticle error between different write tools (g-line vs. i-line vs. DUV lasers) and shows the effects reticle processing has on OPC model generation. It also depicts which structures are susceptible to reticle error more than others through reticle modeling and SEM images.
Is it possible to improve MEEF?
Show abstract
As the design rule of device has shrunken, obtaining a feasible process window at low k1 factor in photolithography is the major concerning in order to shorten the total period from development to the mass production of devices. In this low k1 factor region, a tiny CD variation on mask might be increased abruptly on the wafer. In particular, such variation so called MEEF (Mask Error Enhancement Factor) is closely related with various types of process parameter. In this paper, we reviewed optimized process condition to minimize MEEF and defined uDoF (Usable Depth of Focus) considering a correlation between MEEF and DoF (Depth of Focus).
Characterization of a projection lens using the extended Nijboer-Zernike approach
Show abstract
In this paper we give the proof of principle of a new experimental method to determine the aberrations of an optical system in the field. The measurement is based on the observation of the intensity point spread function of the lens. To analyze and interpret the measurement, use is made of an analytical method, the so-called extended Nijboer-Zernike approach. The new method is applicable to lithographic projection lenses, but also to EUV mirror systems or microscopes such as the objective lens of an optical mask inspection tool. Phase retrieval is demonstrated both analytically and experimentally. Theory and experimental results are given.
Influence of laser spatial parameters and illuminator pupil-fill performance on the lithographic performance of a scanner
Show abstract
Litho-tool illuminator performance, characterized by quantitative measurements of pupil-fill intensity distribution and cross-field uniformity, has been cited as a key contributor to CD uniformity. While both modeling exercises with simulated pupil fills and measurements of real pupil fills have been undertaken, quantitative assessments of the pupil's effect when compared with other CD error contributors are rare. An integral part of illuminator performance is, of course, the laser. Not only must a litho laser meet stringent requirements at installation, but also the litho tool and laser suppliers are responsible for ensuring performance after maintenance activity, such as laser module replacement. We have investigated the effects of adjustable spatial laser parameters on the illuminator pupil fill as measured via a pinhole reticle and on illumination uniformity as measured by the scanner. We present the experimental results of these studies, estimates of their effects on litho performance via modeling, the sensitivity of lithographic performance to the spatial parameters, and an assessment of their importance relative to other lithographic variables affecting CD uniformity. Results show that not only is the baseline illuminator pupil-fill performance a small contributor to lithographic error, but also that the system is stable in the presence of laser adjustments.
Intrafield CD variation by stray light from neighboring field
Show abstract
The main object of this paper is to investigate the root cause of CD change by neighboring field observed in KrF scanner (max. 0.70NA) and to measure the amount of stray light from neighboring field precisely. Line widths of gate pattern are measured at the isolated and surrounded field and the amount of CD change by neighboring field is found to be proportional to the clear ratio of mask. By exposing with special configuration, it is found that the line width is linearly decreased as the dose of neighboring field increases. From this linear dependency on doses of neighboring field, it is clear that non-negligible amount of light is scattered out into the adjacent field. The amount of this stray light level coming from neighboring field is obtained quantitatively by synthetic analysis of above result and double exposure to mimic background DC light by flare. About 1.2% of stray light from outside of the field is observed at the slit position close to the boundary of neighboring field. Disappearing pad test is also performed to measure the flare from exposure of field itself. Finally, it is obtained the distribution of total stray light - nominal flare plus flare from adjacent field - and it is found to be existed around 0.7% deviation of stray light across the slit.
Effects of residual aberrations on line-end shortening in 193-nm lithography
Show abstract
This paper extends and further validates the methodology for calibrating 193nm chemically amplified resist models and applying the models to line-end shortening simulation in the presence of image imperfections. SPLAT, an imaging simulator, is used to simulate the light intensity at the bottom of resist film and predict the resulted wafer patterns in the presence of lens aberrations. The mask critical dimensions (CD) were measured to exclude the mask CD error effects. The experiments were conducted at Texas Instruments on a 193nm scanner. The mask CD errors proved a major contribution to isolated-dense line CD bias on the wafer. The lens aberrations were shown to be critical to the choice of optimal imaging location and the through-focus CD variation. By finding the optimal image location and threshold photoacid concentration, this model can predict line CD through focus, pitch and feature size, with a RMS error of 5nm. However, this model is not adequate in predicting the narrow space between line ends due to the poor resist response in very low contrast areas. A variable threshold model based on trajectory dissolution rate assumption is proposed to predict the wafer CD in low contrast areas, which resulted in a RMS error of 24nm. Considering the large SEM measurement noise on 193nm resists, this error is reasonable and sufficient for OPC applications.
Modified Rayleigh equation: impact of image fluctuation on imaging performance
Show abstract
The Rayleigh equation given by R equals k1 X (lambda) /NA is often used to predict the resolution (R) of optical lithography. Since the design rule is approaching half of wavelength, however, lithographic performance imperfectly follows the Rayleigh equation. In other words, the constant k1 does not represent the process difficulty expressed as contrast, exposure dose latitude, and mask error enhancement factor (MEEF). We propose a modified Rayleigh equation that considers the influence of image fluctuation on lithographic resolution, and confirmed that lithographic performance can be predicted more accurately by the modified equation than by the conventional equation. According to the modified equation, resolution will not be more enhanced than that predicted by the conventional Rayleigh equation, even if lens numerical aperture is increased.
Evaluation and characterization of flare in ArF lithography
Show abstract
The impact of flare on lithographic imaging has been the subject of increased investigation as critical dimension requirements and K1 factors continue to be reduced. All 'real world' lithographic systems include aberrations and non-uniformities that detract from the ability to produce the ultimate imaging possible. The increased acceptance of double exposure techniques, such as complementary phase shift, can greatly increase the sensitivities of the process by effectively doubling the flare exposure in each image. All optical imaging systems have some amount of stray light, or flare, that detracts from system performance, critical dimension (CD) control, and process latitude. The effects of flare are compounded with the use of multiple exposure processes are used since this doubles the amount of exposure energy going through the optics and therefore increase the amount of stray light. Flare was characterized on two 0.63NA 193nm lithographic scanners using two different measurement techniques. Using a double-exposure technique previously presented new data that characterizes the across slit flare performance of a 193nm lithography system is reported. Data showing the effect of lens contamination and cleaning is also presented. In addition, a different flare metrology technique based on MTF was used to characterize the flare effects at a range of spatial frequencies. Metrology requirements and limitations of each technique are also discussed.
Method of Zernike coefficients extraction for optics aberration measurement
Show abstract
With recent progress in resolution enhancement techniques, requirements for exposure tools, specifically optics aberration, are becoming severer. Some simple ways to allow aberration measurements to be performed on exposure tools have been reported and made commercially available. These methods, however, do not seem to go much beyond monitoring of aberration changes while the accuracy of absolute values is left unclear. This paper describes a new approach of optics aberration measurement. With this approach, an optimum effective light source and patterns to be measured have been designed for analysis of Zernike polynomials that represent the wavefront of optics. By measuring the shift of images printed from the patterns with the light source, specific Zernike coefficients can be extracted. This new technique can also be applied to any conventional lens aberration tests using SEM. Same as the above Zernike coefficients extraction, just measuring the displacement of the images that are formed from optimum mask patterns with an optimum light source will provide a conventional SEM value. Simulations to compare the new technique with the conventional SEM showed a very good correlation with each other as expected. Experimental results are discussed to determine the accuracy of the new technique.
Measurement of the flare and in-field linewidth variation due to the flare
Show abstract
Flare induced from the optical elements of exposure tools reduces the overlapped process margin and the contrast of Aerial images. As the line width of patterns shrinks with a higher speed, this flare will be more important in the near future. Thus, it is necessary to investigate the amount of flare in the optical elements of exposure tools and the effect of the flare on the microlithographic patterning. From this reason, the flare and the distribution of the flare across the slit position have been investigated with a specially designed photomask. And, also, the line width variations due to the flare have been thoroughly investigated. From our researches, two kinds of line width variations were observed on a wafer because of the flare and its distribution. First kind of the line width variation was induced from the pattern density. This kind of the line width variation would be sometimes observed in a device having the big difference of pattern densities. Second kind of the line width variation was induced from the horizontal distribution of the flare along the slit position. This kind of the line width variation would be mainly observed in a device exposed with a highly contaminated exposure tool. These kinds of line width variations could be well explained with an Aerial image calculation and predicted with a given pattern density and an amount of flare as well.
Spherical aberration measurement and in-situ correction
Show abstract
The continuing demand to decrease device feature dimensions has put pressure on trying to minimize the levels of aberrations in today's lithographic lenses. Though the lenses that are currently used in the most advanced lithography tools have less aberrations than any preceding generations, the impacts of these aberrations are greater because of the smaller geometries being printed. In addition, most of the resolution enhancement techniques (RET), such as phase-shifting masks (PSM) and off-axis illumination (OAI), that have been reported to extend the resolution limit and increase the depth-of-focus (DOF) of optical lithography provide less immunity to aberrations than conventional approaches. Recently, concern has been surfaced from the implications of spherical aberration on printable features. Best focus position shift and isofocal tilt are one of the well known phenomena resulted from spherical aberration. By varying the reticle height, we observed a decrease in the effect of spherical aberration. In this paper, we explore various techniques that provide us a way of measuring spherical aberration. And we also develop a way to correct the spherical aberration without modifying projection optics.
Effect of aberrations on defect printing and inspection
Show abstract
As we introduce alternating phase-shifting masks (altPSM) to production we have been very aware of our inability to detect quartz defects in the phase-shifted well. Much work has been completed to establish the printability of various defects. This paper will show, using rigorous three dimensional electro-magnetic simulation, that it is the effect upon the aerial image of the surrounding features and the resultant CD variation that is most critical, not the actual printing of the defects. Data will be presented showing that smaller defects in the center of the shifted space than at the edge of the space cause the allowable CD variation to be reached. It will also be shown that the most sensitive position for a quartz defect in a shifted space is not at the edge or center of the space, but rather at a point between these two. We will further present work showing that asymmetrical aberrations enhance the effects of these defects, especially at defocus, while symmetric aberrations reduce the effect. We will then use advanced techniques, that take into account the extra non-planar incident waves we must consider in 1X imaging, to establish how these defects are 'seen' by 1X actinic mask inspection systems. In 1X imaging we will show again how the transmitted aerial images of these defects are effected by the aberrations present.
New resolution enhancement technology for manufacturing sub-100-nm technology
Show abstract
Due to delays in the development of next generation lithography (NGL), extension of optical lithography has become the major trend in semiconductor manufacturing. As a result, various resolution enhancement techniques (RET's) are under development, especially for sub-100 nm node patterning. This paper introduces a concept of 100% transmission PSM (Chrome-Less Mask: CLM) for resolving some problems associated with previous approaches that used transparent phase-shift mask. This CLM technology has advantages for simple fabrication and high performance due to its improved resolution limit. However, owing to limited mask manufacturability and lack of proper techniques for converting the layout coupled with required illumination conditions, CLM application in real device is still in the development stage. Proper mask making technology in particular is a major issue. In this paper, we cover mask- making process, especially the quartz dry etch and defect engineering. With this mask-making technology, we made a test mask and evaluated its optical performance. It gave us enough depth of focus comparable to 8% att.PSM. We are going to evaluate the possibility of full chip application in our next experiment.
Image enhancement through square illumination shaping
Show abstract
Optical imaging is traditionally carried out using circular pupils, assuring the absence of orientation dependency. In the case of IC microlithography however, such dependency exists and is generally limited to orthogonal axes. We have previously reported the potential improvement to lithographic imaging through the use of a square character to an illumination pupil using fully open pupils, square rings, and slot shapes. In this paper we show lithographic results for this shaping at 193nm using a full field (ASML) imaging tool. Results show improvement in both DOF and exposure latitude over conventional circular shaping, leading to the consideration of this approach as a manufacturable method of resolution enhancement.
Printing 100-nm and sub-100-nm DRAM full-chip patterns with crosspole illumination in 0.63-NA ArF lithography
Show abstract
To achieve 100 nm DRAM full chip with 0.63 NA ArF lithography we used a new type of off-axis illumination, crosspole illumination which has four poles on axis. For lower than 0.33 k1 process double exposure technology has been introduced which is exposing cell and core/periphery region separately with different illumination conditions. But with crosspole 0.33 to 0.31 k1 process could be possible without double exposure. Advantages and disadvantages of crosspole illumination and successful result of printing 100 nm DRAM full chip are shown in this paper. And also ArF lithography issues occurred during processing DRAM full chip are reported such as lens heating, contamination optics and reticles, overlay errors induced by electron beam curing process and so on. To simulate patterning result we used HOST (Hynix OPC simulation tool) based on diffused aerial image model (DAIM). For all kinds of 100 nm DRAM patterns, we could get sufficient process latitude, more than 10% exposure latitude (EL) and 0.4 micrometers depth of focus (DOF). Also 95 nm DRAM cell patterns could be printed successfully with crosspole single exposure and this shows with 0.75 NA and 0.85NA ArF tools we can print 80 nm and 70 nm DRAM patterns, respectively.
Resist thermal flow technique for printing 0.12-um contact holes
Show abstract
Thermal flow process with a PSM reticle was studied here in order to print a 300mm wafer with dense 120nm contact holes patterns (260nm pitch). This technique is accomplished by a feature size shrinkage using a post development bake. Thus larger features than the final etched ones can be printed which helps maintaining a workable process window. The resist used along this study (XP 11016) is particularly designed for thermal reflow applications by some built-in material properties: (1) Tight polymer molecular weight dispersity for good thermal flow control. (2) Optimized PAG characteristics to get good contact holes resolution and wide process window. (3) Additives to keep contact holes profiles through reflow steps. This study presents a method to defined process setting for different pitches using thermal flow and OPC. The effect of post development bake temperature on resist shrinkage, etch resistance and CD shrinkage was evaluated. Different sizing bias, reflow temperature and optical settings were studied in order to choose the best conditions to print dense or isolated features and finally extract the best overlapping results. The settings obtained using an empirical model make possible to print simultaneously 120nm dense and isolated contact holes.
ArF imaging with off-axis illumination and subresolution assist bars: a compromise between mask constraints and lithographic process constraints
Show abstract
The insertion point for the first scattering bar is a key point in the development of a process using assist features, because this semi dense feature will determine the overall depth of focus of the process. A study of the parameters, which influence the choice of this insertion point, has been performed using a 0.63 NA 193 nm scanner for a 100 nm CD target after litho. The impact of the scattering bar on: Depth of Focus, Energy Latitude, Mask Error Enhancement Factor, printability, and the effect of scattering bar line width variation on main feature described by a parameter called AFMEEF will be discussed in this paper. The optimal insertion point for the first scattering bar will strongly depend on the litho-graphic process and the mask parameters. A model is proposed to determine the optimal insertion point, as function of the dose, focus budget, minimal allowed scatterbar width, and mask CD dispersion for both scattering bars and main features.
Contact hole photo process improvement by multiple exposures with matched illumination settings
Show abstract
A multiple exposure with matching illumination settings has been applied to the advanced photo process. We combine some special illumination settings which are good for each specific duty ratio and produce a good through-pitch performance. For example, we can combine OAI for dense and conventional with low sigma for Iso to optimize through pitch performance. With this method we can fine tune all illumination parameters, including NA, sigma, exposure dose, focus and pupil type. For sub-wavelength photolithography, the proximity effect of single illumination setting causes limited DOF through pitch so a compromise between isolated and dense pattern performance must be taken. Traditional exposure method using a single illumination setting can not fulfill the optimal illumination setting for patterns at all pitches. With this invention using multi-illumination settings, we can combine multiple exposures with the advantage of different illumination settings to perform better process capability include DOF and proximity through all pitches. Experimental data shows that the single exposure DOF of isolated hole and dense hole are below 0.2um but DOF can be enlarged to 0.4um by multiple exposure. And we get smaller proximity effect at the same time.
Double-exposure strategy using OPC and simulation and the performance on wafer with sub-0.10-um design rule in ArF lithography
Show abstract
As the pattern size becomes smaller, double or multi exposure is required unless the epochal solutions for overcoming the limits of present lithography system do appear or are discovered. ArF DET (double exposure technology) strategy based on manual OPC with in-house simulation tool, HOST (Hynix OPC simulation tool), is suggested as a possible exposure method to extend the limitation of current lithography. HOST requires no additional procedures and separate layout optimizations of each region in terms of OPC are enough. Furthermore, it is possible to change illumination condition of each region and the overlap between two regions with ease. The results from the simulation are pattern size and profile of each condition according to the defous and misregistration. 0.63 NA ArF Scanner and Clariant resist is used for wafer process. The resist was coated on Clariant organic BARC using 0.24 um thickness. Dipole illumination for cell region and annular illumination for peripheral region are used. Cell region contains 0.20 um pitch duty pattern and peripheral region 0.24 um pitch duty pattern. The boundary of two regions is investigated in view of validity of stitching itself. The layout of reticles used as the cell and peripheral region are optimized by OPC, respectively and then, additional OPC was treated to the boundary, i.e., stitching area to compensate the cross term of the boundary caused by separate and independent optimization with OPC in the cell and the peripheral regime. The final patterns were acquired by defining the cell at first and the peripheral region secondly with different defocus and registration in respect to the cell. The actual data on wafer are presented according to defocus and one region's overlay offset relatively to the other region. And the outstanding matching between simulation results and in-line data are shown. Lithography process window for stable patterning is thoroughly investigated in view of depth of focus, energy latitude, registration between two stitched regions and stitching itself in the boundary. It is found from the experiment that total DOF of DE (double exposure) is 0.5 um and the total EL of DE is 10.0% in this paper. At present, it is very difficult to ensure stable process margin for the sub-0.10 um patterning. But there is a promising technology called stitching with special optimization. In addition, this technology will be nominated as an eternal candidate process whenever our lithography is in the adversity at the limits of his days.
Evaluation of double focal plane exposure technique for 248-nm and 193-nm lithography for semidense trenches and contacts
Show abstract
Double focal plane exposure technique has the property to increase greatly the depth of focus of a lithographic process and appears to be a solution to fulfil the requirements of the most aggressive lithographic targets. The purpose of this work is to investigate the performances of this technique and to understand its mechanisms, to be able to find the best conditions of use for a given process. A simple model based on aerial images considerations has been developed to determine the behaviors of the main lithographic parameters (DoFmax, Elmax, central dose, shape of the Bossung curves) for various values of the distance between the two focal planes. Comparisons with four experiments have been realized with different conditions (type of pattern, dimensions, wavelength, N.A. and coherence (sigma) ). The possibility to predict the best experimental conditions (trade-off between DoF, El, resolution and LER) has been verified.
Design and fabrication of customized illumination patterns for low-k1 lithography--a diffractive approach: II. Calcium fluoride controlled-angle diffusers
Show abstract
As CDs continue to shrink, lithographers are moving more towards using off-axis illumination schemes to increase their CD budget. There have been several papers over the last few years describing various custom illumination profiles designed for application specific optimization. These include various annular and quadrupole illumination schemes including weak quadrupole, CQUEST, and QuasarTM. Diffractive optics, if incorporated into the design of the illumination system, can be used to create arbitrary illumination profiles without the associated light loss, thus maintaining throughput while optimizing system performance. Diffractive optical elements used to generate efficient illumination profiles for 248 nm and 193 nm excimer laser-source scanners, have been reported and realized in fused silica. The fabrication of such elements in calcium fluoride (CaF2), for use in 157 nm wavelength lithographic projection tools has been developed and is presented in this paper. Three different categories of elements are shown: large-diagonal-cluster diffusers, medium- and small-rectangular-cluster diffusers. The diffusers were fabricated as binary phase devices, in order to determine calcium fluoride processing capabilities.
Sub-0.10-um lithography technology with resolution enhancement technique
Show abstract
Reduction of feature sizes in semiconductor circuits has pushed photolithography to print features below the wavelength of the light source. However, severe optical proximity effects and small depth of focus for isolated lines have brought challenges to sub-wavelength lithography for application to 0.10micrometers technology using 248nm and 193nm scanners. Resolution Enhancement Technique (RET) is applied extensively for 0.10 micrometers technology. The use of attenuated phase shift masks to improve the process latitude is well known from literature, however higher transmission attPSM is limited by side-lobe printing. In order to achieve desired critical dimension control, OPC is necessary needed with PSM. Although off-axis illumination improves resolution for dense features, it degrades exposure latitude and depth of focus for sparse features. Applying scattering bars (SB), also called assisting features, to an isolated line can modify the diffraction pattern similar to that generated by dense lines. A comprehensive study on how scattering bars could affect the performance of isolated and dense lines by adjusting their bar size and placement to the main feature edges as well as changing the illumination condition and exposure energy are performed. It is showed that SB is able to increase the depth of focus for isolated line and an acceptable 0.10 micrometers patterning process is achieved. Furthermore, SB-OPC helps minimize the negative impact due to lens aberration on isolated features. The results demonstrate that SB-OPC is one of the most manufacturable solutions for sub-0.10 micrometers line patterning using current DUV lithography.
Limitation of optical lithography for various resolution enhancement technologies
Show abstract
In this paper, we will discuss the limitation of optical lithography with various resolution enhancement technologies. Lithography simulation was done by Hynix OPC Simulation Tool (HOST) based on Diffused Aerial Image Model (DAIM). The effects of numerical aperture (NA), wavelength, illumination conditions, mask and diffusion length of acid were simulated in view of resolution improvement. Diffusion length of acid is a dominant factor for resolution improvement for sub-100 nm era. As pattern size decreased, the limitation of optical lithography is more affected by diffusion length of acid. And other factors (NA, wavelength, illumination conditions and mask) will be discussed. Finally, ultimate the limitation of lithography will be discussed analytically.
Improvement of two-photon absorption lithography
Show abstract
Recently, a novel Resolution Enhancement Technology (RET) have been proposed to overcome the Rayleigh resolution limit by using both entangled photon pair and two-photon absorption resist. However, the illumination intensity is not enough to attain the reasonable throughput. We propose a new method which enables to enhance the resolution over the Rayleigh limit with more strong intensity source by using two-photon absorption resist, where the absorbed two photons have different polarization each other. Since it is recently reported that the stimulated emission of polarization-entangled photons has been achieved, we investigate the effect of such entangled four photons to the resolution enhancement instead of entangled photon pair. Moreover, we also study the application of two-mode squeezing state.
Iso-focal characteristics of line patterns in dark field imaging
Show abstract
Iso-focal characteristics of line patterns in dark field imaging are investigated by optical image calculations and basic experiments for application to gate pattern in current logic devices. In dark field imaging, isolated line image, that is bright line image, shows iso-focal characteristics at exposure level higher than that in usual printing condition. The effective image contrast is enough high to resolve the line pattern by the application of high contrast resist. By the investigation of imaging characteristics throughout pattern pitch, good focus latitude of DOF > approximately 0.50 micrometers is obtained for almost all pitches down to approximately 300 nm in KrF wavelength utilizing modified illumination and attenuating phase shift mask. It is also revealed that mask error enhancement factor (MEF) is less than 2.0 and exposure latitude, which is defined by ((Delta) CD/CD)/((Delta) Exp.Dose/Exp. Dose), is smaller than approximately 1.0 throughout the pattern pitch. Although these very superior characteristics are obtained by this imaging, minimum image CD of isolated line with iso-focal characteristics is no smaller than approximately 180 nm for KrF wavelength even with extremely high NA. Also, range of line width for high DOF is not so large of approximately100 nm for isolated line. However, by application of appropriate CD trimming, such as partial ashing, this method can be applied for gate pattern formation of logic devices in 100 nm node. Accordingly, we believe that this work will provide a cost effective method of gate pattern formation down to 100 nm node in use of KrF wavelength.
Challenging the limit of single mask exposure
Show abstract
IDEALSmile is introduced as a new exposure technique. Since we have realized k1 equals 0.29, k1 equals 0.32 optical lithography is now achievable. In this paper IDEALSmile is targeted for contact hole patterns. The results validate that it is possible to simultaneously fabricate 110 nm (k1 0.32) half-pitch dense and isolated contact hole patterns using Canon FPA-5000ES3 (KrF, NA equals 0.73). Furthermore, our experimental results also show that it is possible to fabricate different half-pitch patterns at the same exposure dose, which is impossible by conventional methods. Since these results are obtained using binary mask and the modified illumination with single exposure, there are no concerns with regards to decrease in throughput and increase in cost of ownership. By attaining k1 equals 0.32 for contact hole patterns using binary mask with single exposure, printing 100 nm contact hole patterns can be achieved with single exposure using KrF lithography, such as the Canon FPA-5000ES4 (KrF, NA equals 0.80) scanner which will soon make its market debut. ArF or F2 lithography is effective as for contact hole patterns below the 100 nm node. There is no doubt that optical microlithography will continue for some time.
Development of low-loss optical coatings for 157-nm lithography
Show abstract
In the F2 laser lithography, it is essential to reduce the loss of the optical coatings deposited on calcium fluoride lenses. In order to make low loss optical coatings, we have developed measurement apparatus, evaluated the coatings with various analyses, and found a correlation with the optical constants. In this paper we describe the optical loss measurement apparatus and the evaluation results analyzed for either single layer coatings or multi-layer anti-reflection coatings.
Aberration determination in early 157-nm exposure system
Show abstract
Aberrations, aberrations, here there everywhere but how do we collect useful data that can be incorporated into our simulators? Over the past year there have no less than 18 papers published in the literature discussing how to measure aberrations to answering the question if Zernikes are really enough. The ability to accurately measure a Zernike coefficient in a timely cost effective manner can be priceless to device manufacturers. Exposure tool and lens manufacturers are reluctant to provide this information for a host of reasons, however, device manufacturers can use this data to better utilize each tool depending on the level and the type of semiconductors they produce. Dirksen et al. first discussed the ring test as an effective method of determining lens aberrations in a step and repeat system, later in a scanning system. The method is based on two elements; the linear response to the ring test to aberrations and the use of multiple imaging conditions. The authors have been working to further enhance the capability on the test on the first small field 157 nm exposure system at International SEMATECH. This data was generated and analyzed through previously discussed methods for Z5 through Z25 and correlated back to PMI data. Since no 157nm interferemetric systems exist the lens system PMI data was collected at 248nm. Correlation studies have isolated the possible existence of birefringence in the lens systems via the 3-foil aberration which was not seen at 248nm. Imaging experiments have been conducted for various geometry's and structures for critical dimensions ranging from 0.13micrometers down to 0.10micrometers with binary and 0.07micrometers with alternating phase shift mask. The authors will review the results of these experiments and the correlation to imaging data and PMI data.
157-nm Lithography
Long-term laser durability testing of optical coatings and thin films for 157-nm lithography
Show abstract
Long-term durability tests of optical thin films and thin films designed for attenuating phase shifters have been performed in a chamber, which stresses clean protocols to eliminate extraneous effects of surface contamination. Most anti-reflective coatings tend to degrade several percent in transmission within 1 MJ/cm2 total dose. Attenuating phase
shifting materials usually show an increase in transmission during 6 kJ/cm2. In both types of films there are exceptions, indicating that there are no fundamental causes that would limit the performance of such films. A new phenomenon of laser-induced surface damage in calcium fluoride has been observed, and is being studied.
Poster Session
Sub-70-nm pattern fabrication using an alternating phase-shifting mask in 157-nm lithography
Show abstract
In Selete, we have developed various resolution-enhancement technologies (RETs) such as the alternating phase shifting mask (alt-PSM), attenuated-PSM (att-PSM), and off-axis illumination (OAI). The alt-PSM, for example, reduces the k1 factor and extends the lithographic performance. A problem concerning the alt-PSM is the difference in the transmitted light intensities of the non-phase-shifting region and the phase-shifting region which can cause critical-dimension (CD) placement error. The transmitted light intensities of the two regions can be made equal by side-etching, in which the quartz (Qz) is undercut by wet-etching at the side of the transmitting region. We sought to optimize the mask structure in terms of a high numerical aperture (NA) through a simulation using two kinds of structures with a 157 nm exposure wavelength. The structures were a single-trench structure and a dual-trench structure, with each trench dug in the transmitting region. To attain a high NA (NA equals 0.85), we tried to optimize the parameters of the Cr film thickness, the amount of the undercut (side-etching), and the phase shift. The evaluated line pattern sizes were 70 nm (line/space size equals 70/70 nm, 70/140 nm, 70/210 nm, and 70/350 nm) and 50 nm (line/space size equals 50/50 nm, 50/100 nm, 50/150 nm, and 50/250 nm) at the wafer. Further, using the optimized mask, we calculated the lithographic margin of a sub 70 nm pattern through a simulation. For the 70 nm line patterns, we found that it will be difficult to fabricate precisely a 70 nm line patten using a mask with a single- trench structure. And we also found that the most suitable conditions for the dual-trench structure mask were a 90 nm undercut, a 100 nm Cr film thickness, and a 180 degree(s) phase shift. The exposure latitude at a depth of focus (DOF) of 0.3 micrometers , simulated using the optimized mask, was 5.3% for the 70/70 nm pattern, 3.6% for 70/140 nm 16.0% for 70/210 nm, and 29.3% for 70/350 nm. As the pitch widened, the exposure latitude increased for the 70 nm line patterns. Using the optimized dual-trench mask for 157 nm lithography, it will be able to keep the EL more than 3% at DOF of 0.3 micrometers for a 70 nm line pattern.
Meeting the challenges of 157-nm microstepper technology
Show abstract
For the aim of fabricating next-generation semiconductor devices, researchers are now attempting to enhance 157-nm lithography so as to achieve 70-nm node level various circuit designs. Many of the challenges for 157-nm technology such as contamination and purge control, calcium fluoride intrinsic birefringence, finding resists with suitable performance characteristics, have been performed. The major challenge, in terms of stability of tool performance, has been the apparent accumulation of contamination on the bottom of the objective. This has been evidenced by a reduction in resolution performance and an increase in the non-uniformity of the illumination intensity across the image plane. Uniformity over the entire imaging field has increased from 0.58% to as much as 18.5% through the use of the tool. This paper reports our demonstration that loss of uniformity due to contamination from resist outgassing can be reversed by cleaning the bottom surface of the lens of 157-nm microstepper (Ultratech Stepper Inc.) in- situ using 157-nm light and a small concentration of O2 in the N2 purging for exposure area. With an in-situ oxygen (O2) and vacuum ultra violet (VUV) cleaning, the uniformity of over the full imaging field has been improved from 18.5% to 6.0%. The edges of the imaging field do not recover as well during a cleaning as the center of the field, as the central 0.5 mm diameter of the field uniformity has been improved to more or less 2.0%. The procedure of this in-situ O2 cleaning will also be introduced, and in addition to this in-situ O2 cleaning, some recent results in system performance will be shown and many of these challenges will be discussed.
157-nm system test for high-NA lithographic lens systems
Show abstract
The path to smaller semiconductor feature sizes demands that lens systems operate at higher numerical apertures and shorter wavelengths. Materials available for operation at shorter wavelengths, such as 157nm, exhibit properties that have strong wavelength dependence. Accurate characterization of lens performance must be done at the wavelength of use so as to include these effects. Measurement of optical system performance at 157nm brings with it the necessity to operate in an environment purged of gases and outgasing byproducts. This constraint coupled with increasingly tight tolerances necessary to meet the advancing requirements of the semiconductor industry raise the level of sophistication required of test set-ups. We present an interferometric set-up designed to meet these requirements. The set-up is designed to work with the very low temporal and spatial coherence typical of 157nm laser sources. These coherence properties are used advantageously, reducing coherent noise in the system and achieving high resolution, repeatability and accuracy simultaneously. Specialized instrumentation enables various error-separation techniques to be used. We now measure phase-retardance in the wavefront in order to characterize the error introduced by the intrinsic properties of the material. The combination of these features is required for 'at wavelength' optimization of 157nm lens systems.
In-situ measurements of VUV optical materials for F2 laser
Show abstract
F2 laser lithography (wavelength:157 nm) is a candidate of post-ArF excimer laser lithography. In order to test the characteristics of vacuum ultraviolet (VUV) materials for F2 laser lithography, we developed an evaluation system consisting of a 1 kHz F2 laser, an in-situ real-time transmittance measurement unit and an in-situ VUV spectrophotometer. The precision of the real-time transmittance measurement is +/- 0.5%. The precision of the VUV spectrophotometer measurement is +/- 0.5% for scanned wavelengths (140 - 300 nm) and +/- 0.1% for a constant wavelength (at 157.6 nm). Due to F2 laser irradiation cleaning, the transmittance of uncoated calcium fluoride (CaF2) substrates and of F2 laser coatings at first rapidly and then gradually increased. Thereafter the transmittance remained constant. Results of the real-time transmittance and the VUV spectrophotometer measurement were almost identical. In addition, durability tests of CaF2 substrates and of F2 laser coatings were performed with a 4 kHz F2 laser for more than 10 billion pulses (Bpls). After the initial transmittance increase of CaF2 substrates, no change in transmittance was observed during more than 10 Bpls. In order to maintain the CaF2 substrate transmittance, silicon compounds have to be removed from the purge gas and from the irradiation chamber where optical materials are placed. F2 laser coating quality varied enormously between suppliers.
Simulation and characterization of silicon oxynitrofluoride films as a phase-shift mask material for 157-nm optical lithography
Show abstract
Silicon oxynitrofluoride has been studied as a new candidate material for High Transmittance Attenuated Phase Shift Mask (HT-Att-PSM). The requirements of HT-Att-PSM are 20 +/- 5% transmittance and 180 degree(s) phase shift at the exposure wavelength (157 nm) and less than 40% transmittance at the inspection wavelength (193 nm). Si-O-N-F films were deposited with the change of process parameters such as gas flow rate and deposition time to find optimum conditions to meet above requirements. In this study, effects of process parameters on the optical properties of Si-O-N-F films were examined. To satisfy the requirements of HT-Att-PSM, a new mask structure was suggested and analyzed.
Interference patterning of gratings with a period of 150 nm at a wavelength of 157 nm
Show abstract
A system producing an optical pattern with a high spatial frequency at (lambda) equals 157 nm has been built to be used as a photoresist tool for the 157 nm lithography. In order to generate a test pattern with a high spatial frequency, two-beam interference was used to overcome the limits of existing mask-projection systems using numerical apertures up to 0.65. In order to work without phase lock techniques a e-beam phase grating was used for providing the two interfering wave fronts for the generation of 150 nm-structures. The phase grating is illuminated under the Bragg-angle. Only two diffraction orders propagate and the other orders are evanescent. The interference pattern resulting in the region of the overlap of the propagated orders is a true two-beam pattern of the same period as the e-beam mask. The photoresist coated wafer is placed in the plane of the interference pattern and is rigidly held by a mechanical fixture. The contrast of the interference pattern depends on the degree of spatial coherence of the excimer laser, on the coherence length, the polarization state of the beam used to illuminate the surface relief phase grating, and on the distance between the wafer and the surface relief phase grating. The degree of spatial coherence was increased by a restriction of the plane wave spectrum which has been attained at the cost of energy throughput. In addition, the TM-polarization was blocked. This was done by a polarizer and a spatial filter. The theoretical background of the experiment will be discussed as well as practical problems.
Spectral metrologies for ultra-line-narrowed F2 laser
Show abstract
The roadmap of semiconductor fabrication predicts that the semiconductor market will demand 65 nm node devices from 2004/2005. Therefore, an Ultra-Line-Narrowed F2 laser for dioptric projection systems is being developed under the ASET project 'The F2 Laser Lithography Development Project.' The target of this project is to achieve a F2 laser spectral bandwidth below 0.2 pm (FWHM) and an average power of 25W at a repetition rate of 5 kHz. Accurate measurements of the laser spectrum and of the laser wavelength stability are therefore very important. We therefore developed a VUV wavemeter with a Br-lamp to measure the absolute F2 laser wavelength. We obtained 157.631 nm for the main F2 laser transition using the Br-lamp reference lines at 157.4840 nm and 157.6385 nm. We have also developed a VUV high-resolution spectrometer to measure spectral profiles, which was calibrated by 157 nm coherent light source (157CLS). The 157CLS is a very narrow line-width, which can be approximated by delta function. The 157CLS had a line-width of 0.008 pm (Full-Width-At-Half- Maximum, FWHM) and a power of 0.1 mW. The instrument function of the high-resolution spectrometer measured by the 157CLS was 0.10 pm (FWHM). As a result, the deconvolved FWHM of the ultra-line narrowed F2 laser is 0.12 pm, the deconvolved spectral purity containing 95% of the total energy (E95) was less than 0.45 pm.
High-repetition-rate excimer lasers for 193-nm lithography
Wolfgang Zschocke,
Hans Stephen Albrecht,
Thomas Schroeder,
et al.
Show abstract
Capital costs and economical efficiency is becoming the most important criteria for any decision on lithography tools for an advanced IC fabrication facility. Each lithography wavelength has to compete for productivity, cost efficiency and return of investment. Reliable high repetition rate laser light sources enabling high illumination power and wafer throughput are one of the fundamental prerequisites. In this paper we report on our recent progress in development of high repetition rate ultra-narrow line and semi-narrow band ArF lasers for advanced 193nm lithography. These lasers are designed for high NA refractive and catadioptic scanner tools targeting the 100 nm node and below. We present key performance data of our high repetition rate ArF- lasers which currently operate at 4 kHz with a spectral bandwidth of < 0.35 pm or 25 pm, and 20 W or 40 W, respectively. Improvements in the laser-internal metrology and faster regulation control result in better energy and wavelength stability, dose control and improved overall operation behavior. Ultra-narrow bandwidth emission combined with an extra ordinary high spectral purity E(95%) < 0.8 pm is achieved by a new design of the optical line narrowing module implemented into the A4005. Improvements in the tube design support a laser operation with repetition rates of greater than > 4kHz and with 75% duty cycle. Data on the main laser parameters in dependence on repetition rate are presented. These results indicate the robust performance of the A4005 for all operation conditions and suitable reliability and lifetime of the modules.
Laser resistance of fused silica for microlithography: experiments and models
Show abstract
Laser resistance of fused silica, used as lens material in DUV microlithography, is one of the keys to long-term high-level optical performance of steppers and scanners. The exposure of fused silica to high energy excimer laser pulses over long periods of time modifies the material in several different ways: the optical absorption increases due to laser-induced formation of color centers; the density of the material changes due to structural relaxation and formation of (beta) -hydroxyl (SiOH); and finally the index of refraction changes due to a photorefractive effect. All of these effects affect the imaging quality of illuminator systems and projection lenses, hence the need for fundamental understanding and modeling.
Characterization of DUV and VUV optical components
Klaus R. Mann,
G. Eckert,
Christian Goerling,
et al.
Show abstract
In order to improve the efficiency of optical components for microlithography, metrology for comprehensive characterization of DUV and VUV radiation and the related optics has been developed at Laser-Laboratorium Göttingen. The performance of optical components is assessed by measuring absorptance, scatter losses and damage thresholds during ArF and F2 laser irradiation. Absolute linear and non-linear absorption coefficients are determined by high-resolution laser calorimetry, which provides greatly enhanced accuracy as compared to transmissive measurements. This technique accomplishes also fast monitoring of laser induced degradation phenomena. The absorptance data are compared with the results of accompanying high-resolution laser-induced fluorescence measurements. For an assessment of the optical quality of DUV/VUV optics, a specially designed wavefront analyzer based on the Hartmann-Shack principle is employed. This device, which also allows accurate beam characterization of ArF and F2 laser in the near- and far-field, can be used as an alternative to interferometric measurements for 'at wavelength' testing of optics, e.g. for on-line monitoring of compaction or lens heating in fused silica.
Reduction of reflective notching through illumination optimization
Show abstract
Photolithography on reflective surfaces with topography can cause exposure in unwanted areas, resulting in the phenomenon of reflective notching. Solutions to this problem are known within the industry, including the use of bottom anti-reflective coatings (ARCs) and dyed photoresist. In certain situations, such as on implant layers, the use of a BARC may be impractical. One potential solution to this problem lies in optimization of the illumination settings. It is known that changes in the illumination settings NA and sigma have an impact on the swing curve amplitude. It will be shown that for certain situations, reflective notching can be virtually eliminated through proper selection of the illumination settings.
Production-ready 4-kHz ArF laser for 193-nm lithography
Show abstract
Semiconductor chip manufacturing is on the verge of a new production process node driving critical feature sizes below 100 nm. The next generation of 193 nm Argon Fluoride laser, the NanoLithTM 7000, has been developed in response to this recent technology development in the lithography industry. The NanoLithTM 7000, offering 20 Watts average output power at 4 kHz repetition rate, is designed to support the highest exposure tool scan speeds for maximum productivity and wafer throughput. Technology improvements to support the move from pilot production to full production will be described. With core technology defined and performance to specification established, attention turns to cost of operation, which is closely tied to module lifetime and reliability. Here we present results of the NanoLithTM 7000 system lifetest tracking all optical performance data over a 4.4 Billion shot. The system is operated in firing modes ranging from 1-4 kHz, and up to 75% duty cycle. Overall system performance measured to date both in the lab and in the field suggests that this laser meets all the production requirements for 193 nm lithography.
Resolution Enhancement Techniques I
Resolution enhancement of 157-nm lithography by liquid immersion
Show abstract
We present the results of a preliminary feasibility study of liquid immersion lithography at 157 nm. A key enabler
has been the identification of a class of commercially available liquids, perfluoropolyethers, with low 157 nm absorbance α157~10 cm-1 base10. With 157 nm index of refraction around 1.36, these liquids could enable lithography at NA~1.25 and thus resolution of 50 nm for k1=0.4. We have also performed preliminary studies on the optical, chemical, and physical suitability of these liquids for use in high throughput lithography. We also note that at longer wavelengths, there is a wider selection of transparent immersion liquids. At 193 nm, the most transparent liquid measured, deionized
water, has α193 = 0.036 cm-1 base 10. Water immersion lithography at 193 nm would enable resolution of 60 nm with
k1=0.4.
Resolution Enhancement Techniques II
Solutions for printing sub-100-nm contacts with ArF
Show abstract
This study assesses the various approaches to printing contacts in the sub 100nm regime using 193nm. Traditional techniques are analyzed along with the use of tri-tone contacts and pupil filtering. Approaches using attPSM masks looks promising down to pitches of 300nm. Below this, assist features may be needed to prevent residual artifacts due to sidelobes. For pitches > 400nm the use of tri-tone masks show a significant improvement in process latitude and ease of overlapping process windows. The pupil filter solution does not seem provide any significant improvement as compared to other solutions with the exception that it provides the lower MEF. Realization of this solution will increase machine complexity and will possibly impact throughput, especially if using transmission filters. However, pupil filtering can be an option for isolated contact layers that are printed with binary masks. We find that the process and enhancement techniques to print a dense contacts and isolated contacts to be vastly different. This may require a split into two exposures if an extensive pitch range is needed.
Poster Session
High-NA ArF lithography for 70-nm technologies
Show abstract
Complementary phase shift mask (c:PSM) is one of the most promising resolution enhancement techniques (RET) to extend low k1 optical lithography. Nonetheless binary intensity mask (BIM) imaged with 193 nm wavelength at high numerical aperture (0.75) off-axis illumination (OAI) might still be used for nested through isolated feature sizes as small as 70 nm. We compare the feasibility of using c:PSM and BIM for 70nm generation technologies. Experimental results of high NA imaged BIM and c:PSM are presented.
Spatial dispersion in CaF2 caused by the vicinity of an excitonic bound state
Show abstract
The microscopic mechanism beyond the optical anisotropy of an ionic crystal which occurs for short wavelengths is investigated. The electron-hole, two particle propagator and its analytical behavior close to the band edge of the one particle continuum plays a major role for the mechanism of this optical anisotropy. Especially for an ionic crystal the two particle bound state, the exciton, is of special importance. In this way we argue that the so called intrinsic birefringence in CaF2 is neither intrinsic to the material nor it is birefringence. Instead it is spatial dispersion caused by the vicinity of a dispersive optical absorption given by the excitonic bound state. We propose a model which connects the bound state dispersion with the band structure and a model potential for a screened coulomb interaction. Based on these considerations we predict a wavelength dependence of the dielectric function approaching close to the bound state level (epsilon approximately ((lambda) - (lambda) 0)-1, where (lambda) 0 is the wavelength of the excitonic bound state level.