Proceedings Volume 4409

Photomask and Next-Generation Lithography Mask Technology VIII

cover
Proceedings Volume 4409

Photomask and Next-Generation Lithography Mask Technology VIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 5 September 2001
Contents: 12 Sessions, 82 Papers, 0 Presentations
Conference: Photomask and Next Generation Lithography Mask Technology VIII 2001
Volume Number: 4409

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Mask Technology and Semiconductor Devices
  • Photomask Processes and Materials
  • Defect Printability and Dispositioning
  • Metrology
  • Resolution Enhancement Technology in Optical Lithography
  • PSM Fabrication Processes and Materials
  • Resolution Enhancement Technology in Optical Lithography
  • PSM Fabrication Processes and Materials
  • Mask Design Automation and T-CAD
  • PSM Fabrication Processes and Materials
  • Resolution Enhancement Technology in Optical Lithography
  • Mask Design Automation and T-CAD
  • Photomask Processes and Materials
  • Mask Design Automation and T-CAD
  • Dry Etching Techniques for Mask Materials
  • Mask Design Automation and T-CAD
  • Defect Printability and Dispositioning
  • VUV and NGL Masks
  • Defect Inspection and Repair Systems
  • Advanced Mask Cleaning, Pellicle, and Process Technology
  • Photmask Patterning
  • Mask Design Automation and T-CAD
  • Metrology
  • VUV and NGL Masks
  • Photomask Processes and Materials
  • Dry Etching Techniques for Mask Materials
  • Defect Inspection and Repair Systems
  • Advanced Mask Cleaning, Pellicle, and Process Technology
  • Resolution Enhancement Technology in Optical Lithography
  • Advanced Mask Cleaning, Pellicle, and Process Technology
  • VUV and NGL Masks
  • Photmask Patterning
  • Resolution Enhancement Technology in Optical Lithography
  • Mask Technology and Semiconductor Devices
  • Metrology
  • Mask Design Automation and T-CAD
Mask Technology and Semiconductor Devices
icon_mobile_dropdown
Impact of embedded DRAM logic devices on semiconductor manufacturing
Teruo Hirayama, T. Ezaki, N. Ouchi
We have taken the lead in process development, while recognizing the technical difficulties inherent in system solutions involving embedded memory. We have developed processes that integrate a high-speed logic with a large-scale DRAM, with the aim of giving even further distinctiveness to our solutions. In this way, we have successfully realized high-performance system LSIs. Through doing so, we have also realized wide bandwidths, low power consumption rates, and other unique capabilities. Nevertheless, we do not consider the merging method to be the be-all, end-all solution for realizing all types of system LSIs. Rather, we fully realize the need for selection of the optimal process, and incorporate within our vision package development as based on circuit scale, required capabilities, and total costs.
Photomask Processes and Materials
icon_mobile_dropdown
High-performance e-beam resist coupling excellent dry etch resistance and sub-100-nm resolution for advanced mask making
Wu-Song Huang, Ranee W. Kwong, Wayne M. Moreau, et al.
Recently, there is a significant interest in using CA resists for electron beam (E-beam) mask making application. CA resists provide superior lithographic performance in comparison to traditional non CA E-beam resists in particular high contrast, resolution, and sensitivity. However, most current CA resists exhibit very large sensitivity to PAB and/or PEB temperatures resulting in significant impact on CD. In addition, image collapse issues associated with high aspect ratio patterning as well as electron scattering effects in low KeV tools necessitate thinner resists. Therefore, there is a need to have a high etch resistant resist system which can withstand the demanding chrome etch process. Previously, we reported on the KRS-XE resist which exhibits dry etch resistance comparable to the best deep UV resist and excellent lithographic performance and bake latitudes. No PEB is needed for this resist. In this paper, we report on an advanced KRS-XE resist formulation which exhibits dry etch resistance surpassing the industry standard, novolak, in the chrome etch process. This new resist also exhibits excellent lithographic performance - 50nm lines/space delineated and requires no PEB. This paper will highlight the lithographic and etch performance of this new resist.
Resolution improvement of chemical-amplification resist using process-induced effect correction
Ji-Hyeon Choi, Chang-Hwan Kim, Jeong-Yun Lee, et al.
Resolution comparison of a CAR (positive resist) and ZEP- 7000 was investigated for 50 kV e-beam machine and dry etching process. The CAR is superior to ZEP-7000 in view of resist profile, while it is inferior in view of CD variation, after Cr dry etching. The etching results were improved using thin resist, optimizing the etching condition and process effect correction. The best performance was obtained form e-beam proximity correction. It is difficult to apply this model to a real device since it has model errors and inconvenience in data handling. Among the activities for the improvements, etch condition optimization is the most effective. A pattern fidelity issue such as edge roughness and line-end shortening remains even with a CD linearity improvement.
Photoresist processing for high-resolution DUV lithography at 257 nm
Scott E. Fuller, Warren Montgomery, Jeff A. Albelo, et al.
In this paper, we describe the studies conducted at Etec Systems, Inc., an Applied Materials company, using various resists from photoresist manufacturers. Etec undertook this investigation with the objective of creating 257-nm resist processes that would allow the continued use of precoated mask blanks from commercial suppliers. Successful delivery of this technology would allow the industry to adopt next-generation maskmaking systems without having to change current business practices, avoiding being forced to invest heavily in capital equipment, facilities, and the associated technology development programs necessary for local coating of mask blanks. The main criteria used to select an appropriate resist candidate were commercial availability, environmental stability, and across-plate critical dimension (CD) uniformity. The aging data provides an indication of shelf life-pre-exposure ranging from hours to months of delay. Methods for measuring the incoming plate quality are highlighted. The importance of a highly consistent, tightly controlled bake process-post-exposure bake (PEB) and post-apply bake (PAB)-is illustrated in detail. This paper also shows controlled CD structures below the wavelength: 257 nm. The authors describe the processing difficulties encountered while attempting to create a photomask manufacturing-capable process and the techniques used to address the difficulties. Bottom antireflective coatings, photobase, and chemical amplification are areas of focus, as are their resulting effects on the final photomask.
CARs blanks feasibility study results for advanced EB reticle fabrication: III
Masahiro Hashimoto, Fumiko Ohta, Yasunori Yokoya, et al.
For advanced EB reticle fabrication with CAR blanks, we have been trying blanks life improvement and resolution enhancement. This paper describes several options to extend CAR blanks life by shipping package and storage manners. Dry-N2 purged shipping package and desiccant showed efficiency for PCD improvement. However, desiccant is not available for products since it is not investigated yet if particle contamination could be happened. To extend blanks life after blanks package opened, we blanks maker really recommend the fresh dry-N2 purged box (overflowed) as an ideal storage manner, or regular shipping box storage at least. We tried a BARC as isolator and thinning CAR for resolution enhancement, and found that a neutral-BARC showed an improvement. However, we needs further study about its feasibility, especially for coating uniformity and defect quality control. Thin resist showed almost no improvement for CAR resolution enhancement. To improve CAR performance further, we continuously need the resist-makers cooperation to design and develop a CAR that provides us footing-less pattern profile and long-life after coating.
Defect Printability and Dispositioning
icon_mobile_dropdown
Enhanced dispositioning of reticle defects using the Virtual Stepper with automated defect severity scoring
As Optical Proximity Correction (OPC0 and Phase Shifting (PSM) become more and more commonly used for producing smaller features on wafer, the photomask (reticle) manufacturing, that is mask writing, inspection and repairing, and quality assurance become more challenging for both mask shops and wafer fabs. Consequently, a powerful defect analysis tool is needed to determine which defect is a nuisance defect, which defect needs to be repaired, and how good is the repair. It should have the capability for defect printability prediction and analysis of defect impact on device performance. In this paper, we will study and characterize the printability prediction of programmed defects on binary OPC masks by the Virtual Stepper System with its newly developed Automated Defect Severity Scoring (ADSS) function. AMD's defect test reticles HellOPC2 were used. The Virtual Stepper simulation and defect impact analysis results (the automatically calculated Defect Severity Score) will be compared to the SEM images and measurements of wafer prints using 193nm lithography. The results demonstrate that the Virtual Stepper System with its ADSS feature can provide its user with an automate, fast and accurate way of analyzing the impact of a defect. The Virtual Stepper System with ADSS function will be a suitable tool for photomask defect critically assessment in mask shops and wafer fabs.
Defect printability study with programmed defects on halftone reticles
Small structure sizes in the order of half the exposure wavelengths on wafers are nowadays accomplished with optical enhancement methods. Instead of COG the semi-transparent halfton reticles are used to reach a sufficient process window for the production of smaller memory products at low k1. In the semitransparent halftone material (MoSi) the intensity of the incident light is reduced to 6% and the phase is shifted by half of the wavelength (180 degree(s)). In this study halftone PSM for 248nm and 193nm wavelength with programmed defects of different sizes in lines/spaces (l/s) and brick stone structures were examined. With inspection, repair and print tests valid criteria for critical defect sizes were found. The defects were all analyzed with a Zeiss Aerial Image Measurement System (AIMS) and characterized with a mask SEM. Several defects were repaired using a FIB. Finally, this halftone PSM was printed and the defects were analyzed by a wafer SEM. The sizes of the programmed defects were distributed from printing to not printing. Critical defect sizes were clearly defined and the sensitivity of inspection tools for photomasks (KLA and Orbot Aris-i) could be checked.
Defect dispositioning using mask printability on attenuated phase-shift production photomasks
Justin W. Novak, Benjamin George Eynon Jr., Anja Rosenbusch, et al.
Recently a new mask qualification concept is getting more and more attention. Mask makers are challenged to meet mask and defect specifications of 130 and 100-nm technology node. This means very tight specifications, which usually lead to long mask delivery times. A main factor in the mask making process is mask inspection and repair. The mask repair cycle is not only time-consuming, but also bears the danger of damaging a mask. At the same time, when investigating defect printability, it is getting clear that a lot of today detected defects do not affect wafer-printing results at all. The concept Inspect all - Repair only what prints is introduced. In this paper a study comparing different defect classification methods and their impact on mask repair cycle time is presented.
Mask defect disposition: flux-area measurement of edge, contact, and OPC defects correlates to wafer and enables effective decisions
Peter Fiekowsky, Darren Taylor, David Wang, et al.
Lithographers' ability to set useful defect and contact specifications has almost disappeared as chip geometries have shrunk. As features sizes have decreased, measurement error has increased to 25% of the maximum allowable defect size. This has made defect disposition so difficult that many processes now require that all detected defects be repaired because the automatic defect sizing is almost meaningless, that is, the required guard band is nearly the size of the defect specification (Reynolds, BACUS 2000). Many mask processes have abandoned defect sizingin favor of stepper simulation, either using simulation microscope, such as AIMS, or software, such as NTI's VSS. However, AVI's optical Flux-Area measurement technique provides accuracy and repeatability that gives the simple, time tested defect specification technique new life. This study demonstrates high quality edge-, contact-, and OPC- defect disposition can be achieved using the Flux-Area technique. A test mask with a range of edge defects as well as mis-sized contacts and OPC defects was written. The mask defect sizing performed with the AVI is shown to be consistent on different chips using the same process. Thus it is shown that all the over-spec defects on the wafer were measured as over-spec on the mask. Results show that edge defect size on the wafer can be accurately predicted from the AVI defect area; that printed contact size is linearly proportional to the AVI measured area, on both square and irregular contacts; and that OPC defects (printed line-end separation errors) can be accurately predicted from AVI serif-area measurements on the mask. With the Flux-Area measurement technique as implemented on the AVI Photomask Metrology System, defects can be measured with long term repeatability and rms repeatability between machines of better than 10nm, 3% of a 0.3micrometers defect. This means that guard bands can often be reduced from 0.15micrometers to below 0.05micrometers .
Metrology
icon_mobile_dropdown
Contact holes: optical area measurement predicts printability and is highly repeatable
Glen W. Scheid, Darren Taylor, Peter Fiekowsky
Small contact holes are the most difficult structures for microlithography to print because their sizes match the resolution of the stepper. Thus a mask hole that is a little too small won't print at all. It is widely accepted that the size of the contact hole on the wafer is controlled by the total light flux that gets through the contact hole on the mask. This is demonstrated by the fact that normal small contact holes print round on the wafer, no matter what shape they were on the mask. Therefore it is the area, not the shape that affects the wafer print. Contact holes have traditionally been measured in height and width. Recently, technology has been introduced to estimate corner rounding, so that a combination of height, width, and corner rounding could be used to estimate the area of the contact. Th introduction of the flux-area technique allows direct measurement of the optical area of features as small as (lambda) /4, e.g. 0.15(mu) using visible light. These measurements have been shown to be linear, repeatable, and highly correlated to what prints on the wafer. This study examines the accuracy and repeatability of optical contact hole area measurements using visible light and I-line illumination with the AVI Metrology system. A test mask is designed and printed by Photronics. It is measured with the AVI Photomask Metrology system taking images from a KLA353uv inspection system and a Zeiss AIMS tool, and a SEM. The mask is then printed at LSI Logic on a 0.25micrometers process. The contact sizes on the wafer are measured on a SEM. Results show that optical measurements from the AVI system correlate to the printed contact size as well as SEM measurements of the mask, and twice as well as conventional optical measurements. Furthermore, optical mask measurements at the mask shop and the fab correlate within 20nm despite the use of different wavelengths, and measurement with and without pellicles.
First performance data obtained on next-generation optical mask metrology tools
To keep pace with continuously shrinking design rules for masks and reticles Leica Microsystems has developed two new mask metrology tools. The LWM 250 DUV is designed to measure Critical Dimensions (CD) on mask in transmitted light at 248nm illumination. The LMS IPR02 is designed to measure pattern placement and CDs in transmitted light at I- line (365nm) illumination. System overview and first performance data are presented for both tools. The step to a shorter illumination wavelength leads to a better optical resolution power resulting in an improved edge detection and CD linearity compared to systems using white light or I-line illumination for imaging.
Resolution Enhancement Technology in Optical Lithography
icon_mobile_dropdown
Resolution enhancement techniques in optical lithography: It's not just a mask problem
Over the past decade, optical lithography's k1 factor has been continuously eroding despite aggressive improvements in exposure wavelength and numerical aperture. To compensate for the increased difficulty in maintaining adequate process windows at smaller k1's, lithographers have gradually introduced mild resolution enhancement techniques (RETs) such as the use of optical proximity correction (OPC) and attenuated phase shifted mask enhanced lithography (attPSM). The penetration of the k1=0.5 barrier with the 180nm technology node forced serious consideration of strong resolution enhancement techniques such as the use of sub-resolution assist features (SRAFs) with off-axis illumination (OAI), and alternating phase shifted mask enhanced lithography (altPSM). Penetrating into the deep-sub-k1=0.5 lithography regime for the 130nm and 100nm technology nodes requires broad implementation of these strong RETs in well integrated lithography solutions. After briefly reviewing the history and challenges associated with OPC, this paper will discuss the fundamental concepts and theoretical resolution limits of altPSM and SRAF followed by some experimental results. The paper will then review the challenges facing the implementation of SRAF and altPSM in deep-sub-k1=0.5 production lithography.
Fabricating 100-nm line patterns with high-transmittance ArF attenuated phase-shift masks
Haruo Iwasaki, Shinji Ishida, K. Tonai, et al.
We have studied the optical proximity effect, the depth of focus (DOF) and the mask-error-enhancement factor (MEF) of ArF attenuated phase shift masks (att. PSMs) for the application to 100-nm logic gate patterns. In the previous work, we have compared the performances of the binary mask with those of the 6% transmittance ArF att. PSM for printing 100-nm lines, and we obtained the better performances on the att. PSM with the annular illumination. In this paper, we reported the evaluation results of the higher transmittance att. PSMs. We fabricated them with 6-20% transmittance (quartz reference). Those masks have TaSiO bi-layer shifter with two tones. We did not use assist bars. An ArF scanner with NA 0.60 and a positive chemically amplified ArF resist with the thickness of 0.4 um are used. 100 nm semi-dense lines with a pitch of 250 nm are exposed without bias and 100 nm isolated lines are exposed with biases of 5 -15 nm (on wafer). Our results show that the higher transmittance att. PSMs can improve the DOF and the MEF on both semi-dense lines and isolated lines. In our experiments, the highest transmittance of 20% gives the broadest DOF of 0.4 um and the smallest MEF of 1.78 and 1.11 for semi-dense lines and isolated lines respectively. However, some higher transmittance PSMs may create the side lobes on the large patterns. From these results, we demonstrated that that 10% transmittance is suitable for the ArF att. PSMs for the fabrication of 100 nm line patterns.
PSM Fabrication Processes and Materials
icon_mobile_dropdown
Application of multistep quartz etching method to strong PSMs
Hyoungdo Kim, Yong-Hoon Kim, Seong-Woon Choi, et al.
Before strong plastic shifting masks (PSMs) are used in production mode, several problems, such as (Delta) CD, X- phenomenon, and phase non-uniformity must be resolved. Among these problems, the phase non-uniformity on the mask plate is the main topic in our study. A quartz substrate is not embedded with any etching stopper layer, which leads to inherent etching depth non-uniformity across the mask plate. In this paper, we carry out simulation on the dependency of phase variation for tolerable margin. The simulation result shows that the phase should be controlled within +/- 2 degree(s) off a targeted phase. Through some experiments, it is confirmed that the etching non-uniformity results from etching species' reaction with resist. Employment of a multi-step dry etching gives rise to remarkable improvement of the phase uniformity compared with a single-step etching.
Resolution Enhancement Technology in Optical Lithography
icon_mobile_dropdown
Optimization of alternating phase-shift mask structure for ArF laser lithography
Tomohiko Yamamoto, Naoyuki Ishiwata, Yuichiro Yanagishita, et al.
An alternating phase shift mask (alt. PSM) must be fabricated in such a way that imbalances in optical intensities are minimized. The mask structure must be optimized to obtain a balanced distribution of optical intensities and this means that the shifter thickness/quartz depth that corresponds to a phase angle of 180 degrees and the correct amount of undercutting should be estimated. There are two key points in the optimization of an alt. PSM. One is to find the optimum structure in terms of reducing the amount of undercutting. Narrower chrome (Cr) line widths are required for ArF laser lithography than for KrF laser lithography, so the undercutting must be restricted to prevent peeling of the Cr patterns, degradation of cleaning durability, and so on. Another key point is to investigate the effect of Cr line widths and pattern pitches on imbalances in the optical intensities. A variety of pattern pitches and Cr line widths are available from actual devices. All patterns, however, have same shifter thickness and amount of undercutting on each mask produced by a given mask fabrication process. It is thus necessary to study the effect on optical intensities of changes in Cr line widths and pattern pitches so that it is possible to optimize mask structures for a variety of patterns. From our simulation and experimental results, we found that an alt. PSM with vertical sidewalls has advantages in terms of reducing the amount of undercutting and is effective in the fabrication of sub 100-nm devices. We also discovered that imbalances in optical intensities vary periodically with Cr line widths. It was found that a structure for an alt. PSM should be optimized for each Cr line widths on these bases.
PSM Fabrication Processes and Materials
icon_mobile_dropdown
ZrSiON as a material for high-transmittance attenuated PSM
Koichiro Kanayama, Takashi Haraguchi, Tsukasa Yamazaki, et al.
ArF lithography which is a leading technology for 100nm node device fabrication is approaching the stage of practical use, where resolution enhancement techniques (RET) represented by attenuated phase shift mask (att.PSM) are expected to be used from the beginning. ON the other hand, in order to obtain higher depth of focus and resolution, it is said that att.PSM with high transmittance (HT-PSM) will be adopted to KrF and ArF lithography. We have developed zirconium silicon oxide (ZrSiO) att.PSM and reported its utilities toward practical use of ArF lithography. In this paper, we present examination results of possibility of ZrSiON att.PSM to be applied to HT-PSM for KrF and ArF lithography. Consequently, we confirmed the possibility and effectiveness of ZrSiON for HT-PSM as follows; ZrSiON films are convenient to control optical properties required for HT-PSM because of its distribution of optical constants (n;refractive index, k;extinction coefficient) with deposition conditions. Bi- layer HT-PSM having transmittance of 15% for ArF of KrF lithography show such good spectral transmittance that they can be inspected with currently available inspection tool. ZrSiON blanks are also proved to have high durability against cleaning chemicals of not only acid but alkali. Moreover, ZrSiON shifter has good dry-etching durability against Cr dry-etching stacked on ZrSiON film, which makes it easy to control phase angle in fabricating tri-tone-type HT-PSM.
Development of bilayered TaSiOx-HTPSM: I
Toshiaki Motonaga, M. Ohtsuki, Y. Kinase, et al.
We developed a new shifter for half-tone phase shift masks (HTPSMs) in ArF and F2 lithography, using a TaSiOx film. We have adopted the bilayer structure, which consists of a TaSiOx layer and a transmittance control layer (TCL), to make various transmittance blanks by controlling the thickness of each layers (without changing the film compositions) and to achieve lower transmittances at mask inspection wavelengths. Because we expected that the ratio of Si to Ta in TaSiOx layer was an important parameter regarding defect quality, we decided the Si/Ta ratio for 6% transmittance ArF-HTPSM, high transmittance ArF-HTPSM and F2-HTPSM, respectively, considering the inspection light transmittance. We sputtered 6-20% transmittance ArF HTPSM blanks and 9-13% transmittance F2 HTPSM blanks and confirmed that the experimental transmittance and phase results fitted the optical simulation results well and the inspection light transmittances of all blanks were lowered successfully. TaSiOx-HTPSM had good deposition stability (within plate and plate to plate). Furthermore, TaSiOx-HTPSM showed excellent laser irradiation durability and practical chemical durability.
Development of bilayered TaSiOx-HTPSM: II
Toshifumi Yokoyama, S. Yusa, T. Okamura, et al.
TaSiOx shifter has been developed for HT-PSM for ArF and F2 laser lithography. Adopting bilayered structure and embedding an etch-stop function into the transmittance control layer enable us to fabricate a TaSiOx-HT without quartz damage and to control the phase precisely. And less impact of TaSiOx shifter etching to CD was confirmed. It was confirmed this TaSiOx-HT was inspected by conventional inspection system without any problem.
Mask Design Automation and T-CAD
icon_mobile_dropdown
New mask data verification method after optical proximity effect correction
We propose a practical method of verifying mask data after optical proximity effect correction (OPC). The procedure is as follows. 1) Perform OPC using two tools that have different algorithms. 2) compare these OPC data dn if any differences are found, proceed to the next step. 3) Screening regions are defined by the original (pre-OPC) layout and the differences in these regions are filtered. Total CPU time for this verification is about 5 hours for a chip with 4 million gates.
PSM Fabrication Processes and Materials
icon_mobile_dropdown
Optimization of fabrication process for dual-trench-type alternating PSM
Tooru Komizo, Ichiro Kagami, Daichi Kakuta, et al.
We have optimized a fabrication process of an alternating phase shift mask (alt-PSM) with dual trench structure. In quartz etching process using a RIE system, one of the key issues is to obtain a precise controllability of phase mean to target. In order to improve a phase controllability, re- etching process using an etching depth monitoring method with atomic force microscopy is applied in actual fabrication process. With regard to phase uniformity and resist selectivity for quartz, etching conditions such as RF power, pressure, and gas concentration are optimized by orthogonal design method. To improve a sidewall profile of quartz, the effect of inert gas is also examined. Under the practical etching process, phase man to target within +/- 5 degree(s) is obtained. Phase uniformity is improved within 1.0 degree(s). Sidewall profile is improve within 87 degree(s). Optical intensity balance between shallow and deep trench apertures is obtained completely equal from AIMS evaluation result.
100-nm alternating PSM structure discussion for ArF lithography
Yasutaka Morikawa, Haruo Kokubo, Masami Nara, et al.
Alternating phase-shifting mask (Alt.PSM) technology is the most effective approach to expand resolution limitation and expand the process window of lithography. Currently, etched quartz Alt.PSMs have been introduced not only for device development but also for production use. Adapting the etched quartz structure, we need to consider about transmission difference between etched and un-etched regions. So, side-etching method has been used for structure of Alt.PSMs. We have been supplying Alt.PSMs with side-etching and single trench structures for the mass-production of KrF lithography. As design rule of device pattern have been tightening, in 100nm node and further, narrow chrome width limits the undercut width and which should be optimized for ArF lithography. Moreover, proximity effect has been reported for the shifter edge type Alt.PSMs as pitch dependency of printed line CD. We will report about the side-etching optimization whether limited undercut width can compensate the CD imbalance between etched and un-etched regions. Or, how much bias adding at space width of etched regions can compensate it, or how undercut and space biasing combination affect CD imbalance. We also discuss about whether the line bias can correct to targeted line CD. Finally, we will summarize which structure is recommended for 100nm-node Alt.PSM for ArF lithography. On the other hand, for the case the undercut structure turns out to be difficult in the 100nm-Alt.PSM manufacturing, we will report preliminary manufacturing results of the new structure.
Resolution Enhancement Technology in Optical Lithography
icon_mobile_dropdown
Prediction of MEEF using a simple model and MEEF enhancement parameters
In low k1 optical-lithography generation, there is a non- linear amplification of mask critical dimension (CD) error during image transferring on a wafer. This error factor is called mask error enhancement factor (MEEF). In ArF system, it is premature to use commercialized simulation softwares and ArF resists. To evaluate the real resist system, we made a simple model that uses aerial images. Through the simulation, we calculated MEEFs for 180nm and 130nm line/space pairs in KrF resist system and compared them with experimental MEEFs to obtain the resist blur that satisfies the current experimental MEEFs. With a current KrF resist system, the resist blur is ~0.05 micrometers . In case of ArF resist system, the resist blur is a more important factor in MEEF and must be suppressed to lower than 0.05 micrometers to meet the proper process margin.
Quantitative analysis of mask error effect on wafer CD variation in ArF lithography
Sang-Jin Kim, Sang-Sool Koo, Seo-Min Kim, et al.
This paper describes the effect of the mask errors such as mask critical dimension (CD) variation, phase and transmission error of attenuated phase shifting mask (att-PSM) on wafer CD in ArF lithography and also analyzes these errors quantitatively. Mask CD requirement using ELF and MEF is estimated firstly and mask CD should be controlled within about 7nm assuming O.7ONA ArF system with 1% illumination uniformity. Transmission error induces larger CD variation than phase error. However, phase error should be considered otherwise in that it reduces depth of focus (DOF). To control DOF degradation less than 10% in case of O.l4um and O.l6um isolated contact hole(C/H), the phase should be controlled within the range of Considering O.l4um isolated contact hole, transmission error of occupies 10% of CD tolerance. Finally, the budget of these factors are calculated in view of total wafer CD variation quantitatively except lens aberration, resist process, and etc. To reduce wafer CD variation, we should control mask CD more tightly.
Reticle quality needs for advanced 193-nm lithography
An overview will be given of the increasing reticle quality needs, based on the 193nm lithography program ongoing at IMEC, with special focus on the 100nm node. When benchmarked against high NA 248nm, 193nm offers an advantage for the 130nm node, as less aggressive resolution enhancements are required. For decreasing k1-factor, there is also a need to cope with an increasing mask error factor. The CD uniformity needs to be tightened. Likely, it is required to keep proximity effects and linearity issues on reticles under control. Extending from linewidth control to pattern fidelity, new metrology concepts are being suggested, which will allow to come-up with a quantitative result. Especially for the implementation of aggressive OPC there is a need to consider the mask quality in many more aspects then just those typically taken into account so far. This will allow an assessment of the printing performance of real reticles, taking limitations of the achieved pattern fidelity caused by the mask making process into account.
Mask Design Automation and T-CAD
icon_mobile_dropdown
Enhanced capability improvement using OPC pattern generation at laser lithography
In-Soo Lee, Kyung-Han Nam, Lee-Ju Kim, et al.
We have developed next generation photmasks with a variety of method and approach including new material, machines and technology. Because enhanced resolution and pattern fidelity are required rapidly in photomask for coping with further advances in optical lithography. Specially Laser Lithography, Alta3500 has low butting error, fast throughput and registration but has a weak point as corner rounding, CD linearity and ID bias against minimum MEEF (Mask Error Enhancement Factor) acquisition and enhanced pattern printability. But we can not help feeling much cost burden and worse productivity problem in the process of advanced photomasks development. Therefore we have to always consider low cost under high precision technology with current machine and material. We need to extend Alta3500 productivity for advanced device and a variety of device types. So we approached Optical Proximity Correction (OPC) among several candidates for helping that kinds of requirement. And we selected Rule Based OPC for helping fast application of correction rule and low mask complexity. We evaluated mainly Serif and Jog pattern for acquiring corner rounding, CD linearity and ID bias improvement at Alta3500. And we made a conclusion that Alta3500 can extend to 0.15(mu) Logic fabrication with implementation of OPC pattern generation. In this paper, details of OPC effects and application for 0.15micrometers devices will be further discussed.
Photomask Processes and Materials
icon_mobile_dropdown
Fabry-Perot-type antireflective coating for deep-ultraviolet binary photomask application
Hsuen-Li Chen, Chien-Kui Hsu, Ben-Chang Chen, et al.
In this paper, we demonstrated a novel anti-reflective coating structure for deep ultraviolet binary mask, which is based on three-layer Fabry-Perot Structure. The anti- reflective coating structure is composed of the chrome/oxide/chrome stack. By adding different optimized structures, reflectance of less than 2% at both 248nm and 193nm have been achieved. The results are also agreed well with simulated ones. At the three-layer Fabry-Perot structure, the thickness of bottom chrome layer should be larger than 100 nm to provide suitable absorption. By controlling the thickness of the intermediate oxide layer, we can tune the minimum reflection regime to the desired exposure wavelength. The thickness of top chrome layer should be well controlled in order to optimize transmission light into Fabry-Perot structures. In general, the mask layer should have good electrical conductivity for e-beam writing in order to prevent writing errors due to charging effects. In the Fabry-Perot structure, the top metal layer can also prevent charge accumulation during e-beam writing.
Application of chemically amplified resist to 10-keV e-beam system
Sung-Hee Ahn, Chang-Hwan Kim, Seung-Hune Yang, et al.
Chemically amplified resists (CAR) which are widely used in KrF lithography are recently employed to e-beam mask making for its high sensitivity and high performance. The high sensitivity of CAR is attractive in a 50keV variable shaped e-beam system for reducing heating effect and improving throughput problems. As the device shrinkage is accelerated, superior mask process has highly been requested in the 10keV system as well. To cope with these requests, the feasibility of a CAR in a 10keV e-beam system has been investigated through comparison with a typical resist for 10keV, PBS. The difference of each resist potentiality between CAR and PBS results in a contrast superiority. As CAR uses an aqueous developer, the development induced error can be reduced owing to its good develop stability and a high evaporation heat. As a result, more accurate CD control can be achieved. The CD linearity and dose margin with the CAR are comparable to or better than those with the PBS. It is concluded that the CAR has various advantages over PBS and can supersede the PBS in a 10keV e-beam system.
Advantages of using the CAR for photomask manufacturing
Takehiro Kondoh, Masamitsu Itoh, Rikiya Taniguchi, et al.
This report shows characteristics of the chemical amplified positive-tone resist (CAR) we have developed for 50keV electron beam (E-beam) mask writing system. The CAR includes newly developed polymer. Including the polymer, CD change is negligible small even if exposed mask is left in vacuum for dozens of hour. 0.4, 0.3, 0.2 micrometers L&S resist pattern profiles at a dose of 7.5micrometers C/cm2 and Cr pattern profiles after reactive ion etching are printed. Dependence on PEB temperature is 3.4 nm / degree(s)C. As post coating delay effect (PCD), CD change can not be observed for 53 days. And characteristics of the CAR were compared with that of ZEP7000 (Nippon Zeon Co., Ltd.). Dose latitude of the CAR is about two times of that of the other. Writing time of photomask with the CAR is about half of that the other. Above mentioned, the CAR has ability for photomasks manufacturing. So, we have succeed in making 0.18micrometers design rule photomasks with the CAR and 50keV EB writing system.
Acid-breakable-resin-based chemical amplification positive resist for 0.1-um-rule reticle fabrication: design and lithographic performance
Sonoko Migitaka, Tadashi Arai, Toshio Sakamizu, et al.
We have designed a new chemical amplification (CA) positive resist for 0.1micrometers reticle fabrication. This positive resist consists of an acid-generator, an acid-diffusion controller, and an acid-breakable (AB) resin that can be converted to initial polyphenol units by an acid-catalyzed reaction. In the exposed region, main-chain scission of the AB resin matrix produces nearly mono-dispersed fragments (the polyphenol). This complete fragmentation results in an extremely high dissolution rate with an aqueous-base developer (tetramethylammonium hydroxide: 2.38 wt%). The AB resin-based resist enabled fabrication of scum free 0.15micrometers line-and-space patterns on a CrOx plate by using a 50-kV electron-beam reticle writer (HL series). The line- edge roughness of patterns delineated by this resist (<10 nm) was less than half that for previously developed novolak-resin-based CA resists (RE series:>30nm).
Elongation effect of a protecting layer for film life of chemically amplified-type e-beam resist
Kakuei Ozawa, Nobunori Abe
Several kinds of hydrophobic or hydrophilic polymers were evaluated in order to survey what kind of polymer improved the film life of chemically amplified resist(CAR) when it's used as a protecting layer. Hydrophilic polymers such as polyacrylic acid(PAA), polyethyleneglycol(PEG) and polyvinyl alcohol(PVA) were not good materials for this purpose, because they couldn't maintain resist property during their storage. Polyolefin(ZEP-AF) was the best polymer, though it caused slightly rough surface of resist patterns.
Improved baking of photomasks by a dynamically zone-controlled process approach
Peter Dress, Thomas M. Gairing, Werner Saule, et al.
A new type of bake system for photomasks, APB5000, has been developed, using a dynamic and multiple zone approach, to enable more precise Post Exposure Bake (PEB) and Post Coat Bake (PCB) of conventional and chemically amplified resists (CAR). The principal equipment concept and the optimization strategies are presented. The baking performance of the APB5000 is demonstrated for several surface temperatures between 90 degree(s)C and 150 degree(s)C. The temperature uniformity ranges achieved at the resist plane are better than 0.25 degree(s)C after stabilization at the final temperature and better than 1.5 degree(s)C during the ramping period. The repeatability of the bake temperature is better than +/- 0.07 degree(s)C for the setpoint temperature.
Mask Design Automation and T-CAD
icon_mobile_dropdown
Electron-beam lithography simulation for mask making: VI. Comparison of 10- and 50-kV GHOST proximity effect correction
GHOST uses two exposures, the primary dose and its complement, in an attempt to equalize the effects of backscattering and reduce proximity effects. Unfortunately, image contrast is reduced compared to exposures done without GHOST. A simplified raster scan theory is developed in order to examine the effects of backscattering and GHOST proximity correction on the quality of the images produced. Electron beam lithography simulation is used to examine the effect of spot size and voltage on the spot image generated in 400 nm of ZEP 7000 resist, and the effects of GHOST on proximity effects and process latitude.
Dry Etching Techniques for Mask Materials
icon_mobile_dropdown
Dry etching of Cr layer and its loading effect
Hyuk-Joo Kwon, D. S. Min, Pil-Jin Jang, et al.
The Cr etch rate is affected by Cr density to be etched at the photomask and the Cr loading effect has become main obstacles to overcome for the next generation photomask process. Different mask-to-mask and within-mask pattern densities have made difficult to control the final CD (critical dimension). We have tested loading effect using binary Cr mask with ZEP7000 (3000 angstroms) photoresist. The loading effect was evaluated for the masks fabricated at the same process condition with the different mask-to-mask Cr loading and different within-mask Cr loading. The CD variations of dark field and clear field were observed and the phenomenological approach was proposed for the loading effect by some simplified equations.
Improvement of NLD mask dry etching system
Tatsuya Fujisawa, Nobuyuki Yoshioka, Takaei Sasaki, et al.
An advanced photomask dry etching system (NLDE-9035) has been evaluated. The NLD plasma has an advantage to have a capability to control the plasma distribution and density. In our previous work, it has been confirmed to obtain excellent CD uniformity, CD linearity and good pattern fidelity. To improve the CD uniformity further, the neutral loop modulation etching technique has been evaluated. As a result, a further improvement of CD uniformity has been confirmed by using neutral loop (NL) diameter modulation etching technique.
Effect of clear field ratio on critical dimension in the dry etching process
Chul-Joong Lee, Hyun-Suk Bang, J. W. Choi, et al.
To improve the resolution and fidelity of the small size patterns as device nodes less than 0.18micrometers , mask makers is required to apply dry etch process. But with applying this process we would experience some problems which aren't happened on wet etching process like small-circled chrome defects, CD Mean to Target variation according to Clear Field Ratio and so on. Of all these barriers this paper is willing to handle with the desired CD Mean to Target control against Clear Field Ratio. In not only mask making but wafers the CD control is one of the most important factors to get good devices. With understanding of CD variation on Clean Field Ratio on each layers it can help for us to estimate macro loading effect and improve CD MTT by adjusting dose accurately or the desired develop recipes before dry etching application for high-end devices.
Development and characterization of a new plasma etching process for mask manufacturing
Frank Erber, Guenther G. Ruhl, C. Ebi, et al.
CD uniformity and CD mean to target specifications nowadays can only be accomplished by mask manufacturing process using chrome dry etch. Chrome plasma etch processes tend to show a strong dependency of the chrome etch rate and thus the etch bias on the clearfield percentage of a mask resulting in varying offtarget behavior. There are various possibilities to compensate for this loading effect. In previous work the methods of using exposure dose and development time for offtarget control were investigated. In this study we examined the capability of plasma etch parameters to be used for offtarget control. The effects of oxygen concentration, pressure and overetch percentage on etch bias and CD uniformity were experiment. Two different development processes were investigated. The resulting offtarget control model was then confirmed by running additional masks at three different clearfield percentages. Measurement results showed a high confidence level for the model predicted numbers. SEM images confirmed stable behavior of chromium sidewall angles.
Mask Design Automation and T-CAD
icon_mobile_dropdown
Pattern shape analysis tool for quantitative estimate of photomask and process
Isao Yonekura, Yuhichi Fukushima, Fuyuhiko Matsuo, et al.
We developed a pattern shape analysis tool (MaskEXPRESS) which can evaluate quantitatively photomask pattern and fabrication process by means of image processing arising from CD-SEM or UV microscope, or inspection machine. Although evaluation of complicated mask pattern has been performed qualitatively as yet, MaskEXPRESS makes it possible to evaluate it quantitatively. MaskEXPRESS can also be applied to quantitative evaluation of sensitivity of inspection machine, accuracy of EB writing, and optimization of photomask fabrication process. This paper describes the outline of MaskEXPRESS and its functions. We investigated about the precision criteria of MaskEXPRESS and found out the conditions of image processing for having accuracy equal to repeatability accuracy of measurement SEM. By changing experimentally mask fabrication conditions and analyzing the patterns, the following things became clear. Hole pattern's area increase with keeping analogous shape as etching time increases. Inner serif pattern tends to change in the direction of slant as writing dose increases. The rectangle fidelity of inner and outer serif pattern is improved according to the condition of resist process. We also present the relationship between defect size and aerial image on wafer simulated utilizing MaskEXPRESS.
Defect Printability and Dispositioning
icon_mobile_dropdown
Accuracy of transmittance measurement of inspection machine for semitransparent defect and its detectability
Jung-Bae Kim, I. B. Hur, Seong-Ho Jeong, et al.
As the wafer design rule is getting smaller, the size and transmittance of the defect on the reticle, especially for the semi-transparent defect, is one of the important factors to be controlled in mask shop. In order to minimize controversy for the accuracy of size and transmittance measurement, we need to define the detection and measurement ability of each inspection machine for the half-tone defect. In this work, we make semi-transparent defects with FIB repair tool, SEIKO, and treat this plate with NaOH. We first investigate the delectability of each inspection machine for the semi-transparent defects according to the size and transmittance increase and accuracy of size measuring tool supported in each inspection machine, KLA, lasertec and ORBOT. We verify the measurement result with CD SEM for the size and AIMS for the transmittance.
Photomask quality control by Virtual Stepper system for subwavelength photomasks
Linard Karklin, Stan Mazor
This work demonstrates the capability of the Virtual Stepper System software tool to evaluate sub-wavelength photo mask quality. A comparison of the results produced by the Virtual Stepper and conventional hardware (AIMS) inspection tools was made. At perfect focus the results are similar, but the results differ wen defocus is considered. Upon investigating this difference a hypothesis was made and then tested using a computer model which confirmed the presence of lens aberrations in the AIMS system.
Simulation-based defect printability analysis for 0.13-um technology
Cihan Tinaztepe, Ichiro Kagami
This paper describes quantitative evaluation result of a new printability analysis system Printability Analysis Stepper Simulator (PASS).
VUV and NGL Masks
icon_mobile_dropdown
Precise x-ray mask writing technology using advanced 100-kV EB writer EB-X3
Yoshinori Nakayama, Hiroshi Watanabe, Shinji Tsuboi, et al.
Key issues of x-ray mask fabrication are EB mask writer and writing process on thin membrane. This paper shows precise x-ray mask writing technology using 100-kV EB writer on x- ray membrane mask. After several improvements of writing process including non-deformation mask holding and precise temperature control, absolute image placement accuracy within 10nm was obtained for giga-bit level ULSI pattern. Also the delineation characteristics of membrane mask writing using high-energy electron-beam including proximity effect and fogging effect were evaluated. Then accurate critical dimension control within 8 nm was achieved for such high density ULSI patterns. These good results satisfied the mask precision requirements for 100-nm node generation and below. So we fabricated precise x-ray masks having fine patterns of sub 100-nm node device for evaluation of advanced x-ray stepper.
Fabrication of NIST-format x-ray masks with 4-Gb DRAM patterns
Yuusuke Tanaka, Kiyoshi Fujii, Kenichiro Suzuki, et al.
We fabricated NIST-format x-ray masks containing test patterns for the gate and contact-hole (C/H) levels of 4- Gbit dynamic random access memory (DRAM), and evaluated the image placement (IP) accuracy, critical dimension (CD) control, and other characteristics. Due to precise control of the stress in the TaBN absorber and CrN films and also the high stiffness of the 3micrometers -thick SiC membrane, the reproducibility of the process-induced distortion was better than 10nm. Using the high-precision EB-X3 electron beam (EB) mask writer, the best IP accuracy obtained for a finished gate-level mask was 15nm (3(sigma) ) and its CD uniformity was +/- 6nm in a 24-mm-sq field. The relative IP accuracy of the C/H-level mask with respect to the gate- level mask was 11 nm (3(sigma) ) after magnification correction. These masks are useful for exposure using a 10micrometers gap because the mask surface is convex and the out- of0plane distortion of the membrane is less than 1micrometers . The optical transmittance of the SiC membrane is large enough for the alignment system of the XRA x-ray stepper. The specifications of a 100-nm-node x-ray mask can be met by using the EB-X3 and a TaBN/CrN/SiC/Si/Pyrex x-ray mask structure.
Evaluation of aperture mask degradation in electron-beam lithography using line edge roughness of resist patterns
Line edge roughness (LER) of resist patterns in electron beam (EB) lithography was measured to evaluate aperture mask degradation. LER was quantified using a scanning electron microscope (SEM) and the influence of SEM resolution on measured LER value was investigated. Degrading the SEM resolution reduced the measured LER value of an identical line pattern because smaller ripple at pattern edge cannot be detected from inferior SEM image. Cross-sectional SEM observation revealed that 90-days EB irradiation deposited carbon-based contamination on the mask surface, which increased LER from 4.7 nm to 6.4 nm and aggravated the resolution limit from 74 nm to 81 nm. The increase ratio in LER of the left edge of a line pattern was larger than that of the right edge, indicating that the degradation of bottom aperture located at the lower part of an EB direct writer was severer. 95-days EB exposure caused no degradation of the aperture mask. It was concluded that the electrification of the contamination affecting aerial-image quality increased LER.
Performance of Cr mask for extreme-ultraviolet lithography
Hajime Nii, Hiroo Kinoshita, Takeo Watanabe, et al.
Recently, extreme ultraviolet lithography (EUVL) becomes to be a candidate for the next generation lithography (EUVL) becomes to be a candidate for the next generation lithographic technology form 70 nm down to 35 nm. In this technology, multilayer films coating on the thick glass substrate is used. We have proposed the Cr absorber mask for EUVL using wet process. Cr absorber has been generally used as photomask, and been also supposed to be a promising material as absorber for EUVL mask because of its both high extreme ultraviolet (EUV) contrast and excellent chemical durability. The mask absorber pattern typically including the patterns of 0.35micrometers width lines and spaces (L&S) has been completely fabricated without degradation of the multilayer. Furthermore, the fine patterns width of less than 0.15micrometers have been successfully fabricated in the entire mask area of the 4-inch-diameter wafer. The EUVL mask has been evaluated with the EUVL laboratory tools at the beamline BL3 at the NewSUBARU synchrotron radiation facility. Line and spaces pattern width of less than 0.1micrometers are clearly replicated and isolated lines pattern width of 40nm are also replicated. We confirmed that the mask absorber pattern fabrication process using a wet process is useful for EUVL mask.
EUV mask cleaning by dry and wet processes
Hajime Nii, Hiroo Kinoshita, Takeo Watanabe, et al.
In the present ULSI cleaning processes, a photoresist is usually stripped by a combination of dry ashing by ozone (O3) with wet process of the piranha cleaning. The effects of cleaning process of the mask for the extreme ultraviolet lithography (EUVL) are discussed in these dry and wet processes. In dry ashing by O3, the virtual EUVL mask adhered organic contamination is tested. After removing the contamination using a dry ashing by O3, the surface roughness of the mask is decreased from -.54 nm (rms) to 0.42 nm (rms). Also, the periodic structure of the m ask is confirmed. The dry ashing by O3 is effective for removing a contamination of EUVL mask. In wet process, the piranha cleaning and typical RCA wet cleaning are employed at Mo/Si multilayer. The periodic structure of Mo/Si multilayer did not change after Piranha and RCA cleaning except FH solution in dipping long time. We confirmed the Mo/Si multilayer have enough tolerance to the Piranha and RCA cleaning.
Thermal response of EUVL mask substrate during dry etching process
Akira Chiba, Eiichi Hoshino, Makoto Takahashi, et al.
In the fabrication of an EUVL mask, some of the highest temperatures probably occur during the dry etching step. The thermal response of an EUVL mask during dry etching was investigated through simulations. In order to estimate the power absorbed during dry etching, the temperature of a glass wafer was measured by observing the change in color of a heat-sensitive label pasted on it. The measured results were compared with simulation results obtained using a lumped heat capacity model. The absorption ration was found to be 0.25-0.35, and there was good agreement between the measured and simulation data. In order to calculate the time-wise change in temperature, an internal energy balance model based on a large number of small elements was developed. To handle mask deflection caused by the temperature gradient in the thickness direction, a first- approximation model based on spherical deformation and free boundary conditions was used. The temperature gradient in an EUVL mask that arises during dry etching was clarified. The amount of thermal deflection was found to be much smaller when masks are made on ULE of Si substrates than on quartz.
Simulation of EUVL mask defect printability
Manhyoung Ryoo, Masaaki Ito, Byoung Taek Lee, et al.
In this study, mask simulations were performed at the extreme ultraviolet wavelength of 13.5nm to determine criteria for the uniformity of the reflectivity of mask blanks and the printability of clear- and opaque-type absorber defects in order to obtain satisfactory lithographic performance. The simulations were performed on typical 70-nm line-and-space patterns for different flares and numerical apertures (NA) of the illumination. The simulation results for a numerical aperture of 0.1 and 18% flare showed that local variations in the reflectivity of multilayer mask blanks must be kept below 1% for practical lithography. It was also found that clear flank defects are even less printable than opaque ones. The results indicate that there is a large enough process margin for the repair of opaque flank defects, though the possibility still exists that the reflectivity of multilayer may drop during repair.
Defect Inspection and Repair Systems
icon_mobile_dropdown
LM5000 as a strong mask analyzing tool
Hisashi Shiba, Masayoshi Kimura, Y. Saito, et al.
The prompt progress of photomask pattern shrinking leads to the overlooking for significant defects. To improve the defect detection performance, patterns must be observed thoroughly at first. These observations are also important for a total diagnostic of the lithography process. Our developing reticle inspection machine LM5000 is very suitable for such analyses. With LM5000, users are able to point any positions on the reticle very easily, view the images, measure the lengths or widths of the patterns, and the intensity at each pixel. Users are also able to apply various image processing algorithms. To follow the growth of the semiconductor processes, inspection algorithms should be developed within very short terms. LM5000 adopts a special image processing core consisted of IMAP. It has strong processing power of a super computer class, and it is programmable even by a C-like language. Libraries for development include most of the standard image processing functions. Its flexibility helps us for reducing the development periods of the new algorithms. In this presentation, the functions of the user interface of LM5000 are displayed as a mask analyzing tool, and the effects of the IMAP processing is explained.
Advanced FIB mask repair technology for ArF lithography: III
Ryoji Hagiwara, Anto Yasaka, Osamu Takaoka, et al.
The design rule of the semiconductor devices is getting dramatically tighter as the progress of lithography technology. Photomask is a key factor to support the lithography technology. Defect repairing technology becomes more important than ever for keeping the photomasks' integrity in the manufacturing processes. When using conventional FIB, however, there are issues of transmission loss due to riverbed and gallium stain for opaque defect repairs as well as the problem raised by halo around repair areas for clear defect repairs. Because of these issues, it is necessary to develop the new FIB mask repairing system for 130nm node. We have been developing the new FIB mask repair system since 1998 and have been testing the repairing performance. The results were published at both PMJ2000 and BACUS2000. This time, we introduce the prototype system's outline, and report preliminary data of imaging damage and repair accuracy for the first time in public.
Advanced Mask Cleaning, Pellicle, and Process Technology
icon_mobile_dropdown
Mask process design optimization based on quality mapping using standard mask inspection equipment
Shen Chung Kuo, TaiSheng Tan, Anja Rosenbusch, et al.
With the advent of system-on-chip (SOC) devices, resolving typical problems of composite designs is getting more urgent. The continuous effort for achieving tighter critical dimension (CD) tolerances together with the known phenomena of pattern density loading makes the mask fidelity issue for SOC technology a unique and prominent issue. The typical characteristic of an SOC with respect to CD control is the diversity of linewidths and pattern density over the chip. This paper presents the metrology software called Linewidth Bias Monitor (LBM) as a method to characterize pattern-loading effects on an SOC.
Development of refined cleaning technique focusing on an ecological viewpoint
Koji Tange, Yoshikazu Nagamura, Kunihiro Hosono, et al.
In this paper, we focused on the refined cleaning process with minium use of chemicals. We developed a cleaning tools and process using high-concentration ozonic water generated by the high-efficiency ozonizing apparatus (OW00345, Mitsubishi Electric Corp. Industrial Systems), as chemicals substitution. To optimize a cleaning process, we have evaluated the removal and decomposition efficiency of organic compounds on the mask surface, the optical degradation of Cr and Siliside materials and so on.
Photmask Patterning
icon_mobile_dropdown
Improvement of photomask repeater for 130-nm lithography
Device masks for 180nm lithography was fabricated by PR system. These masks were verified by device yields comparing with masks written by other conventional systems. There were no differences in device yields between PR system and conventional system. Fine analysis of CD error was carried out for enhancement of CD uniformity to apply Photomask Repeater to 130nm lithography. It revealed that major CD error function is global CD error. By optimizing exposure dose of each shot to compensate global distribution, global CD error was reduced from 7.9nm to 5.5nm. Finally, CD uniformity of 8nm was achieved. PR system can afford to fulfill the requirement of CD uniformity for 130nm lithography. Simultaneously, the result of fine analysis indicates excellence of PR system in littleness of random error.
Mask Design Automation and T-CAD
icon_mobile_dropdown
Laser proximity correction for advanced mask manufacturing
Michael Chang, A. Yu, J. Chen, et al.
In this paper, we present a fully automatic mask laser proximity effect (LPE) correction in compliance with existing mask data preparation (MDP) flow to enable ALTA3000 0.18micrometers mask production capability that is at least one generation beyond its current application. The production- proven OPC methodology in wafer houses for many years has been integrated with CATS, the de facto standard product for preparing IC data for mask manufacturing, to correct mask process distortion. Excellent LPE correction results have been obtained repeatedly on 0.18micrometers test and production maks on an ALTA3000 that was originally designed for 0.35micrometers production.
Metrology
icon_mobile_dropdown
CD measurement for next-generation mask
Since higher Critical Dimension (CD) accuracy on mask is required, there is a need to optimize CD definition for lithography. The conventional CD definition is based on the cross-sectional profile of mask pattern, but the cross-sectional profile does not reflect aerial image on wafer. Therefore, CD definition based on aerial image on wafer is preferable to the cross-sectional profile. We formulated a CD definition that reflects aerial image on wafer. In our definition, CD is called CDad. There are two types of CD measurement equipment: top view type such as CD-SEM, and transmitted light type such as deep-UV microscope. By simulation and experiment, we evaluated CD of top view and CD of deep-UV microscope to obtain CDad. The results show that CDad can be obtained with deep-UV microscope, but not to top view. Deep-UV microscope is available for CD measurement of 0.11 and 0.13 um generation masks.
New optical metrology for masks: range and accuracy rivals SEM
Rand Cottle, Peter Fiekowsky, C. C. Hung, et al.
Metrology is essential to success in all manufacturing processes. In microlithography metrology techniques have begun to shift from optical to SEM. In this paper we compare the capabilities of the new Flux-Area optical technique and of SEM techniques. SEM measurement has been increasing in mask shops because of the higher resolution it provides, despite disadvantages including damage to masks, charging effects, and inability to operate with pellicles. Optical measurements of photomasks are preferred because they are performed with light, in transmission. The success of virtual stepper software, which uses optical images in simulating stepper output, has demonstrated that optical images contain sufficient information to predict the results of even subwavelength lithography. Flux-Area measurement allows optical instruments to accurately measure features as small as (lambda) /6, or 0.08 (mu) using visible light (Fiekowsky and Selassie, 1999). It also allows the measurement of Optical Dimensions. This is the width of a line defined by the flux of light it transmits to the objective lens. In this study Flux-Area measurements of linewidths and contact are compared to SEM measurements and DUV AIMS microscope images. Results show that Flux-Area measurements are linear down to the smallest lines and holes tested, 0.23 (mu) and 0.4(mu) respectively. Thus the Flux-Area technique provides a practical alternative to SEM for metrology on current and future generation photomasks.
VUV and NGL Masks
icon_mobile_dropdown
Up-to-date activities of PXL (proximity x-ray lithography)
Yasuji Matsui, Takao Taguchi, Yoshinori Nakayama, et al.
PXL technologies in Japan have highly improved during the period of ASET program. A newly developed EB writer and the writing processes achieved accuracy of image-placement <10nm and CD uniformity <7nm(3(sigma) ). Together with the improvement of absorber materials, X-ray masks required for the 100nm technical node were fabricated and those for 70nm node are within achievable levels. The alignment accuracy about 20nm and the use of magnification correction have verified the overlay accuracy <30nm, which is sufficient to 100nm node. BY improving the alignment accuracy, the exposure of 70nm node may be possible by using the present stepper. Furthermore, the next generation system with shorter wavelength may open the door for 50nm node and below.
EUVL masks: paving the path for commercialization
Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No technology can be implemented without establishing the commercial infrastructure. The rising cost seems to be a major issue affecting the technology development. With respect to mask fabrication for commercial availability, a virtual mask shop analysis is presented that indicates that the process cost for EUVL masks are comparable to the high end optical mask with a reasonable yield. However, the cost for setting up a new mask facility is considerably high.
Stencil masks for electron-beam projection lithography
Kenji Kurihara, H. Iriguchi, A. Motoyoshi, et al.
Stencil masks for electron-beam projection lithography (EPL), in particular, for low-energy electron beam proximity projection lithography (LEEPL), have been developed using diamond membrane. The diamond membrane gives the masks high rigidity and high thermal conductivity, features which are very effective for obtaining high patterning accuracy. The mask blank is a 4-inch silicon wafer deposited with a diamond film with a thickness of less than 1micrometers . An electron beam mask writer with a 100-kV variable shaped beam is used to form absorber patterns on a hard mask for diamond etching. The diamond is etched by oxygen reactive ion etching to obtain vertical sidewalls. We have successfully fabricated a LEEPL stencil mask with a membrane size of 30 mm x 30 mm, which is larger than a conventional EPL mask. The etched sidewall is very smooth, and we demonstrate 50 to 70-nm line-and-space patterns.
Optical inspection of EUV and SCALPEL reticles
Next Generation Lithography (NGL) reticle inspection poses some difficult problems. The masks dictate that reflection images, rather than the more usual transmission images, be used for inspection. The smaller linewidths and feature sizes of NGL will require the optical inspection images to have better resolution than has been needed for conventional masks. In this paper we present inspection images and inspection results for EUV and EPL programmed defect test reticles using both UV and DUV reticle inspection systems. Our emphasis has been on providing feedback to the mask manufacturing process to help optimize the inspectability of NGL masks, as well as determining whether the required sensitivity for the 100 nm and 70 nm nodes can be met with optical inspection. Simulated and actual images of NGL masks have proven useful in identifying the important factors in optimizing image contrast. We have found that image contrast varies markedly with inspection wavelength, and that the inspection wavelength must be considered in the design of NGL masks if optimum defect sensitivity is to be obtained. This research was sponsored in part by NIST-ATP and KLA-Tencor Cooperative Agreement #70NANB8H44024.
Photomask Processes and Materials
icon_mobile_dropdown
Mask blanks warpage at 130-nm node
Nobuyoshi Deguchi, Kazunori Iwamoto, Izumi Tsukamoto, et al.
Semiconductor device technology is now making transition from 150 to 130 nm node. Lithography tools for 130 node that employ KrF and ArF as light sources have finished being developed. Also, mask drawing and inspection tools are ready. However, for actual processes, there is an issue to be solved from realistic DOF or overlay accuracy acquisition point of view.
Dry Etching Techniques for Mask Materials
icon_mobile_dropdown
CF4/O2 plasma simulation and comparison with quartz etch experiment
Han-Ming Wu, Long He, Jeff N. Farnsworth, et al.
In order to study the behavior of fluorine based CF4/O2 plasma in an inductively coupled plasma (ICP) reactor, 2-D axisymmetric simulations are carried out by using Plasmator. The modeling results have provided the spatial distributions of some important plasma and neutral species in the dry etch process. It is found that the prevailing species of the plasma is CF3+ ion. The negative ion density has been proved not significant in the current process. To find the effects of mass flow rate and ICP power, three examples have been calculated. Based on the assumption of that the etch rate is proportional to the ion flux, the comparison between the simulation results and experimental data has been conducted. The results of the trend agree reasonably well. The model paves a way to find the direction of design optimization for plasma etch process experiments.
Defect Inspection and Repair Systems
icon_mobile_dropdown
Multibeam high-resolution UV wavelength reticle inspection
Chih-Chien Hung, Chue-San Yoo, Chin-Hsiang Lin, et al.
A new reticle inspection system with three parallel scanning laser beams for UV imaging for both contamination and pattern inspection has been developed to detect defects on advanced reticles for DUV steppers and low k1 lithography for .13 mm and extensions to .10 mm design rules. The development of the new three beam architecture at UV wavelength has significantly increased system throughput while improving the resolution of the imaging optics for inspecting advanced reticles including Attenuating, Tri-Tone, and Alternating PSM's and reticles with aggressive OPC. The system is capable of running multiple inspection algorithms simultaneously in transmitted and reflected light to achieve concurrent pattern and STARlightTM inspection, thus improving both sensitivity and inspection thoroughness with a single inspection. These improvements enable fast inspections of reticles for 4X lithography design rules at 0.18 mm, 0.15 mm and 0.13 mm. Initial simulations were performed to optimize performance of optical components and a new defect detection algorithm. The simulations identified that with the optics changes to achieve three beam scans and with new algorithms, the inspection was more sensitive to all defect types including on edge contamination defects, which can be particularly difficult to detect. Using both PSL and programmed defect test masks and real production reticles, initial observations of the nature and the frequency of defects detected with this 100nm sensitivity instrument will be presented. With more defects to review, the system software provides concurrent or remote defect review so time to disposition defects does not effect system inspection capacity. With smaller defects to review the quality of defect review images has a direct impact on the effectiveness and ease-of-use of reticle inspections systems. The smaller review pixel with the system combined with a suite of review imaging tools, yields high quality images for defect dispositioning.
Phase defect inspection by differential interference
Kiyoshi Ogawa, J. Kodama, K. Machida, et al.
Photomask pattern inspection using transmitted light and/or reflected light is commonly used for Die to Die or Die to Database comparison method. We have applied the differential interference contrast method to phase defect inspection for alternating phase shifting mask (Alt-PSM). The key parameters for optics are resident phase in an interferometer, shearing direction and distance between two spots, which are determined by Nomarski prism design. Firstly, we studied defect image contrast by simulation. Chrome edge defects are more detectable than isolated center defects from the simulation result. Next, we configured a reflective type, differential interference optics using an Ar ion laser as a light source. A test mask having 70-degree phase defects on 520, 600, and 720 nm CD are inspected. Edge defects down to 520nm CD were detectable compared with conventional reflective method.
Laser mask repair system LM700A
Atsushi Ueda, Yoichi Yoshino, Yukio Morishige, et al.
High throughput laser mask repair system satisfying the accuracy demanded for 0.5micrometers pattern rule reticles has been newly developed. The named Laser Mask Repair LM700A has the following versatile features: *Opaque defect repair capability of 0.5micrometers L&S patterns with high repair accuracy of 45nm (3(sigma) ) *Low Quartz damage and high transmission at the repair site, especially for MoSi KrF-HT mask, and applicable to MoSi ArF-HT mask, by newly developed pico-second solid state UV pulse laser *0.1micrometers defect detectability by high resolution UV Optics *Through pellicle repair capability by newly developed long working distance UV objective lens *Auto-edge positioning function for various patterns By utilizing a pico-second UV pulse laser, very high quality laser zapping can be obtained. This paper presents the configuration and the evaluated results for mask repair performance in MoSi KrF-HT and ArF-HT masks.
Focused ion beam repair for quartz bump defect of alternating phase-shift masks
Ichiro Kagami, Daichi Kakuta, Tooru Komizo, et al.
An alternating phase shift mask (Alt-PSM) technique is one of the candidates to extend the KrF excimer laser lithography generation. One of the issues for practical fabrication of Alt-PSMs is a repair of quartz bump defects. A conventional focused-ion beam (FIB) repair technique has been investigated for quartz bump defect etching using (beta) -gas which has been introduced as a gas assist etching (GAE) gas for MoSi based att-PSMs. Tow kinds of software developed by Seiko Instruments are newly introduced to etch precisely quartz bump defects. We have prepared dual trench type alt-PSMs, which have programmed defects with various heights and sizes. It is confirmed that the FIB repair tool can image a quartz defect of 50nm height and 0.1micrometers size. We have optimized the parameters for quartz etching rate for each defect type. Transmittance and printability of repaired points are confirmed with AIMS. This paper describes the feasibility of FIB repair of alt-PSMs in terms of quartz etching rate repeatabiliity, and printability of repaired points.
Utilization of assisting features in contact-hole mask repair
Chia-Yang Chang, Chung-Hsing Chang, Chuan-Yuan Lin, et al.
The present study aims to evaluate the utilization of assisting features in the contact-hole mask repair. Several different types of assisting features were considered, including positive serifs, constant bias, assisting bars, and assisting dimples. In brief, a test mask was fabricated to render various extent of quartz damage using a focused- ion beam mask repair tool, followed by adding assisting features. The repaired contact holes were examined first by AIMS 193 (193 nm aerial image measurement system) for their optical transmission, critical dimension (CD), and exposure- defocus window (ED). Figure 1 and 2 illustrate some preliminary results acquired from AIMS 193. As revealed from Figure 2, the center of the exposure-defocus window is shifted by variant extend with adding different types of assisting features. Wafer printed results would be used to further verify AIMS 193 observation. Optical simulation results of these repaired holes with assistant features will also be presented using Solid C simulation tool.
Advanced Mask Cleaning, Pellicle, and Process Technology
icon_mobile_dropdown
Reticle cleaning process for 130-nm lithography and beyond
Hitoshi Handa, Masumi Takahashi, Hisatsugu Shirai
Three cleaning methods were examined to check their strong points. Cleaning results were analyzed from the aspects on removal of particles and chemicals. Starlight inspection results showed that conventional wet cleaning based on chemicals, such as H2SO4 and NH4OH, could remain small particles on chrome-oxide (CrOX). DUV irradiation could assist this traditional SC-1 (mixture of NH4OH and H2O2 and HH(subscript 2O) cleaning in removing these sticking particles. Electrolyzed water, contained anode and cathode water, showed same tendency as SC-1 treatment, which could easily attract particles to CrOX surface. Mechanism of particle removal and attraction was considered from the aspect on electrostatic reaction between particles and photomask surface. ArF ((lambda) =193nm) lithography could cloud quartz surface with crystallized substances. Analytical results implied that they had been generated by optical-chemical reaction between ArF light and chemical residue after cleaning. Experimental results showed that DUV treatment before cleaning was effective to prevent reticle surface from chemical contamination. From the above knowledge, suggestion about reticle cleaning process for ArF lithography is described as a conclusion.
Longevity of 193-nm/ArF excimer pellicle
Takashi Kozeki, Shigeto Shigematsu, Masahiro Kondo, et al.
Influences of Environment for Pellicle: We irradiated ArF laser in the fluorine polymer membrane set into the atmosphere that mixed artificial air and organic vapor. We obtained the following finding: 1) Organic compounds in the environment had a harmful influence to pellicle. 2) the longevity of the pellicle depended on the kind of the organic compounds. 3) P-Xylene had as 300 times as harmful influence of IPA to pellicle. Influences of Mask Cases for quartz substrate: We set the quartz substrate into the various Mask cases and heated it for 40 degree(s)C, 3 days. After that we checked the change of the transmission rate, the sulfuric acid ion concentration, and ArF laser dosed area. We obtained the following findings: 1)All the Mask Case we investigated had harmful influence to quartz substrate *Transmission reduction of substrate *Haze generation by ArF laser exposure 2) Haze substance was ammonium sulfate or organic compound. By using heat treated cases, the above faultiness was reduced.
Resolution Enhancement Technology in Optical Lithography
icon_mobile_dropdown
Simulation of image quality issues at low k1 for 100-nm lithography
Mask quality issues in pushing lithography to features below 0.5(lambda) /NA are identified and quantified through simulation of mask interactions and images. Guidelines summarize the results from detailed studies of aberrations, phase-shift mask image imbalance, 3D phase defects and EUV buried defects. Programmed-probe based aberration targets are extended to distinguish both even and odd lens aberrations and their mask tolerance requirements are assessed. Complex diffraction coefficients and results for cross-talk simulation are used to set guidelines for phase-shifting mask design. An antireflection coating (50 nm MoO3) is shown to reduce cross-talk between trenches. Type, location and size data are given for 3D phase-defects and the end regions of lines are shown to be more vulnerable to CD variation. Results for buried 3D Gaussian defects in EUV multilayers show a worst isolated defect size of half of the resolution and that 2nm high defects of any size can be tolerated.
Impact of ArF attenuated PSM using multishifter layer (TiN/Si3N4) for next-generation lithography
Kyung-Han Nam, Lee-Ju Kim, Hyoung-Sup Jeong, et al.
ArF lithography that is expected the candidate for next generation optical lithography and attenuated Phase Shift Mask (att-PSM) will be adapted for 0.12micrometers design-rule and beyond. For the next-generation lithography, the most important requirement for mask process is enough resolution and good pattern fidelity to generate various critical patterns, of which sizes are below 0.5micrometers main pattern including OPC patterns. In this paper we describe in terms of blank mask properties, mask making process and wafer performance of ArF attenuated Phase Shift Mask (att-OSM) using TiN/Si3N4(abbreviated as TiN/SiN) multi-layer for Next Generation Lithography (NGL). In view point of material, we have evaluated for the applicability of TiN/SiN multi-layer to ArF lithography as compared with non- stoichiometric MoSiON-based single-layer structure. In mask making process, we used Chemically Amplified Resist (CAR) process characteristics and Dry etching system for improvement of enough resolution and pattern fidelity. Also we have investigated wafer performance for ArF att-PSM in terms of process windows as compared with BIM (Binary Intensity Mask) in 120nm D/R real cell pattern and 100nm L/S(Line and Space)D/R pattern, respectively.
New optimization method of exposure with alternative phase-shifting masks
A new method to optimize the structure of an alternative phase shifting mask (alt-PSM) with dual trench type has been developed form the viewpoint of pattern placement error as well as conventional factors of light intensity contrast and exposure-defocus window (ED-window). By using this, the pattern placement error caused by either the phase error or defocus has been reduced. By the simulation, the optimum structure for reducing the pattern placement error is with a phase difference of about 170 degree(s) between phase shifted and non-shifted area. The pattern placement error for 0.13 micrometers lines and spaces can be reduced to less than 2.5 nm from -0.3 to 0.3 micrometers defocus by this optimum. A high contrast and a large ED-window can be obtained as well. However, high accuracy of mask fabrication technique is required. In the experiment, we have evaluated line and space patterns for a 0.13 micrometers node logic gate design rule. It showed less than 7.5 nm errors in the required DOF for the mask with the optimized mask structure.
Advanced Mask Cleaning, Pellicle, and Process Technology
icon_mobile_dropdown
Manufacturing and inspection of assist-bar-type OPC mask
Be accompanied with gate length will tend to be smaller in LSI manufacturing, assist bar type OPC masks are vigorously investigated to take into mass production. In this research, we examined problems about manufacturing and guarantee of assist bar type OPC masks. We applied 50KeV-accelerated Vector-type E-beam system to mask manufacturing that is going to be a major equipment from now on. Firstly, we remarked the CD error that occurred in mask manufacturing, and the error was valuated. Secondly, we estimated the influence of mask accuracy to false defect occurrence. Lastly, we made the masks for defect check. The defects of the masks were measured using SEM, and wafer printability of the defects was checked by simulation. And we estimated the sensitivity of inspection. As results, it is proved to be possible that manufacturing and inspecting the assist bar type OPC masks in 100 nm node.
VUV and NGL Masks
icon_mobile_dropdown
157-nm photomask handling and infrastructure: requirements and feasibility
Jerry Cullins, Edward G. Muzio
Photomask handling is significantly more challenging for 157nm lithography than for any previous generation of optical lithography. First, pellicle materials are not currently available which meet all the requirements for 157nm lithography. Polymeric materials used at 193nm higher wavelengths are not transmissive at 157nm, while modified fused silica materials have adequate transmission and durability but have mechanical issues that need to be resolved. Second, the problem of molecular level contamination on the reticle must be solved. This contamination is due to the presence of oxygen, carbon dioxide, water, and other attenuators of 157nm radiation on the mask surface. It must be removed using something other than the lithography laser due to throughput and cost of ownership considerations. Third, there is the issue of removing attenuators from under the pellicle after a material becomes available. Both the ambient atmosphere and other introduced contaminants must be removed from the space between the reticle and pellicle after cleaning but before exposure. Forth are the potential issues for storage of reticles both during transportation from the mask shop and after it is in the wafer fab. Finally, the problems associated with operating in an optically inert dry environment must be addressed. The lack of moisture in the environment removes one of the key electrical discharge paths off of the reticle, which greatly increases the risk of electro-static damage to the pattern (ESD).
Photmask Patterning
icon_mobile_dropdown
High-productivity mask writer with broad operating range
Ki-Ho Baik, Varoujan Chakarian, Bob Dean, et al.
Photomask complexity increases rapidly as semiconductor devices continue to shrink and as optical proximity correction becomes commonplace. This trend stresses the performance of mask pattern generators due to the increase in both primary and subresolution features. However, the next-generation MEBES raster scan architecture is well-suited to the challenge of maintaining throughput regardless of increases in pattern complexity. In addition, this new system provides an operating envelope that is sufficiently broad to expose all practical resist materials with a fixed number of writing passes. Write time is independent of material sensitivity, which has the benefits of allowing high-dose processes to be optimized, and also of supporting a wide selection of chemically amplified resist candidates for critical mask patterning. The new system shows the promise of being extendible to the 70 nm technology generation.
Sigma7100: a new architecture for laser pattern generators for 130 nm and beyond
Torbjoern Sandstrom, Timothy I. Fillion, Ulric B. Ljungblad, et al.
Sigma7100 is a revolutionary new architecture for Laser Pattern Generators being developed by Micronic Laser Systems. The Sigma7100 system design uses a unique architecture based on a spatial light modulator (SLM), a MEMS consisting of a 1 million pixel micro-mirror array fabricated onto a CMOS substrate. The SLM functions as a dynamic mask which is illuminated by a 1kHz DUV excimer laser. A new pattern is calculated and downloaded into the SLM for each laser pulse, and the resultant SLM image is then projected on to the mask substrate. This paper describes the Sigma7100 architecture, presents recent results, and presents a look into the path toward extending the SLM technology to the 70nm node and beyond.
Writing accuracy of EBM-3500 electron-beam mask writing system
Kenji Ohtoshi, Hitoshi Sunaoshi, Jun Takamatsu, et al.
A high accuracy electron beam writing system EBM-3500 has been developed for 130 nm node lithography technology. The EBM-3500 is based on its predecessor EBM-3000 system and incorporates new features to improve writing accuracies. Based on the extensive error analyses of the EBM-3000, several important improvements in such areas as ground noise and stray magnetic field reductions, among others, have been made. Thanks to these improvements, EBM-3500 achieves high accuracies to satisfy the present and future technology requirements.
Performance of improved e-beam lithography system JBX-9000MVII
Tadashi Komagata, Yasutoshi Nakagawa, Nobuo Gotoh, et al.
An electron beam mask writing system JBX-9000MV for 150- 180nm technology node masks was developed by JEOL Ltd. and its design concept, technologies introduced and results of initial evaluation were reported in 1998. We have improved this system to cope with the production of masks for 130nm technology node. Some of the new technologies developed for the improvement of writing accuracy, especially CD accuracy, and the results are reported in this paper.
Advanced e-beam reticle writing system for next-generation reticle fabrication
Akira Fujii, Kazui Mizuno, Tetsuji Nakahara, et al.
A new advanced e-beam reticle writing system HL-950M has been developed to meet requirements for the production of 130 nm node reticles as well as development of 100 nm node reticles. In order to improve the critical dimension (CD) accuracy and pattern positioning accuracy, several new technologies have been introduced on the basis of the field-proven technologies of the previous system HL-900M. Fine address size is realized by a newly developed control electronics2 that enables the system to handle address unit of 2.5 nm, providing four times higher resolution than HL-900M. Reconstruction of sub-sub-field (SSF) pattern data has been developed so that the same pattern is exposed twice with reconstructed SSF pattern data sets with different SSF boundaries, realizing better stitching and positioning accuracy. High accuracy proximity effect correction has been developed with a new second order proximity effect calculation scheme, providing better CD uniformity particularly against drastic change of the pattern density. As main results of the system evaluation, the global CD accuracy of 9 nm (3(sigma) ) and the global pattern positioning accuracy of 15 nm (3(sigma) ) have been obtained. The overall performance of the HL-950M system has satisfied the specifications required for the 130 nm node reticle production and 100nm node reticle development.
Resolution Enhancement Technology in Optical Lithography
icon_mobile_dropdown
(Sub-) 100-nm gate patterning using 248-nm alternating PSM
Geert Vandenberghe, Patrick Jaenen, Rik M. Jonckheere, et al.
For printing (sub-)100nm features with 248nm lithography strong optical enhancement techniques are required. In this paper we studied the use of alternating phase-shifting masks as one of the options to enhance the resolution, process windows and CD-control of these small features. Before converting the binary design into a phase-shift design, a detailed study is done towards the optimum process conditions. Illumination conditions and reticle chrome dimensions are varied in order to find the largest individual process window for the 100nm isolated lines. Mentor Graphics' Calibre RET tools suite is used for generating the phase-shifted regions based on the originally designed poly and active layers. For this study we focused on the dark-field double exposure approach. In this way the initial 0.15micrometers design was split up in a dark-field phase-shifting mask layout and a binary trim mask layout. On the phase-shifting mask the initial gate CDs were made 20nm (1X) smaller: 150nm lines become 130nm on the reticle. An extra 30nm shrink is applied by overexposing the phase-shifting mask. On the trim mask, the original design was not sized and contains only features that are 150nm or larger. We successfully patterned (sub-) 100nm poly gates using this technique and this with a very tight CD-control intra-field and across wafer. In this paper we will discuss the lithographic process optimization, phase-shift conversion and the final gate patterning in more detail.
Mask Technology and Semiconductor Devices
icon_mobile_dropdown
New mask technology challenges
Mask technology development has accelerated dramatically in recent years from the glacial pace of the last three decades to the rapid and sometimes simultaneous introductions of new wavelengths and mask-based resolution enhancement techniques. The nature of the semiconductor business has also become one driven by time-to-market as an overwhelming factor in capturing market share and profit. These are among the factors that have created enormous stress on the mask industry to produce masks with enhanced capabilities, such as phase-shifting attenuators, sub-resolution assist bars, and optical proximity correction (OPC) features, while maintaining or reducing cost and cycle time. The mask can no longer be considered a commodity item that is purchased form the lowest-cost supplier. Instead, it must now be promoted as an integral part of the technical and business case for a total lithographic solution. Improving partnership between designer, mask-maker, and wafer lithographer will be the harbinger of success in finding a profitable balance of capability, cost, and cycle time. Likewise for equipment infrastructure development, stronger partnership on the international level is necessary to control development cost and mitigate schedule and technical risks.
Metrology
icon_mobile_dropdown
Atomic force metrology and 3D modeling of microtrenching in etched photomask features
Bradley Todd, Kirk Miller, Thomas V. Pistor
We present a study of the micro-trenching caused by different quartz etch processes during the fabrication of phase-shift photomasks. Six different photomasks with quartz etches 320nm - 720nm deep were measured non-destructively by Atomic Force Microscopy (AFM) to explore the details of micro-trenching that occurs at the base of vertical walls. Results of etch profiles obtained using AFM metrology are correlated with electromigration (EM) modeling of alternating aperture phase shift masks for use with KrF 4X reduction steppers. The metrology and EM modeling results clearly differentiate each of the generic etch processes and predict the process window changes resulting from modeled micro-trenching relative to an ideal etch case. In particular, the ICP + wet etches show 50 percent less micro-trenching relative to three of the four RIE-only etch processes. Comparisons of micro-trenching lengths measured during these experiments with the results of trenching bias across different etched space widths as reported by McCallum, et al. suggest that micro-trenching is a universal phenomenon occurring during quartz etches. We propose that micro-trenching more correctly defines the geometries responsible for trenching bias. Finally, to show the effect of local geometry to the extent which trenching occurs, we study the depth detail in the vicinity of an unusually shaped phase bump defect. Trenching is more than 50 percent greater at the base of concave or acute defects as compared to convex defects. Local trenching may make repair more difficult for phase bump defects near or touching a vertical trench wall.
Mask Design Automation and T-CAD
icon_mobile_dropdown
RuMBa: a rule-model OPC for low MEEF 130-nm KrF lithography
Stephen Hsu, Xuelong Shi, Chungwei Michael Hsu, et al.
For cost effective 130nm node manufacturing, it is prefer to use KrF binary chrome mask. To realize a production worth process for making random logic device, we need to effectively control mask error enhancement factor (MEEF) through pitch. In low k1 lithography, process parameters such as focus, lens aberration, linewidth, and line pitch, style of proximity correction (OPC), and resist process conditions, etc., all impact MEEF. We show a powerful RuMBa OPC method that can reduce MEEF to an acceptable level (close to 1(using KrF resist process. We believe that RuMBa OPC method can be further extended for sub 100nm ArF process. In wafer printing experiment, we have designed a new style of LineSweeper reticles for our lithography process optimization. Both simulated and printed wafer CD data were used to calculate the overlapped process window along with respective MEEF. These are the metric we used to assess the 130nm process performance. Using RuMBa OPC, we are able to achieve overlapped process window that is sufficient for 130nm gate mask process. The CD through pitch calibration is critical for an accurate model-based correct at location where OPC rule cannot cover. A high accuracy CD through pitch calibration methodology is developed for model calibration. In this paper, we have compared the 130nm performance using KrF binary mask, KrF 6% attenuated PSM, and ArF binary mask.