Proceedings Volume 4345

Advances in Resist Technology and Processing XVIII

cover
Proceedings Volume 4345

Advances in Resist Technology and Processing XVIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 24 August 2001
Contents: 12 Sessions, 112 Papers, 0 Presentations
Conference: 26th Annual International Symposium on Microlithography 2001
Volume Number: 4345

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Fundamental Studies I
  • Fundamental Studies II
  • ArF Materials I
  • ArF Materials II
  • Poster Session
  • Processing and Examination I
  • Processing and Examination II
  • Poster Session
  • KrF Processing and Materials
  • VUV Section I
  • Poster Session
  • VUV Section I
  • VUV Section II
  • VUV Section III
  • Poster Session
  • Understanding Molecular Contamination in Lithography: Joint Session
  • VUV Section I
  • ArF Materials II
  • Processing and Examination II
Fundamental Studies I
icon_mobile_dropdown
Experimental approaches for assessing interfacial behavior of polymer films during dissolution in aqueous base
William D. Hinsberg, Seok-Won Lee, Hiroshi Ito, et al.
We describe here experiments aimed at probing the interfacial behavior of thin polymer films during dissolution. We have constructed and characterized a unique quartz-crystal microbalance dissolution rate monitor that allows changes in both oscillation frequency and resistance to be accurately recorded at high data rates during film dissolution. Depending on polymer structure and properties, the resistance to be accurately recorded at high data rates during film dissolution. Depending on polymer structure and properties, the resistance can remain nearly constant, or can undergo enormous fluctuations that are indicative of transient changes in mechanical properties of the polymer layer during the dissolution process. A theoretical description allows the measured resistance to be related to the structure and properties of the dissolving film. This QCM apparatus has been coupled with a custom high-speed visible reflectance spectrometer, and with an infrared spectrometer, to provide information on the structure of the dissolving film and its chemical composition. The dissolution and swelling of well-defined acrylic and alicyclic copolymers in aqueous base has been examined using these techniques.
Mechanistic understanding of line-end shortening
In recent years the line end shortening (LES) phenomena, known also as line end pullback, has emerged as a significant issue in microlithography. The causes of LES include aerial image formation, simple pattern geometry considerations, and diffusion effects. This paper presents an overview of the main causes of LES with particular attention to contributions due to exposure gradients at the feature edge. The effect of exposure gradients on acid diffusion is described and results of experiments designed to study gradient effects are presented along with simulation of diffusion contributions to LES. Simulation and experiment suggest that transport-related resist bias mechanisms affect LES in complex ways. In addition to diffusion simulations, aerial image calculations are presented as simple demonstration of the contribution of the imaging system to LES.
Image collapse issues in photoresist
John P. Simons, Dario L. Goldfarb, Marie Angelopoulos, et al.
The continued shrinkage of line widths below 150nm has not been without concerns of the mechanical stability of the resist structure. The development of the exposed resist undergoes many phase changes such as solid solubilization into a liquid followed by drying by volatilization. In the development of features especially with aspect ratio (AR) >3 and for lines/spaces (l/s)<1:2, the phase changes create interfacial tensions at the liquid/solid and gas/liquid/solid surfaces that can cause image collapse (IC). Aqueous based resist are particularly susceptible to collapse due to the high surface tension of the rinse water (72 mN/m). Two major steps to reduce or eliminate the IC are to strengthen the mechanical stability of the resist or to reduce the surface tension of the drying/rinse liquid. We have investigated various methods to reduce the surface tension of the water rinse either by lowering the surface tension of the rinse liquid or by removal of the rinse water prior to drying. Positive ArF and KrF resist and negative resist have been investigated. To reduce the surface tension of rinse liquid, the addition of surfactant followed by drying is a direct method which may be used. Indirectly, the prior removal of water by solvents or emulsification with inert components followed by drying in the supercritical fluid of CO2 can maintain high aspect ratio images at AR>3. Negative resist are more applicable to process as they can tolerate a wider variety of co- solvents of CO2 phillic surfactants.
Fundamental Studies II
icon_mobile_dropdown
Optimum tone for various feature types: positive versus negative
The continuing drive to reduce feature size is forcing resist processes to be tailored to specific levels, e.g. contact holes or isolated lines. Resist contrast, absorption, diffusion length and development characteristics are among the customized variables. For the most part, resist tone has not been among these variables, and the bulk of advanced lithography is done with positive tone resist processes. This paper will explore the optimum process tone for various feature types, and will include simple theoretical guidelines to help with this decision. Narrow resist lines are found to print best with a positive tone process while narrow trench geometries are found to print best with a negative tone process. Simple development bias models appear to accurately capture this behavior and are in agreement with full simulation.
Understanding nonlinear dissolution rates in photoresists
Sean D. Burns, Allen B. Gardiner, Val J. Krukonis, et al.
This work focuses on understanding the dissolution phenomenon of surface inhibition, which is observed often in the development of novolac based resists. Many theories have been offered to explain this phenomenon, including a concentration gradient of resist components, oxidation of the surface, formation of a gel layer, and surface roughness effects. This work focuses on theories that propose a concentration gradient in resist components. A technique has been established to separate and analyze individual layers of thin films, and the concentration gradient in many resist components (residual solvent, low molecular weight chains, photoactive compound, density) has been compared to the observed dissolution rate. The results indicate that no significant concentration gradients exist in a 1mm novolac film, and that these hypotheses are inadequate to explain surface inhibition. Several other theories are explored, including oxidation of the surface, surface roughness effects, etc. The critical ionization dissolution model may offer an explanation for why surface inhibition is observed in novolac, but typically not in poly(p-hydroxystyrene).
ArF Materials I
icon_mobile_dropdown
IBM 193-nm bilayer resist: materials, lithographic performance, and optimization
Ranee W. Kwong, Pushkara Rao Varanasi, Margaret C. Lawson, et al.
193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.
ArF negative resist system using androsterone structure with delta-hydroxy acid for 100-nm phase shifting lithography
Yoshiyuki Yokoyama, Takashi Hattori, Kaori Kimura, et al.
A negative resist system utilizing acid-catalyzed intramolecular esterification of (delta) -hydroxy acid has been developed for ArF phase-shifting lithography. The system is made up of an acrylate polymer with pendant structure of androsterone derivative with (delta) -hydroxy acid and a photo-acid generator. We investigated the effect of the comonomer and found that it changes the affinity of the resist polymer to the aqueous base developer. The change of the polarity of the comonomer was found to drastically affect the dissolution properties and the resolution capability. Optimization of the (delta) -hydroxy acid content and the developer concentration prevented pattern deformation such as winding lines and scum between the lines. The improved resist formulation combined with an ArF excimer-laser stepper with a phase-shifting mask produced a clearly resolved 100-nm line-and-space patterns.
ArF Materials II
icon_mobile_dropdown
Base additives for use in a single layer 193-nm resist based upon poly(norbornene/maleic anhydride/acrylic acid/tert-butyl acrylate)
Francis M. Houlihan, Donna Person, Omkaram Nalamasu, et al.
We report on a study of the chemical and lithographic behavior of two types of base additives. One class of materials that we will report on are aminosulfonate onium salts, we report a study of both the thermal stability and the lithographic behavior imparted by these as a function of chemical structure. It will be shown that the decomposition temperature is a function of the basicity (nucleophilicity) of the counter anion but this can be countered by appropriate choice on onium cation. We will also discuss the lithographic performance of formulations containing transparent ammonium carboxylate bases. It will be shown that these materials provide for comparable lithographic to a standard formulation containing an amine additive. Since carboxylates and aminosulfonates are far less nucleophilic than amine additives these additives may be useful because they will not have the tendency to interact with electrophilic sites such as maleic anhydride derived repeat units.
193-nm single-layer resists based on advanced materials
Naomi Shida, Tohru Ushirogouchi, Koji Asakawa, et al.
Recent advances in the 193-nm single-layer resist for forming finer patterns have led us to search for new resist materials for the ArF excimer laser. We describe novel, mass productive single layer resist based on hybrid hyper lactonic polymer which has high resolution, good hydrophilicity, and dry etch resistance. Further, we investigate the lactonic polymer, which has Mass-productive Ultimate Norbornyl group with Outstanding Solubility (MUNGOS).
Improved lithographic performance of 193-nm photoresists based on cycloolefin/maleic anhydride copolymer by employing mixed PAGs
Se-Jin Choi, Yong-Jun Choi, Yang-Sook Kim, et al.
The effect of mixed PAGs on the performance of ArF photoresists based on cycloolefin/maleic anhydride (COMA) copolymers were investigated. Several different PAGs were prepared according to the size of photogenerated acid moiety and structure of light sensitive chromophore, and the impact of PAG property on lithographic performance was investigated in terms of the acid size, acid generation efficiency, and hydrophobicity of PAG. The diffusion according to the size of generated acid and hydrophobicity of PAG were found to be the most profound factors of the pattern profile and line edge roughness (LER) of developed resist pattern. Resolution capability as well as PED stability can be improved though adjustment of acid size, PAG hydrophobicity. Additionally, profile difference between dark and bright field according to mask type can be suppressed by the use of PAG with lower acid generation efficiency. However, lithographic performance, such as LER, pattern profile, and PED stability show the dissimilar trend with acid size and hydrophobicity of PAG. Thus, when PAG mixture is employed in a photoresist formulation, it is observed that both pattern profile and LER were improved simultaneously, which has been difficult to achieve for previously reported OCMA- based ArF resists.
Comparison of acid-generating efficiencies in 248 and 193-nm photoresists
James F. Cameron, Nicholas Chan, Kathryn Moore, et al.
Photoacid generation is a critical step in the application of chemically amplified (CA) resist technology. During the key exposure step, a catalytic amount of a strong Bronsted acid is released within these resists. The photoacid is subsequently used in a post-exposure bake step to catalytically react with the resist polymer. In the case of a positive tone resist, an acid sensitive polymer is deprotected to render the exposed areas soluble in dilute aqueous base thereby allowing for pattern development. As the semicondutor industry beings to focus on developing 193 nm photoresists for production, it si important to identify and understand differences between prototype 193nm CA resists and current state of the art 248nm production worth photoresists. The major difference between 193 and 248 nm photoresists is the exposure wavelength, which isr educed to achieve higher resolution based on the Rayleigh equation. However, this change in wavelength has several ramifications: Firstly, the tried, tested and true phenolic polymers used in DUV resists are too absorbent to be used fo 193nm application and had to be replaced by low absorbing, non-aromatic systems. Second, since even these new platforms are still more absorbing at 193 nm than the phenolic matrices are at 248nm, the PAG loading had to be lowered significantly in order to keep the overall absorbance of the resist down. This paper descibes the results of our systematic studies on understanding the reasons for observed differences in photoacid generating efficincy between 193 and 248nm chemically amplified resist systems. First the wavelength effect is studied by comparing the relative acid generating efficiency of onium type PAGs in a prototype 193nm and a DUV photoresist at both 193 nm and 248 nm exposure. Second, the photoacid generating efficiency for these PAGs at 238 nm is compared in both phenolic and non-phenolic based photoresists to probe resist polymer matrix effects. Third, these experiments were repeated while varying the PAG loading in order to probe whether there is an effect of PAG loading on acid generation efficiency. Lastly, by performing all of these studies on two different onium PAG classes (iodonium and sulfonium slats), the impact of the PAG chromophore on acid generation efficiency in both sensitized and unsensitized environments was probed. In all these studies, the C-parameter method is used to determine the quantum yield of photoacid generation. First the exposure wavelength was found to play a significant role in determining the acid generation efficiency of both PAGs, namely efficiency significantly decreases when switching exposure wavelength from 248 to 193nm. Second, it was also found that the change in the resist matrix polymer has a profound impact on the manner in which acid is generated: the phenolic matrix enables sensitized acid generation via electron transfer from the matrix to the PAG, whereas in the acrylate polymer only direct acid generation is observed. Due to the different oxidation potential of iodonium and sulfonium PAGs, the matrix effect impacts the photoacid generation efficiency of the two PAGs very differently. This is apparent in the observed change when going form the phenolic to the methacrylate matrix. Lastly, the presence of the sensitized channel is also responsible for the observed impact of PAG loading in the phenolic polymer, which is largely absent in the acrylate matrix.
Poster Session
icon_mobile_dropdown
193 SLR system based on COMA/acryl hybrid system
Masafumi Yamamoto, Kiyoshi Murata, Hiroyuki Ishii, et al.
Cycloolefin / maleic anhydride copolymer system (COMA) is one of the promising approach to high performance single layer resist for 193nm lithography. Since hydrophilicity of the material is required for more adhesion to inorganic substrates, several acrylate monomers with hydroxyl-functionalized alicyclic pendant groups were applied into acrylate-hybrid COMA systems. The hydrophilicity of each chemical was estimated by the computer aided group contribution method. Several COMA/acrylate-hybrid polymers were synthesized by radical polymerization, and the dark film loss measurement and resin characterization experiments regarding new hybrid resins were carried out. A resist comprising an optimized hybrid polymer has shown 60nm isolated line on a 0.55NA micro-stepper without any optical enhancement techniques, and another resist could print 110nm line/325nm pitch with micrometers DOF on SiON substrate.
Processing and Examination I
icon_mobile_dropdown
Improved lithographic performance for resists based on polymers having a vinyl ether-maleic anhydride (VEMA) backbone
Hyun-Woo Kim, Sang-Jun Choi, Dong-Won Jung, et al.
ArF lithography, in combination with chemically amplified resists, has been investigated as one of the most promising technologies for producing patterns below 100 nm. In considering the polymer matrix for 193 nm photoresist applications, factors such as sensitivity, transparency to 193 nm radiation, adhesion to substrate, dry etch resistance, ease of synthesis, and availability of monomers are very critical. In these respects, remarkable progress has been made in development of ArF resist material. Polymers of acrylic and methacrylic esters show good imaging performance at 193 nm, but have insufficient dry-etch resistance under oxide or nitride etch condition. On the other hand, cyclic olefin-maleic anhydride (COMA) alternating copolymers exhibit good dry etch resistance, but have poor resolution capability. We previously reported a new platform, based on a vinyl ether-maleic anhydride (VEMA) alternating polymer system, that demonstrated both good resolution and high dry etch resistance. In this paper, VEMA systems with improved lithographic performance are presented. The new platform (VEMA) showed good performance in resolution, depth of focus (DOF), iso-dense bias, and post-etch roughness. With conventional illumination (NA=0.6, sigma=0.7), 120 nm dense line/space patterns with 0.4 (mu) M DOF were resolved. And 90 nm L/S patterns 0.6 (mu) M DOF were resolved with off-axis illumination (NA=0.63). Another important factor to be considered for the dry-etch process is post-etch roughness. In the case of VEMA system a clean surface was observed after etch under oxide, nitride, and poly conditions. The VEMA resist system is regarded as one of the most production-worthy material for real device manufacture.
Thermal properties of COMA materials
Ilya L. Rushkin, Bernard T. Beauchemin Jr., Ognian N. Dimov, et al.
Glass transition measurements of cycloolefin/maleic anhydride (COMA) resist resins are complicated by the fact that their glass transitions and the thermal decomposition of their imaging groups often occur over the same temperature range. In order to gain insight into the Tg ranges of these materials, a series of model non-imaging COMA polymers was synthesized and evaluated by DSC. Among the materials thus examined were copolymers of substituted norbornenes with maleic anhydride, copolymers of nobornene with maleic anhydride and cyclohexyl acrylate, and copolymers of vinyl ethers with maleic anhydride. The effects of cholate additives on thermal properties of COMA polymers were also examined.
Modification of 193-nm (ArF) photoresists by electron beam stabilization
Patrick Michael Martens, Shigeki Yamamoto, Kunishige Edamatsu, et al.
For the sub 130nm technology nodes, 193nm(ArF) lithography has become the technology path of choice. Similar to the 248nm technology set, the resist systems being used for 193nm lithography are based on chemical amplification to achieve high throughput at the low exposure energy at 193nm. The current ArF resist systems have experienced problems with etch selectivity and line slimming during CD-SEM measurement. Both of these issues are related to the resist platform and constituents used to achieve the desired lithographic performance. This investigation evaluates electron beam stabilization as a way of addressing both the etch selectivity and line slimming issues associated with some of the current 193nm resist systems. Varying levels of electron beam dose were evaluated in an attempt to understand the effects of energetic electrons on ArF resist materials. Chemical changes in the resist were monitored for blanket resist films by FTIR, film shrinkage, and changes in index of refraction, all as a function of dose level. An increase in modification of the resist is seen with increasing dose. Blanket resist etch rate studies were performed as a function of stabilization condition. The etch rate of the resist was found to decrease with increasing dose as compared to untreated resist. Correlation of the chemical changes and etch rate reductions are proposed for the resists considered. The CD changes induced by the electron beam stabilization were monitored as a function of dose applied. Minimal CD change was seen as a result of the stabilization process. The impact of the electron beam process on line slimming was evaluated by performing repeated measurements on resist features with different levels of electron beam dose. The line slimming was found to be significantly reduced for the higher dose levels considered. Etch selectivity was evaluated by cross-section SEM measurements after etch of features with different levels of stabilization. An increase in the etch selectivity and pattern stability were observed with increasing stabilization dose.
Novel high-performance ArF resist for sub-100-nm lithography
We have developed several COMA (Cycloolefin-maleic anhydride) type resists and demonstrated their good lithographic performances, especially in the isolated line. Our resist (DHA-H110) was newly upgraded for the manufacturing of sub-100nm device in terms of bulk slope, LER (Line Edge Roughness), CD Linearity, and matching with substrate to prevent pattern collapse. The chemical structure of base resin was almost unchanged. The bulk slope resulted from high absorbency of the matrix resin was successfully overcome by introducing new additive, S1, which is an agent to remove not only top loss but also footing in the bottom. In real device application, DHA-H110 exhibits better adhesion and smaller LER than acrylate type resists on organic BARC. In addition, it shows superior pattern profile after etch process to acrylate type resists. In this paper, we suggest resist related issues for sub-100nm patterning and present lithographic performances of DHA-H110 in detail.
Novel hybrid copolymers of cycloolefin/maleic anhydride (COMA)/methacrylate for 193-nm resist compositions
M. Dalil Rahman, Douglas S. McKenzie, Jun-Bom Bae, et al.
A novel process for the preparation of hybrid copolymers based on cycloolefin-maleic anhydride and methacrylate (COMA/Methacrylate) monomers has been developed. A variety of copolymers have been synthesized form t-butylnorbonene carboxylate (BNC), hydroxyethylnorbornene carboxylate (HNC), and norbornene carboxylic acid (NC) with different types of methacrylate monomers such as 2-methyl-2-adamantyl- methacrylate(MAdMA), mevalonic lactone methacrylate(MLMA) and maleic anhydride (MA). The effect of the different types of monomers and the ratios of monomers in the copolymer on lithographic performance has been studied. Lithographic evaluation of some of these polymers has shown resolution down to 80nm for semi and fully isolated lines using conventional 193nm illumination and standard development conditions. This paper will report the chemistry of the hybrid polymer platforms and the progress of our effort to develop 193 resist for semi-dense and isolated line applications.
Processing and Examination II
icon_mobile_dropdown
Optimization of ArF resist for 100-nm node: DOE and fine-tuning of basic platform
Keeho Kim, Gregory M. Wells, Won D. Kim, et al.
ArF lithography is pushing its limit to beyond the 100-nm node due to delay of NGL technologies to meet the aggressive insertion schedules. However, lithography process for 100-nm node with binary mask and ArF resist is still not easy to achieve and will be one of the big challenges for lithography community. Although there have been significant improvements over the past year, ArF resists remain as the most critical aspect in ArF lithography development. Areas of concern for ArF resist include; higher level of environmental instability compared to KrF materials, different response depending on the tone of reticles, and different performance exhibited between microsteppers used for initial development and full field scanners to be used in manufacturing. We expect that these problems will be getting worse in sub 100-nm node. To achieve the most challenging performance goals, the resist to be used in manufacturing will require optimization of the chemical formulation of commercialized resists based on specific design requirements, process and environmental conditions. This paper will describe an extensive DOE (design of experiments) that was performed in order to find better resist formulation from commercialized resists for our specific FAB environment. PAG, resin and amine were main three components for this DOE. After choosing the best resist for 100-nm node, we have will evaluated actual lithographic performance capability such as DOF, exposure latitude, etc.
CD changes of 193-nm resists during SEM measurement
Takanori Kudo, Jun-Bom Bae, Ralph R. Dammel, et al.
CD linewidth change during SEM inspection has been one of the issues encountered in the introduction of 193 nm resists. As a general tendency, the methacrylate resists exhibit faster line width reduction than the cycloolefin- maleic anhydride (COMA) systems; however, other resist components as well as CD SEM settings paly an important role. Based on the exposure time vs. CD loss, the line width slimming (LSW) is found to proceed in three steps, which are assigned as: 1) chemical change of outer resist layer, 2) evaporation of volatiles and 3) bulk chain scission or deprotection. Countermeasures for CD degradation are proposed form both the formulation and process sides. A calculation of e-beam penetration depth suggests that deprotection, chain scission and other reactions occur in the first 20-40nm, and these reaction rates combined with thermal effects determine LWS. The CD SEM measurement method has been improved to minimize e-beam exposure and to spread out the thermal load over a larger period of time. An optimized formulation exhibits less than 0.2% LWS per measurement with the improved CD measurement program.
Investigation on the mechanism of the 193-nm resist linewidth reduction during the SEM measurement
ChungHsi J. Wu, Wu-Song Huang, K. Rex Chen, et al.
Linewidth reduction (or line slimming) of resist features has been previously observed during routine scanning electron microscopy (SEM). The impact of the linewidth reduction may result in measurement precision and accuracy errors and potential device reliability issues due to permanent feature deformation. The magnitude and the origin of these effects for various resist platforms are not well understood. In this study the measurement of the extent of slimming is performed on two 193nm single layer resist (SLR) platforms, including an acrylate based SLR and a polynorborene (poly-CO) based SLR. The maximum shrinkage is found to be approximately 15% and varies as a function of resist composition and electron beam landing energy and flux. Mechanisms for the resist shrinkage that impact both the physical (e.g., annealing or solvent loss) and chemical (e.g., bond scission, cross-linking, fragmentation, or deprotection) properties are evaluated. Potential methods for reducing the slimming effect are proposed in this paper.
Process optimization for sub-100-nm gate patterns using phase edge lithography
Koen van Ingen Schenau, Bert Vleeming, Wendy F.J. Gehoel-van Ansem, et al.
This paper investigates the impact of photoresist on sub- 100nm gate patterning performance using phase edge lithography. A selection of mostly commercial photoresists for both the 193nm and 248nm wavelength were compared for pattern collapse and photoresist profiles. An acrylic type 193nm photoresist yielded the largest aspect ratio; straight 50nm isolated lines were printed in 330 nm thick photoresist. The optimization for CD uniformity was driven by the isofocal position being dependent on photoresist characteristics, mask design parameters, and illumination conditions. The photoresists with low isofocal CD improved CD control except for mask designs using small phase widths. The optimized 193nm process yielded a 3(sigma) of 6nm CD uniformity over 400nm focus range for 70nm isolated lines when exposed on a PAS5500/950 Step&Scan system.
Poster Session
icon_mobile_dropdown
193-nm contact photoresist reflow feasibility study
The patterning of very small contact hole features for the 130nm and 100nm device generations will be a difficult challenge for 193nm lithography. The depth of focus for small contacts is currently inadequate for a manufacturable process that includes both dense and isolated pitches. As higher NA 193nm scanners are not expected to improve focus margins considerably, other contact patterning methods are required which improve processing margins. In this work, we study the potential for contact photoresist reflow to be used with 193nm photoresists to increase process windows of small contact dimensions.
KrF Processing and Materials
icon_mobile_dropdown
Development of resists for thermal flow process applicable to mass production
Yool Kang, Sang-Gyun Woo, Sang-Jun Choi, et al.
There are several methods to form small contact holes which are made by optically optimized conditions including PSM, OAI, high NA system. Those methods were very difficult to print sub-130nm contact holes. To print sub-130nm contact holes, we have developed new photoresists for thermal flow process. They could be classified into crosslinking and non-crosslinking system according to whether it could be crosslinked or not during the baking steps. The crosslinking system was consisted of conventional polyhydroxy styrene-based polymers with an additive for cross-linking reactions and the non-crosslinking system was designed by optimized formulation conditions such as molecular weights (Mw), protecting ratio, the amount of photo acid generators and additives. As a result, we obtained 0.13um resolution with 0.6 um DOF by thermal flow process and effectively controlled the flow rate, 10~15nm/ degree(s)C. Also we achieved vertical 90nm contact holes without any pattern deformation.
Novel routes toward sub-70-nm contact windows by using new KrF photoresist
To overcome C/H(contact holes) shrinkage limitation of Resist Flow Process (RFP), we investigated and analyzed the tendency of the shrink bias according to the baking temperature and other process factors. Based on this basic test, we found that the shrink bias for the baking temperature could be modeled on the simple linear function. And also we estimated new Hotplate to improve CD uniformity after the resist flow and evaluated newly developed photoresist (New Resist) for the stable C/H shrinkage. In this study, we could recognize that CD uniformity after the resist flow was very dependent on actual temperature uniformity of Hotplate. Actually New Hotplate, which was superior to normal Hotplate, showed good CD uniformity (16nm) at the strong brink bias(140nm). On the other hand, the C/H shrinkage of New Resist was more stable than those of normal KrF Resists and its C/H profiles could not be severely deformed at even high baking temperature to shrink Sub-70nm C/H from original C/H(200nm). Based on these results, the progressive Resist Flow Process in KrF lithography will be a very robust candidate at even high gigabit generation devices.
High resolution patterning in chemically amplified resists: the effect of film thickness
David R. Medeiros, Wayne M. Moreau, Karen E. Petrillo, et al.
As thin film imaging becomes an accepted means of producing high-resolution microelectronics features, a host of new challenges has emerged. A dose dependence on resist thickness has been observed and systematically measured for chemically amplified resists exposed with 75 keV electron beam radiation. The required dose to print 100nm images increased as the thickness of the film decreased. A physiochemical explanation for this dependence was sought which included exploring thickness-induced variations in thermal characteristics of the resist film. Over the range of film thickness examined, 80-360nm, these parameters were deemed unlikely contributors to this phenomenon. Ultimately the data suggests that the dose variation with thickness may correlate to differences in the population of chemically effective electron with energies in the range of 10 to 100 eV that are responsible for the sensitization of electron beam resists.
Resist composition effects on ultimate resolution of negative-tone chemically amplified resists
Laurent Pain, C. Gourgon, K. Patterson, et al.
Chemical Amplification Resists (CAR) are now widely used in optical lithography since the introduction of the deep UV era. One advantage of the CARs is also their full compatibility with electron beam writing. This paper is focused on the development work of a negative tone resist. The influence of resist compounds such as polymer matrix composition and PAG size on diffusion and ultimate resolution is detailed. Finally the pattern transfer capabilities of a 30 nm isolated line into a polysilicon layer is presented.
Integration of ultrathin resist processes into MPU IC manufacturing flows
Jonathan L. Cobb, Will Conley, Todd Guenther, et al.
Extreme ultraviolet lithography (EUVL), and possibly 157-nm lithography, will require thin imaging layers (< 1500 Angstroms). The leading EUV resist strategy utilizes thin resists based on materials designed for 248 nm wavelength exposure and hardmasks. This process has produced lines and spaces with reasonable linearity, resolution, photospeed, and line-edge roughness. Although previous work has approached these limits, integration of sub-150nm resists and hardmasks into current IC manufacturing process flows with acceptable defect control has not yet been demonstrated. The authors are investigating ultrathin resist processing for the gate and back end levels and have collected data on coating properties, defect density, etch selectivity, exposure latitude, and depth of focus. Key results include the demonstration of etching 1500 Angstroms of poly-Si with a 1200 Angstroms thick photoresist etch mask and the demonstration of via chain yield that is comparable to standard thickness resist processes.
VUV Section I
icon_mobile_dropdown
Polymer design for 157-nm chemically amplified resists
Hiroshi Ito, Gregory M. Wallraff, Phillip J. Brock, et al.
Based on UV measurements at 157nm of in-house fluoropolymers we have selected (alpha) -trifluoromethylacrylate and norbornene bearing a pendant hexafluoroisopropanol group as our building blocks for 157nm resist polymers. Polymers consisting of these repeat units have an optical density/micrometers of 3 or below at 157nm. We have found that the (alpha) -trifluoromethylacrylate derivatives conveniently undergo radical copolymerization with norornenes, which has provided a breakthrough in preparation of our 157nm resist polymers. This approach offers flexibility and versatility because an acidic moiety or acid-labile group can be placed in either acrylate or norbornene repeat unit. Other platforms of interest include all acrylic, all-norbornene, and acrylic-styrenic polymers.
Experimental VUV absorbance study of fluorine-functionalized polystyrenes
Roderick R. Kunz, Roger F. Sinta, Michael Sworin, et al.
A number of fluoro-functionalized poly(4-hydroxystyrene) derivatives, consisting of both blocked and unblocked hexafluoroisopropanol-substituted stryrenes, were prepared and their vacuum-ultraviolet absorption spectra were measured. From our efforts, we find that a wide range of synthetic flexibility exists and allows for a variety of fluorinated analogs of APEX-like and ESCAP-like copolymers and terpolymers with 157nm absorption coefficients less than 4.0micrometers . From these findings, we conclude that facile routes to high-performance 157nm resins are possible with optimum imaging thicknesses of 100 to 130nm.
High-resolution fluorocarbon-based resist for 157-nm lithography
Lithography at 157nm represents the next evolutionary step in optical lithography and is clearly seen as the likely successor to 193nm lithography. If successful, the photoresists used for this technology must be initially capable of 100nm resolution and be extendable to less than 70nm. As with the transition to shorter wavelengths in the past, the photoresist materials developed for longer wavelengths appear to be too absorbent for practical use as a traditional high resolution single layer resist imageable with 157nm radiation. The high 157nm absorbance of polyacrylate, polycyclic, and polyhydroxystyrene copolymer resists, will force the coated resist thickness to be under 100nm. It has been shown that some fluorine-functionalized polymers are more transparent in this spectral region than pure hydrocarbon polymers. This has led us to investigate the use of fluorocarbon polymers in resists specially designed for 157nm lithography. We have synthesized and evaluated a number of unique 4-hexafluoroisopropanol1 styrene based polymer systems that yield resists in which the 157nm absorbance ranges from 3.0 to 4.0micrometers . Resists of this type are potentially capable of imaging at resist thickness of 150nm. Examples of the high performance imaging capability of our resist design are shown to have imaging capability of 150nm with 0.50NA microstepper and 40nm employing interference lithography.
Encapsulated inorganic resist technology applied to 157-nm lithography
Theodore H. Fedynyshyn, Roger F. Sinta, Michael Sworin, et al.
In order to increase plasma etch selectivity in traditional single layer organic resists SiO2 nanoparticles have been added to typical 248nm resist formulations. Formulation modifications are necessary due to the dissolution acceleration effect of the particles. Surface functionalization of the nanoparticle surfaces with organic groups lessens this effect and allows the inclusion of acid labile groups. This allows for a wider formulation window and limits unexposed film thickness losses (UFTL). Both t- butyl ester groups and poly(t-butyl acrylate) have been used to achieve this effect. Encapsulated inorganic resist technology (EIRT) can be used as a single layer hard mask compatible with existing resist processing steps and replace complex and costly multilevel resist approaches. Lithogrpahic evaluations have been performed with electron beam, and with 248nm and 157nm projection systems. Greater transparency at 157nm is achieved by the addition of these materials, thus enabling the use of thicker films. High resolution imaging is demonstrated at these wavelengths.
Silicon-containing resists for 157-nm applications
Ratnam Sooriyakumaran, Debra Fenzel-Alexander, Nicolette Fender, et al.
We have designed and developed an aqueous base soluble polymer system with a silsequioxane (SSQ) backbone for 157nm bilayer resist applications. These base resins have absorbances as low as 0.6micrometers -1 at 157nm. The imagable polymers which contain acid-labile ester functionalities have absorbances between 2.0 and 3.0micrometers -1. The silicon content of these polymers is around 15% by weight. Therefore, our polymers can be utilized in 157nm positive bilayer resist applications with a film thickness of around 150nm. We have evaluated several resist formulations based on these polymers. These resist formulations have shown high contrast and excellent resolution.
Poster Session
icon_mobile_dropdown
New polymer for 157-nm single-layer resist based on fluorine-containing acryl copolymer
Toshiyuki Ogata, Koutaro Endo, Hiroshi Komano, et al.
We are reporting on the development of acryl polymer based on novel methacrylate and acrylate monomers with various trifluoromethyl groups for the application to 157nm chemically amplified positive-tone resists. The (alpha) - trifluoromethylation of the alkyl ester in methacrylate or acrylate could employ the reduction of acrylpolymer absorbance at 157nm by spectra analysis with the VUV-200 spectrophotometer by JASCO. Although the trifluoromethyl groups could employ the reduction of base polymer absorbance at 157nm, the homopolymers have issued weak etch resistance as a photoresist base polymer. To take account of this issue, we have developed a novel monomer, trifluoromethyl- iso-adamantylmethacrylate (TFIAdMA) and a new co-polymer system with the combination of fluorinated methacrylate derivatives and substituted p-hydroxystyrene. The absorption coefficient of poly(p-tert-butoxystyren-co- hexafluoro-tert-butyl methacrylate-co-methacrylic acid) incicated to be less than 3 micrometers -1 at 157nm. Patterning results were obtained with a 157nm contact exposure system of VUVES-4500 by LTJ. One of the experimental resists, based on a particular polymer ratio and photo acid generator, has clearly achieved 180nm line and space pattern resolution. At 140nm resist film thickness, the sensitivity was 31 mJ/cm2 when using 0,26N tetrametylammonium hydroxide surfactant type developer.
VUV Section I
icon_mobile_dropdown
Characterization of thin and ultrathin polymer and resist films
Dario L. Goldfarb, Qinghuang Lin, Marie Angelopoulos, et al.
The need for a better understanding of the physiochemical properties of radiation-sensitive thin polymer coatings for lithographic applications is driven by the trend of ever- shrinking pattern dimensions and film thickness, imposed by the semiconductor industry. In this work, we address the issue of film uniformity and moisture absorption for thin and ultrathin films (250nm to 50nm) of poly 4-hydroxystyrene (PHS). Using high resolution x-ray reflectivity, the roughness and density of spin coated films was found to remain constant within experimental error for the thickness range examined. Also, water uptake on PHS films was studied by neutron and x-ray reflectivity. Exposure of the polymer film to a controlled humidity level is shown to swell the polymer and be absorbed uniformly throughout the film. No preferential absorption of water at the interface was noticed, regardless of the hydrophilic or hydrophobic nature of the substrate surface. Overall density changes in the polymer matrix due to the moisture-induced increase in the film thickness are also discussed.
VUV Section II
icon_mobile_dropdown
Perfect photoresist for 157nm imaging
Chemically amplified photoresists where first created for broadband deep UV imaging, then used for monochromatic KrF excimer laser light, then ArF and now F2 for 157nm imaging. With this experience we may able to build The Perfect Photoresist. This may sound ridiculous, however, take a good look at the SIA roadmap, and the timing in which 157nm imaging will be needed. There are numerous arguments regarding 157nm insertion, some say 100nm and others say the 70nm node; regardless of the timing it is approaching quickly. The time allowed to develop such technology is decreasing. If we recall back to the early 1980's when deep UV (248nm) materials development started, it has taken nearly 10-12 years to perfect them. ArF materials, whose development began in the early 90's, will take approximately 8 years before reaching manufacturing (and there is still great improvement needed). Now let's focus on the timeline for 157nm resist: its development began in 1999 and it must be ready for early manufacturing by the end of 2004. Most, if not all, photoresist companies have plans to develop photoresist technology for 157nm. Many of these solutions are based on existing KrF or ArF materials technology with modifications to meet optical density requirements for 157nm. Considering the implementation node for this technology (let's assume 70nm), films thickness' will most likely not exceed 250nm and in most cases range from 150nm to 200nm. These films thicknesses bring on new and unique challenges, however, they can be managed. The authors will take into consideration three different types of device level imaging: gate, trench and contact holes for single layer imaging. Through the use of designed simulation experiments and existing data we will construct different photoresist models to meet the requirements for these device levels. We'll plan to test whether resist models calibrated at 248nm can be extrapolated to 157nm. The authors will also explore the question of optical density (B parameter), chemical contrast, exposed & unexposed film dissolution along with acid diffusion to provide the insight that can aid in developing materials for the photoresists composition. We will investigate the use of binary and attenuated reticles with various illumination conditions over several substrates, to provide insight into designing The Perfect Photoresist for 157nm Imaging.
Transparent resins for 157-nm lithography
The development of sufficiently transparent resin systems is one of the key elements required for a successful and timely introduction for 157 nm lithography. This paper reports on the Simple Transmission Understanding and Prediction by Incremental Dilution (STUPID) model, a quick back-of-the-envelope increment scheme to estimate the absorption of polymers at 157 nm. A number of promising candidate resins based on norbornenes are discussed, and results with a first 157 nm resin system developed at the University of Austin are presented. The new system is based on copolymers of norbornene-5-methylenehexafluoroisopropanol (NMHFA) and t-butyl norbornene carboxylate (BNC), formulated with an acetal additive obtained by copolymerization of t-butyl norbornene-5-trifluoromethyl-5-carboxylate (BNTC) with carbon monoxide. Lithographic performance of this system extends to 110 nm dense features using standard illumination and a binary mask, or 80 nm semi-dense and 60 nm isolated features with a strong phase shift mask. The dry etch resistance of this resist is found to be slightly lower than APEX-E DUV resist for polysilicon but superior to it for oxide etches.
Application of top surface imaging process to 157-nm lithography
Isao Satou, Manabu Watanabe, Hiroyuki Watanabe, et al.
A top surface imaging (TSI) process with a very thin imaging resist is one of the approaches for 100-nm or smaller pattern fabrication. We have been evaluating the different types of bilayer silylation processes for 193nm lithography, such as the bilayer silylation process without applying any wet-development and an improved bilayer silylation process that applies the vapor phase silylation treatment after alkaline wet-development of the top layer (SILYAL). We have been trying to apply these TSI processes to 157nm lithography and could successfully fabricate sub-100-nm fine resist patterns with high aspect ratios. We confirmed the lithographic high performance of these bilayer silylation processes and 157-nm lithography. In this paper, we describe the current status and progress in these silylation processes for 157nm lithography.
Resist materials for 157-nm lithography
Fluoropolymers are key materials for single layer resists of 157nm lithography. We have been studying fluoropolymers to identify their potential for base resins of 157nm photoresist. Many fluoropolymers showed high optical transparencies, with absorption coefficients of 0.01micrometers -1 to 2micrometers -1 at 157nm, and dry- etching resistance comparable to an ArF resist, and non- swelling solubility in the standard developer. Positive- tone resists were formulated using fluoropolymers that fulfill practical resist requirements. They showed good sensitivities, from 1 mJ/cm(superscript 2 to 10 mJ/cm2, and contrast in the sensitivity curves. They were able to be patterned using a F2 laser microstepper.
Effect of fluorinated monomer unit introduction to KrF resin system in F2 lithography
Yasunori Uetani, Kazuhiko Hashimoto, Yoshiko Miya, et al.
We reported the novel copolymer system containing fluorine atom with hydroxystyrene (HST) and 3-(perfluoro-3- methylbutyl)-2-hydroxypropyl methacrylate (MBHPMA). Using the copolymer, melamine crosslinker and PAG, negative resist was formulated. Transmittance of the resist film was 35% at 0.1micrometers thickness. High contrast negative pattern was obtained by F2 excimer laser exposure. Dry-etching resistance of the resist was comparative to novolak type i- line resist.
VUV Section III
icon_mobile_dropdown
Resist materials for 157-nm microlithography: an update
Raymond Jui-Pu Hung, Hoang Vi Tran, Brian C. Trinque, et al.
Fluorocarbon polymers and siloxane-based polymers have been identified as promising resist candidates for 157 nm material design because of their relatively high transparency at this wavelength. This paper reports our recent progress toward developing 157 nm resist materials based on the first of these two polymer systems. In addition to the 2-hydroxyhexafluoropropyl group, (alpha) -trifluoromethyl carboxylic acids have been identified as surprisingly transparent acidic functional groups. Polymers based on these groups have been prepared and preliminary imaging studies at 157 nm are described. 2-Trifluoromethyl-bicyclo[2,2,1] heptane-2-carboxylic acid methyl ester derived from methyl 2-(trifluoromethyl)acrylate was also prepared and gas-phase VUV measurements showed substantially improved transparency over norbornane. This appears to be a general characteristic of norbornane-bearing geminal electron-withdrawing substituents on the 2 carbon bridge. Unfortunately, neither the NiII nor PdII catalysts polymerize these transparent norbornene monomers by vinyl addition. However, several new approaches to incorporating these transparent monomers into functional polymers have been investigated. The first involved the synthesis of tricyclononene (TCN) monomers that move the bulky electron withdrawing groups further away from the site of addition. The hydrogenated geminally substituted TCN monomer still has far better transparency at 157 nm than norbornane. The second approach involved copolymerizing the norbornene monomers with carbon monoxide. The third approach involved free-radical polymerization of norbornene monomers with tetrafluoroethylene and/or other electron-deficient comonomers. All these approaches provided new materials with encouraging absorbance at 157 nm. The lithographic performance of some of these polymers is discussed.
Theoretical calculations of photoabsorption of several alicyclic molecules in the vacuum ultraviolet region
Nobuyuki N. Matsuzawa, Akihiko Ishitani, David A. Dixon, et al.
In order to aid in the design of transparent materials for use as photoresists for F2 lithography (157nm), we have performed time-dependent density functional theory (TD-DFT) calculations of the photoabsorption of molecules in the vacuum ultraviolet region. The application of this TD-DFT method to the prediction of photoabsorption was benchmarked using model molecules such as formaldehyde, and an empirical equation for correcting the calculated transition energy was obtained. The TD-DFT method with the empirical correction equation provides dramatically more accurate results than those obtained with the CIS (single-excitation configuration interaction) method, which we employed in previous studies. We used it to predict the photoabsorption of various molecules such as methanol, t-butylalcohol, acetic acid, methyl acetate, cycloalkane, norbonane, tricylodecane, tetrahydropyrane, admantane, maleic anhydride and their fluorinated derivatives.
Top surface imaging at 157-nm
Top surface imaging (TSI) has had an interesting history. This process showed great promise in the late 1980's and several attempts were made to introduce it to full-scale manufacturing. Unfortunately, defect density problems limited the process and it fell from favor. TSI emerged again as an important part of the EUV and 193 nm strategies in the early stages of those programs because it offered a solution to the high opacity of common resist materials at both wavelengths. A flurry of research in both areas identified the seemingly insurmountable problem of line edge roughness than typical single layer resist systems. This has largely been due to the development of polymers specifically tailored for this end use. The optimum materials must be moderately transparent and have high Tg's in the silylated state. The 157nm program has much in common with the early stages of the 193nm program. The optical density of even 193nm resist materials at 157nm is far too high to allow their use in single layer applications. The less stringent optical density of even 193nm resist materials at 157nm is far too high to allow their use in single layer applications. The less stringent optical density requirements of TSI make it a potentially viable imaging scheme for use at 157nm. Various TSI materials, including the traditional poly(t-BOC- hydroxystyrene), as well as novel aliphatic cyclic polymers bearing bis-trifluoromethyl carbinol substituents, have been investigated for use at 157 nm, and smooth high-resolution images have been generated.
Characterization of new aromatic polymers for 157-nm photoresist applications
Nicolette Fender, Phillip J. Brock, W. Chau, et al.
There is currently tremendous interest in developing 157nm photoresists for imaging applications at 100nm and below. Due to the high VUV absorbance of the polymers used in 248 and 193 photoresists new materials are being investigated for applications at 157nm. In this report the characterization of a number of partially fluorinated polymers based on aromatic backbones will be described. Data on the absorbance, dissolution properties, solvent retention and acid diffusion characteristics of these systems will be presented.
157-nm imaging using thick single-layer resists
Michael K. Crawford, Andrew E. Feiring, Jerald Feldman, et al.
During the past year the probability that 157 nm lithography will precede next generation lithographies such as EUV or EPL has increased, partly due to encouraging advances in the design of polymeric materials, which have sufficient transparency at 157 nm to serve as platforms for single layer photoresists. We have identified several fluorinated resins which can be developed in aqueous 0.26 N TMAH, have reasonable etch resistances (comparable to poly-parahydroxystyrene), and can be formulated to yield photoresists with optical absorbancies at 157 nm which are low enough to be used at thicknesses of 150-200 nm. We have imaged a number of these formulated resists at 157 nm with the Exitech microstepper at International Sematech, and the results for formulated resists with optical absorption coefficients (base 10) as low as 2.1 per micron are described.
Poster Session
icon_mobile_dropdown
Effect of development process time on the surface of photoresist with various chemical compositions investigated by atomic force microscopy
Chang Hyun Ko, Seok-Hwan Oh, Jae-Hwan Kim, et al.
Understanding the nature of photo-resist (PR) dissolution during the development process is the important factor to accomplish high-precision critical dimension (CD) control in photolithography. In this report, we investigate the effect of each process variable on the PR pattern CD size and surface roughness by scanning electron microscopy (SEM) and atomic force microscopy (AFM). From these experiments, we found out that the major factor to affect the CD and surface roughness control was the puddle time. On the basis of these result, we investigated the relationship between puddle time and chemical compositions of PR. According to the puddle time, top surface of PR became rougher, but finally converged to some value. As the molecular weight and protecting ratio of the PR increased, the degree of surface roughness of the PR increased. Soft bake temperature, which is one of the variables in PR coating process, also affected the surface roughness of the PR. These results must be useful data for the optimization of new developing recipe for the new PR systems which will achieve next generation photolithography.
Continuous metal removal technique for resist resins
Stan F. Wanat, Douglas S. McKenzie, M. Dalil Rahman
Modern resists require very pure raw materials to insure highest quality images. Many methods have been developed to reduce metals in resins used to make photoresists. Extractive washing techniques and ion exchange methods have been the predominant methods used to reduce metal levels for these critical raw materials. This has been especially important for the novolak resins because of the generally poor quality of the starting materials used to make them and by the nature of the resin isolation steps traditionally used. Both of the commonly used cleaning methods are time consuming and are predominantly batch processes. Based upon techniques developed to efficiently fractionate resins, we have developed a technique for the rapid, continuous reduction of metal contaminants in film forming resin solutions by an extractive method using a double inlet liquid/liquid centrifuge.
Resist rehydration during thick film processing
Octavia P. Lehar, Mark A. Spak, Stephen Meyer, et al.
The demand for ultra thick photoresist formulations is steadily increasing. Many application such as wafer bumping and MEMB (micro electro-mechanical systems) require films in the range of 50 to 100 micrometers . In our study we measure the dissolution rates of the exposed resist as a function of re- hydration time and other process conditions. Film thickness, processing conditions and developer type are varied for two commercially available resists, AZP4620 and AZ9260 photoresists. It is found that the hydration status of the film has a defining influence on the dissolution behavior. Films that have had insufficient time to re- hydrate cannot be completely dissolved even at very high doses and long development times. Investigation of the water sorption rates by quartz crystal microbalance experiments yielded a diffusion constant of 0.12 micrometers /sec, in good agreement with literature data on similar systems. Calculations of the water concentration of thick films show that re-hydration times can take several hours. Under these conditions, there is insufficient water in the lower part of the resist film to react with the ketene generated by photolysis of the DNQ sensitizer. The IR trace of the indenylidene ketene C=C=O stretch band can be observed directly under normal atmospheric humidity conditions. From the observed kinetics, conditions can be derived for re- hydration from the gas or liquid phases that lead to practical process conditions applicable to ultrathick film processing in the fab.
Development of an edge bead remover (EBR) for thick films
Joseph E. Oberlander, Ernesto S. Sison, Craig Traynor, et al.
During the development of AZ EXP PLP 100XT thick film resist, the need for an effective Edge Bead Remover (EBR) was demonstrated for coatings of 50 microns. Because of the use of high solids content resist, a large amount of resist solvent was retained in the thick film coating as it was cast. Residual solvent can also come form the diffusion of the EBR solvent into the spreading resist. The residual solvent can significantly affect the EBR process and cause secondary flow problems such as rough edges stringers and reflow. Current EBRs such as AZ EBR 70/30, which is excellent for removing thin film residues, were not effective for use with resist coatings of 50 microns because of secondary flow issues. A number of solvents either along or in pairs were evaluated. From the sole solvent studies no strong correlation was seen between physical properties and EBR performance. However a weak correlation was seen between EBR performance and volatility. The EBR performance was further optimized by increasing its volatility. The optimized solvent system consists of a mixture of dimethyl carbonate (DMC) and cyclopentanone (CP). The dimethyl carbonate/cyclopentanone solvent system is significantly more volatile than the conventional EBRs such as AZ EBR 70.30. The cyclopentanone insures good edge cleaning. This system demonstrated good performance characteristics such as no secondary flow, sharp resist edge and a clean wafer edge. The safety issues of toxicity and flash point will also be discussed.
Novel photoacid generators for chemically amplified resists with g-line, i-line, and DUV exposure
Toshikage Asakura, Hitoshi Yamato, Akira Matsumoto, et al.
A new class of compounds, (5-alkylsulfonyloxyimino-5H-thiophen-2-ylidene)-2-methylphen yl-acetonitriles, characterized as non-ionic and halogen-free photoacid generators (PAG's) was developed. The compounds generate various kinds of sulfonic acids, such as methane, n-propane and camphor sulfonic acid under the g-line (436nm), i-line (365 nm) and Deep UV (DUV, 248 nm or shorter) exposure and are applicable for chemically amplified (CA) photoresists. The application-relevant properties of the compounds such as solubility in propylene glycol monomethyl ether acetate (PGMEA), UV absorption, thermal stability with or without poly(4-hydroxystyrene), storage stability in a neat form, sensitivity in some model resist formulations and dissolution inhibition efficiency during development process were evaluated. The compounds exhibit enough solubility in PGMEA, red-shifted UV absorption ($lamdamax: 405 nm), good thermal stability up to 140 C in a phenolic matrix, effective acid generation in terms of quantum yield in an acetonitrile solution and high sensitivity in negative tone and positive tone CA resist formulations, such as tert-butyl ester type and t-BOC type formulations, with g-line, i-line and DUV exposure. The photochemical decomposition reaction of the compound was also studied. Additionally a scanning electron microscope (SEM) photography as an application example of microlithography by the CA negative tone resist with the PAG is presented.
Spin coating properties of SU-8 thick-layer photoresist
Ren-Haw Chen, Chao-Min Cheng
In this paper the coating properties including film thickness, thickness uniformity and variation of viscosity of SU8 photoresist were investigated by using a spin coater and rheometer. Experimental results indicate that the coating qualities of SU8 are affected by several factors including the spinning speed, the photoresist viscosity, the initial acceleration and the duration. Some recommendations are presented for increasing the quality of SU8 spin coating in thick-film processing.
Reduction of internal stress in a SU-8-like negative tone photoresist for MEMS applications by chemical modification
Ralf Ruhmann, Gisela Ahrens, Antje Schuetz, et al.
The occurrence of internal stress of ultrathick photoresists, like SU-8 is a well known problem in lithographic processes. We investigated chemically modified SU-8-like photoresists to extend the processing latitude by reducing the internal stress of the resist images. Firstly, the composition of the polymeric binder and secondly that of the photoacidgenerator (PAG) was changed. The influence of these two variations on the stress behavior, the process conditions and the lithographic performance was studied in resist layers of 250micrometers and of 65-140 micrometers thickness, respectively. The chemical modification resulted in a drastic reduction of the internal stress occurring during the post exposure bake and by an additional hardbake. In comparison to SU-8, stress values of the modified resists reduced by 70% were achieved. With optimized process conditions for each test resist the improvement of stress behavior was linked with a lithographic performance yielding high-quality patterns with high resolution and a good aspect ratio.
Photolithographic evaluation of various photoresist materials for mask-making applications
Birender Singh, Warren Montgomery
This paper describes studies of various resists from multiple photoresist manufacturers. The main criteria used to select an appropriate resist candidate were iso/dense bias and critical dimension (CD) uniformity. Data has shown that processes commonly used in the industry are sensitive to loading. Etec Systems, Inc. continues to look for photoresist(s) that can mitigate the CD variation caused by this effect. The data presented in this paper shows that resist selection is key to iso/dense bias reduction. Data is presented from both an ALTA 3700 exposure tool and an i-line stepper system, and a correlation is drawn between these exposure systems. Various developer processes are discussed, ranging from puddle to immersion development.
Novel CA resists with photoacid generator in polymer chain
Hengpeng Wu, Kenneth E. Gonsalves
Novel chemically amplified resists with photoacid generating units in the polymer chains were synthesized and their lithographic properties evaluated under both 248nm and 20keV electron exposures. The positive-tone CA resists were found to exhibit excellent film formation behavior and extremely high sensitivity.
Investigation on dissolution rate effect of newly prepared polystyrene copolymer on the profiles of DUV resists
Hyun-Jin Kim, Yoon-Sik Chung, Yong-Jun Choi, et al.
Dissolution rate of polymer has known as one of the most profound factors to determine profiles of DUV resists. A novel copolymer, poly[4-hydroxystyrene-co-4-(3-cyano-1,5-di-tert-butyl carbonyl pentyl styrene)] (PHSCBPS), was prepared and blended with poly(4-hydroxystyrene-co-4-(1-ethylethoxystyrene)) (EEPHS) to study the effect of dissolution rate on the KrF resist profile. The dissolution rates of the blends in aqueous alkaline solution were measured by dissolution rate monitor (DRM). Molecular weight and polydispersity were measured by GPC. Without altering other components of the resist, the profile was clearly affected by the dissolution rates of the polymer blends. In this paper we will describe resist profile change according to dissolution rate, molecular weight and polydispersity of polymer blend. For given blends, the best and optimum resist profile was found in the range of 200~300 Angstroms/min dissolution rate, 12,000 of molecular weight and 1.2~1.3 of polydispersity. In case of PAG, profile of KrF resist is also influenced by counter ion of PAG. Based on the results, high performance KrF resist composition was found by incorporating appropriate photo acid generator (PAG) and other additives. Optimized resist produced rectangular profile without losing process margin and resolution.
Novel deep UV photoresist with thermally crosslinkable photoacid generator
Chang-Ho Noh, Sang-Kyun Lee, Bongsuk Moon, et al.
The present authors have studied a novel system of deep UV photoresist based on thermally crosslinkable photoacid generators(TCL-PAG), which have two or three crosslinking groups on the same molecule that can be crosslinked to a binder resin of chemically modified poly (p-hydroxystyrene), referred to as PHST hereinafter, in the stage of prebaking via the reaction of their phenolic hydroxyl groups on the polymer chain with the crosslinking groups of TCL-PAG. Upon exposure and subsequent PEB, the crosslinkage with acetal structures is cleaved by photogenerated acids to give an unprotected phenol resin, alcohol and acetaldehyde. We investigated some structural effects on resolution, photospeed, line edge roughness and other functional properties of the resulting resists with different size of the protecting groups in a combination with different types of PAGs and bases added. KrF steppers of Nikon (NA:0.45) and ASML(NA:0.7) were used in the lithographic test with binary test masks. Various types of partially protected PHST derivatives were synthesized with different protecting groups to control the dissolution rate and the activation energy of the base resins. The resist pattern quality can be enhanced by using our new PAG system based on the thermal crosslinking mechanism. A combination of the acetal and carbonate protecting groups onto the PHS base resin with the TCL-PAG can also enhance the resist performance so that a minimum resolution of 130 nm with KrF exposure could be obtained.
Rational design of bleachable nonchemically amplified DUV photoactive compounds
Benjamen M. Rathsack, Peter I. Tattersall, Cyrus Emil Tabery, et al.
Photoactive compounds have been designed, synthesized and characterized for deep ultraviolet non-chemically amplified resist applications. These resist materials may have potential use in next generation 257nm mask fabrication. Mask fabrication requires stringent linewidth specifications over long post-coat and post-exposure bake delays. Lithography simulation and imaging experiments have been done to determine the lithographic performance of resists formulated with these new photoactive compounds. Previously studied chromophores, 7 substituted 3-diazo 4- hydroxycoumarin and N-substituted 3-diazo-2, 4-piperidione, both have the transparency, bleaching and exposure rate kinetics in the DUV that are analogous to those exhibited by the diazonaphthoquinone chromophore at 365nm. The sulfonate linkages attached to these photoactive compounds provide dissolution rate inhibition of novolak that is very similar to the diazonaphthoquinone-sulfonates. The trifunctional diazopiperidione that incorporates three sulfonate linkages provides more efficient inhibition per chromophore than the corresponding bisfunctional photoactive compound. The diazocoumarin based novolak resist demonstrates image reversal (negative tone) with the use of a post-exposure bake. The post-exposure bake causes the exposed photoactive compound to decarboxylate, which dramatically reduces its solubility in aqueous base. The trifunctional diazopiperidione provides the best overall imaging results due to almost complete bleaching and high contrast.
BiIn: a sensitive bimetallic thermal resist
Glenn H. Chapman, Richard Yuqiang Tu, Marinko V. Sarunic, et al.
A class of DC-sputter deposited bimetallic thermal resists (BiIn, SnIn, BiSn) have been investigated with exposure sensitivities (7mj/cm2) near those of current organic photoresists. A prototype resist consists of stacked bismuth on indium layers (30-45nm thick) with thickness ratios matching the eutectic alloy points in BiIn binary phase diagram. Laser exposed areas have significantly different optical characteristics, with lower reflectivity and higher transparency, hence showing the imaged pattern before development, thus confirming correct exposures. Predicted exposure levels are almost wavelength invariant: <5% change between 248 and 157nm. Profilometery results and SEM images showed no signs of ablation in the exposed areas. The BiIn resist was wet developed using a HNO3:CH3COOH:H2O (1:3:6) acid solution which preferentially removed the unexposed areas leaving written patterns of alloyed lines seen both under profilometery and SEM, and stripped in a dilute HCl:H2O2:H2O bath. Shelf tests shows that the BiIn film is stable under 50#C/90% humidity conditions. XRD and TEM show that the film is polycrystalline. Both SnIn and BiSn exhibit similar resist property as BiIn that the exposed area converts to greater transparencies that unexposed areas, indicating these three bimetallic films can be a group of potential inorganic thermal resist.
Novel develop application method to improve critical dimension control
When using KrF resists, a peculiarity in critical dimensions (CDs) called developer nozzle traces is often observed (figure 2). We have determined and solved the cause of this problem. We calculated the flow rate of the developer solution per unit area at various points on a wafer, which was dispensed using a conventional nozzle (Figure 1). We discovered that the flow rate per unit area varied significantly across a wafer. We determined that areas of the wafer getting high developer flow rates had narrower CDs than other areas. We concluded that the cause of developer nozzle traces was due to a flow rate imbalance. We examined in detail the correlation between the amount of developer solution dispensed on a wafer and the line width, and found that the line width was narrowed when the amount of developer dispensed was 0.4 ml/cm2 or greater (Shipley UV6) (figure 7). From this result, it appears that the nozzle traces are related to the distribution of flow rate and that of CD. In addition, the profile of the top of the pattern differs depending on the developer flow rate. At high rates, the profile becomes rounded while at lower rates the profile is rectangular. Taking all of these observations into consideration, we invented a nozzle that dispenses developer uniformly over an entire wafer. The opening of this nozzle is slit-like in shape and extends over the entire wafer diameter. For full puddle formation, the nozzle dispenses developer solution while scanning linearly across a wafer. The wafer is stationary and does not rotate during this process. This nozzle system dispenses a uniform volume of developer per unit area over an entire wafer (figure 10). In order to achieve good puddling, developer dispense rate and nozzle scan rate have been optimized to minimize the movement of developer solution. At this time, the amount of developer dispensed over the wafer surface was 0.2 ml/cm2. We evaluated CD measurements using this nozzle and obtained very slight CD variation within a wafer 3 sigma = 6nm 180nm L/S (figure 14). Finally we found out that making the dispense flow rate of the developer solution equal and low per unit area is critical for CD control. By reducing the flow rate of the developer, the profile of the feature becomes rectangular compared to when using a conventional nozzle (figure 13).
Thin resist process having high dry-etching resistance in 0.13-um KrF lithography
Minoru Watanabe, Suguru Sasaki, Sachiko Yabe, et al.
The dependence of resists materials nd process conditions on dry etching resistance was examined for polysilicon, silicon oxide and metal etch applications. Thin resist process is a simple and cost-effective technique for resolution enhancement, and it enlarges the process margin because of reduction of the resist pattern aspect ratio and improved film transparency. However, to use thin resist process in manufacturing, sufficient etch selectivity is required for function of the etching mask. The high dry etching resistance resist process or the hard mask process was one of the solutions. In this study, we evaluated the dry etching rate of KrF resist materials such as a positive photoresist (acetal type and ESCAP type) and a negative photoresist, and the effect of process conditions such as baking temperature and electron beam (EB) exposure. Under polysilicon and metal etching conditions, the etch rate dependence or resist materials such as ESCAP and the negative resist indicated high dry etching resistance compared with the acetal-type resist. However, under silicon oxide etching conditions, etch rate dependence on the kind of resist polymer was small. These data indicated that the etch rate dependence on resist polymer is strongly governed by the etching conditions. High-temperature baking and EB curing processes were effective for improving etch selectivity. Regarding the influence of baking temperature, although etch rates were strongly dependent on etching conditions, the effect of etch rate change ratio by baking was not dependent on the etching conditions. The EB curing process is the effective in improving etching resistance, but it also shows dependence on the etching conditions. The EB curing process was quite effective in improving etching resistance, but it also shows dependence on the etching conditions. The EB curing process was quite effective in the case of polysilicon and metal etch processing. Therefore, the dry etching resistance for thin-layer application was improved by the resist process, although it strongly depended on the etch process conditions. Form the analysis of resists polymer and residual solvent by FR-IR, GC-MS and DSC, it seemed that the effect of etch resistance improvement by EB curing is mainly caused by the resin's structural change. On the other hand, the effect of baking conditions was mainly due to the solvent in the resist. Finally, we confirmed the lithographic and etching performance by using the EB curing process for three types of resists with 130nm node gate, contact and metal layers.
Novel negative photoresist process for 0.18 um dual damascene
A great deal of progress has been made in the design of dual damascene process, including via first, trench first, and self-aligned. For overlay, via-first process provides the largest process tolerance to misalignment. However, the positive tone resist face to some difficulties in dual damascene via first approach of photo process, because the 0.18micrometers positive tone trench resist can not be exposed and removed in the 0.20micrometers via hole, observed residues from the SEM cross section profiles after development. In contrast, the negative tone resist show s great advantage in the via first process and producing desired patterns without resist residues in the via hole. In this paper, the design of dual damascene photo process using commercial N702Y (JSR) negative tone resist on DUV43 (Brewer Sc.) Bottom anti reflective coating is evaluated. To improve the depth of focus (DOF) of negative tone resist process, the different resolution enhancement techniques (RET) are investigated fro dense and isolated trench patterns: off-axis illumination (annular ½), attenuated phase shift mask (halftone 6%) with 248nm (NA 0.55) exposure technology, and experimental results regarding to its process performance are presented.
Development of DUV resists for zero angle and angled implant applications
Patricia Fallon, Michael Francis Cronin, Joseph Lachowski, et al.
As the semiconductor industry continues to follow Moore's Law by continually shrinking linewidths, DUV lithographic capacity is increasing. This greater capacity has increased the use of 248nm DUV lithography for all levels particularly applications such as metal and implant layers. Smaller features have required that more advanced implantation techniques be employed. These include greater control of implant depth, gradient, and lateral ion movement. These tighter requirements on the implant process naturally necessitate advanced requirements on the photoresists used in these processes. This paper will discuss the design criteria necessary to develop an advanced DUV resist for a variety of implant layer sand will show resist performance for these applications.
Advanced KrF chemical amplified photoresists for 0.13-um lithography
Qunying Lin, Michael J. Sack
When critical dimension shrinks down to 0.12micrometers , lithography patterning becomes one of the major issues: limited process window, line edge roughness, CD error budget, and challenges on mask technology. Concurrently, 248nm and 193nm DUV lithography strategies are developed. However, with much concerns on 193nm lithography resists and exposure tool, 248nm lithography shows some advantages in availability of high er NA (0.7~0.8) tool, advanced resists and resolution enhancement technologies such as off-axis illumination, OPC and PSM technology. In this paper, we report development works done on 0.13micrometers gate patterning with 248nm advanced chemical amplified photoresists (CAR) annular illumination, OPC and half-tone PSM technology. The study shows that with newly development work on advanced 248nm photoresists, the process window can be enlarged to 0.60micrometers overlapping on both 0.12micrometers isolated and dense lines. Different formula impact greatly on resist profile, resist top loss and process latitudes. Annular illumination improves process latitudes, however bigger iso-dense bias is observed. Hence, OPC correction on iso-dense bias is very effective in this case. A 6% half tone PSM mask is used to improve resolution and process window in the study.
Formulation optimizations for variable DUV resist thickness applications based on the same polymer matrix
Karin R. Schlicht, Brian Maxwell, John E. Ferri, et al.
We are investigating strategies of resist optimization for various target thicknesses based on the same polymer. The photo acid generator (PAG) and base levels are optimized for each application thickness. The polymer of choice, used in this work, contained sufficient tertiary-butyl ester groups to provide high dissolution rate after exposure (high Rmas) while its initial dissolution rate in the developer is very low (low Rmin). The polymer structure was also designed to provide a high ratio of carbon to hydrogen atoms to be adequately resistant to plasma etching. Other polymer properties, such as solubility in resist solvents, long shelf life stability, good coating properties, good adhesion to different substrates, low solution viscosity, low coating defects and good wettability are only a few examples of many required characteristics for good resist performance.
Dependence of resist profile on exposed area ratio
We investigated resist profile dependence on Exposed Area Ratio (EAR). Using high activation type chemically amplified positive resist, profile changed from T-top to rounded profile with increasing EAR. We thought that this profile change was caused by acid evaporation and re- sticking. To estimate the effect of re-sticking acid, we performed resist sandwich tests. We measured resist thickness loss after PEB and observed resist profile change caused by re-sticking acid. The results thereby obtained suggest the model we propose. To reduce acid evaporation and re-sticking, we tried to use an overcoat layer. The overcoat layer was found to reduce acid evaporation and be useful for reducing resist profile dependence on EAR.
Evaluation of I-line and DUV photoresists for high-density optical disc mastering
Roland Tacken, Elise C Rodenburg, Mark van der Veer, et al.
The next generation in the optical media family which follows Compact Disc and DVD formats, will be a high density format that contains up to 25 GB of information on a surface area similar to that of a Compact Disc. The information is recorded as a spiral track of pits on a master disc by applying a lithographic process where a focused and modulated laser spot exposes a positive photoresist (`mastering' process). Compact Disc and DVD formats can typically be mastered with 413nm Kr-laser and conventional broadband novolak/DNQ-photoresists. When striving for higher densities, a reduction in exposure wavelength is needed to obtain a smaller laser spot and thus to create smaller pits. A frequency-doubled Ar laser is therefore chosen as recording laser, (lambda) = 257nm. The design of a DUV exposure tool will be outlined. The wavelength reduction is insufficient to obtain the required reduction in feature size. Consequently, a higher contrast photoresist process is necessary. Different types of broadband, I-line and chemically amplified photoresists were evaluated for this specific application. The main target was to obtain maximum resist contrast ((gamma) ) and minimal feature size. The maximum resist contrast obtained was 3 with I-line resist and 8 using a chemically amplified type. Features of 120 nm wide and 85 nm high were mastered. The photoresist layer thickness varies between 40nm and 85nm. The I-line photoresist with the highest contrast is Shipley Ultra-i-123. With this type of photoresist an optical disc with a capacity of 20.7GB of information has been produced. The quality of such a disc is indicated by the time resolution in the read-out signal, expressed as the so-called jitter (=time error/clock time). For the optical disc with 20.7GB of information the measured jitter equals 7.5% which is regarded as acceptable in optical read-out signals. For these results the process has been optimized by varying developer concentration, developer type and the exposure dose. Decreasing the concentration of hydroxide in metal ion containing developer (sodium and potassium based) increases the contrast and decreases the surface roughness. Due to the limits of I-line photoresist at 257nm wavelength further experiments with chemically amplified (3.2) and 257nm photoresists (3.3) have been carried out. Airborne molecular contamination causes some problems with the use of chemically amplified photoresist.
Toward 0.1-um contact hole process by using water-soluble organic overcoating material (WASOOM)-- Resist flow technology III: study on WASOOM, top flare, and etch characterization
Jun-Sung Chun, Chang Ho Maeng, Mark R Tesauro, et al.
In our previous experiment for sub-0.15micrometers contact hole, we used water-soluble organic over-coating material (for short: WASOOM) as a barrier layer to distribute thermal stress evenly from top to bottom of contact hole resist. It is assumed that WASOOM inside a contact hole will be acting as a barrier layer (or buffer) so that overhang can be reduced. In this paper we will describe a 0.1micrometers contact hole process with well controlled DICD and good etch profile. In order to get a good WASOOM for this study, lots of water soluble polymers have been evaluated. Our methods for resist flow technique use WASOOM's property that it should not react with photoresist at high temperature. The criteria and chemistry of good WASOOM materials will be described for further study. In addition to WASOOM material, we will also explain the results of top flare by using PVP based WASOOM and appropriate etch processes. For etching characterization, we used C5F8 chemistry for the initial study and then later on it is found that there is some etch stop issue which appears related to surface carbon contamination of the etch front coming from C5F8. This surface contamination issue will be also investigated. Mixed C5F9/CF4 chemistry was introduced to make a more robust etching process. This uses the carbon polymerization of C5F8 for good etching profile and adds a small portion of CF4 to generate radical CF species which will prevent etch stop.
Ar ion implantation into resist for etching resistance improvement
Atsumi Yamaguchi, Akihiro Nakae, Kouichirou Tsujita
Argon (Ar) ion implantation into resist pattern was investigated and the remarkable improvement of the etching resistance was confirmed on various films such as tungsten, aluminum copper, silicon oxide and silicon nitride. The possibility to make resist thickness thinner was proven. Ion dose more than 1E15/cm2 was necessary to obtain a sufficient effect, so that shrinking of resist thickness and pattern width occurred simultaneously. The dependence of pattern shrinking on the line width was observed. Line width uniformity within a wafer was improved because of high etching resistance by ion implantation process. Line edge roughest (LER) or resist pattern was also reduced by ion implantation and smooth etched pattern could be formed. Although same effects were obtained for ArF resist, the shrinkage of ArF resist after ion implantation was more than that of KrF resists. The improvements in etching resistance and critical dimension (CD) control will be discussed in this article.
Dissolution performance of device pattern with low-impact development
Shinichi Ito, Kei Hayasaki, Hiroko Nakamura
For low-k1 lithography, high accurate control of the development process is required. For that purpose, low- impact dispensing is one of the most effective approaches. In that process, development time differs between start and end position of nozzle-scan. To reduce the time lag, the nozzle-scan-speed of 140mm/s was selected. But critical dimensions (CD) offset that depends on scan-direction was detected. From the results of the CD and dissolution performances for three resists, we found that the pull-back flow of the developer was the main cause of the CD offset. Thus, it is important that the developer does not flow by its pull-back-force. By observing and analyzing the flow of the dissolution product with a video camera, the best condition of the scan-speed (=60 mm/s) was selected. Under this nozzle-scan condition, the dissolution rates did not depend on the scan-direction of the dispenser-nozzle. As a result, the small CD offset could be observed for 200nm L&S patterns.
Novel 193-nm photoresist based on Olefin-containing lactones
Kwang-Sub Yoon, Dong-Won Jung, Sook Lee, et al.
In this work we have studied new types of olefin-containing alicyclic lactones such as (alpha) -angelicalactone(AGL), (gamma) -methylene- (gamma) -butyrolactone((gamma) -MBL), (alpha) -methylene- (gamma) -butyrolactone((alpha) -MBL) and their derivatives. Particular attention was given to (alpha) -BML derivatives, which are readily synthesized. The relative monomer reactivities of the various lactones were found to be quite different. However in the case of (alpha) -MBL and its derivatives they have good radical reactivities with methacrylates and maleic anhydride. Methacrylate derivatives with acid-labile protecting groups were introduced for dissolution contrast. To further promote adhesion the relative ratios of maleic anhydride and norbornylene derivatives was optimized. These novel resists resolve 120nm L/S with conventional illumination (NA=0.6, (sigma) =0.7) and 0.6micrometers DOF with annular illumination (NA=0.6, (sigma) $=0.6/0.8). And also 100nm L/S resolution was achieved using strong off-axis illumination. Oxide etch resistance was found to be equivalent to acetal based KrF resists. Post exposure delay (PED) stability of more than 1 hour was achieved.
Effect of end group structures of methacrylate polymers on ArF photoresist performances
Hikaru Momose, Shigeo Wakabayashi, Tadayuki Fujiwara, et al.
The relationship between the sensitivity of ArF photoresist and the end group structures of copolymers consisting of (beta) -hydroxy-(gamma) -butyrolactone methacrylate (HGBMA) and 2-methyl-2-adamantyl methacrylate (MadMA) was investigated. The sensitivity is strongly dependent on the kind and amount of end groups. It has been found that the copolymer with relatively non-polar end group structure has higher sensitivity than that with polar end group structure, and that the sensitivity of copolymer with end groups of methylisobutyrate and 1-octhylthio moieties showed approximately three times higher than that of copolymer with end groups of isobutyronitrile and 2-hydroxyethylthio moieties. The difference of sensitivity among these copolymers has been discussed from the view point of the change of development rate attributed to the amount of carboxylic acid groups formed in the resist film by exposure of 193nm light.
Lithographic behavior of carboxylate-based dissolution inhibitors and the effect of blending
Francis M. Houlihan, Zhenglin Yan, Elsa Reichmanis, et al.
We report on a lithographic study of the behavior of non- cholate tert-butylcarboxylate dissolution inhibitor (DI's) formulated in a poly(norbornene/maleic anhydride/acrylic acid/tert-butyl acrylate) 193 nm single layer resin. A comparision is made between formulations containing non- cholate DI's, cholate based DI's and formulations containing blends of the two different types of DI's. It was found that formulations containing the non-cholate materials tended to give T-topped profiles while the formulations containing cholate based materials and blends containing as little as 1% cholate based DI did not.
Investigation of electron beam stabilization of 193-nm photoresists
Myoung-Soo Kim, Jong-Woon Park, Hak-Joon Kim, et al.
193nm lithography is a promising candidate for the fabrication of microelectronic devices at the 130nm design rule and below. With smaller feature sizes, below 130nm, reduced resist thickness is essential because of the pattern collapse issues at high aspect ratios and the limited depth of focus with 193nm lithography tools. However, ArF resists have shown problems with etch selectivity, especially with the thin resist layers necessary. Additionally, pattern slimming during CD-SEM measurement, due to the nature of the resist chemistry, is an issue with feature stability after patterning. At present, many studies have been performed for improving the etch selectivity of resists and addressing line slimming issues. In this study, the electron beam stabilization process has been applied for improving the etch selectivity of resist patterns having an aspect ratio less than 3.0. The electron beam stabilization has been applied to two different ArF resist types; acrylate and cyclic-olefin- maleic-anhydride (COMA), which have been evaluated with respect to materials properties, etch selectivity, and line slimming performance as a function of electron beam dose and etch condition. Film shrinkage and the change in index of refraction were monitored as a function of stabilization condition. The chemical properties were characterized before and after electron beam stabilization using FTIR analysis. Blanket resist etch rate studies were performed as a function of stabilization condition for each resist type. Cross- sectional views of resist patterns after etch processing were also investigated to evaluate the improvement in etch resistance provided by the electron beam process. CD SEM measurements were performed to evaluate the impact of the stabilization process on the patterned features. The issue of line slimming has also been evaluated, with and without electron beam stabilization, for the different ArF resist materials considered. The results were compared with a KrF resist currently used in production. Based on the experimental results, the electron beam process provides a method for improving etch selectivity and reducing line slimming issues of ArF resists.
Negative-tone cycloolefin photoresist for 193-nm lithography
ShihChi Fu, Kuo-Huang Hsieh, Lon A. Wang
The chemistry of acid-catalyzed dehydration reaction and followed by crosslinking of the tert-alcohol group in the cycloolefin photoresists was used to tailor the performance of the photoresists for 193nm lithography. A radiation- sensitive photoacid generator (PAG) in this chemically amplified photoresist (CAMP) can change the polarity of the exposed area of the resist and exhibit a negative-tone behavior. The cycloolefin resists are synthesized by the free radical copolymerization of alicyclic monomer and maleic anhydride, and/or by the cationic polymerization of alicyclic monomer via Pd catalyst followed by the attaching of tert-alcohol group in to the resist. The side reaction of cycloolefin copolymer was observed at the temperature below the post exposure baking (PEB) temperature, but this problem can be eliminated by the introduction of isobornyl methacrylate into the polymer. The lithographic performance of the resists was investigated by using isopropyl alcohol as a developer under various processing conditions. The results demonstrate that these resists are the promising candidates for being used in 193nm lithography.
Sumitomo resist on various BARC substrates using SVGL micrascan 193
Martha M. Rajaratnam, Greg H. Baxter, Mark Riggs, et al.
We used the SVGL Micrascan 193 at International Sematech to evaluate four Sumitomo resists on four BARC products. The Sumitomo resists reviewed were PAR 710A35 and PAR 71835 for sense lines, PAR 710A2 and PAR 722A3 for contact holes. We evaluated isolated line performance using PAR 715A25 and PAR 710A35. The BARC products evaluated were SiON and three commercially avaialable organic systems. BARC reflectivity curves were generated for each of the BARC chemistries to determine proper BARC thicknesses. Following resist apply and bake processing, the wafers were subjected to ArF open frame exposures on an SVGL Micrascan 193. After post exposure bake and development, the energies required to clear the exposed region(E0) were noted. Film thickness versus E0 value was plotted to generate swing curves and resultant EMIN and EMAX resist thickness points. Dense line performance was evaluated with quadrapole illumination (0.7/0.1). Isolated line and contact hole performance was evaluated using 0.6NA/0.6PC illumination, with the exception of PAR 710A35, where we evaluated isolated line performance of PAR 710A35 using quadrapole illumination (0.7/0.1). All evaluations were made at a consistent point in the illumination slot and scan of a 26 x 34mm field, each field containing through focus conditions at doses varying 5% for each respective field. The dense and isolated line features were stepped through focus in fifteen 70nm steps, while the contacts were stepped eleven times in 100nm micro steps. The samples were prepared with 3.0nm of AuPd. The critical dimensions (CD) targeted were 120nm for dense lines, 120nm and lower for isolated lines, and 150nm for contact holes. Focus auto calibration and illumination uniformity calibrations were run before the actual exposure of the wafers to ensure the tool was within specifications. Wafers were exposed to determine the nominal exposure dose. Wafers for cross section SEM were exposed and broken to evaluate footing, line width and depth of focus (DOF) performance.
Design and performance of photoresist materials for ArF lithography
Hyun-Woo Kim, Dong-Won Jung, Sook Lee, et al.
In this paper we review the design and performance of ArF resists developed from various polymer platforms. Inadequate etch performance of early ArF acrylate platforms necessitated the development of new etch resistant platforms, in terms of both etch rate and etch uniformity. Two resist platforms were developed to address etch resistance: 1) alternating copolymers of cyclic olefins and maleic anhydride (COMA); and 2) polycycloolefin polymers (CO). Improvements have been made in the imaging performance of these resists, such that they now approach the lithographic performance of acrylate based resists. Recently, a third platform based on polymerization of vinyl ethers with maleic anhydride (VEMA), which has excellent etch performance, was developed by Samsung. Here we will focus our discussion on acrylate, COMA and VEMA based resists.
Postmodification as a way to improve the lithographic performance of resist materials
Wenjie Li, Pushkara Rao Varanasi, Margaret C. Lawson, et al.
Monomer components and composition of a resist polymer have large influence on its lithographic performance. Finding the right components and composition for a resist polymer involves laborious systematic synthesis of different polymers. On the other hand, post-modification offers a convenient way to incorporate desired functional groups into an existing polymer and hence improve its lithographic performance and mechanical properties. Using this method, different groups could be quickly tested and the results could direct the future design of new resist materials. Another advantage of this method is that functional groups which are sensitive to the polymerization condition could also be incorporated. In this paper, we demonstrated the feasibility of using the post-modification method. Through a simple esterification reaction in which the acid groups react with alkyl halides in the presence of 1,8- diazobicyclo[5.4.0]undec-7-ene(DBU) or trialkylamine, three different functional groups were incorporated into the existing Ibm Version 2 (V2) methacrylate polymer. The post- modification reaction gave high yield and it is possible to control the percentage of the acid groups modified by the initial feeding ratio fo the alkyl halide and the carboxylic acid. Depending on the structures of the added groups, the post-modified resist materials demonstrated improved lithographic performances such as better compatibility towards 0.26 N developer and better etch-resistance than the precursor materials. The synthesis, characterization and lithographic performance of the modified polymers are presented.
ArF resist for contact hole application
K. Rex Chen, Margaret C. Lawson, Timothy Hughes, et al.
In building the cyclic olefin addition polymer as a potential platform for 193nm contact hole application, we have encountered an unusual bottlenecking or lipping profile. We have investigated the causes and possible cures of this lipping profile in contact hole printing. The lipping was found to be tool dependent, mask dependent as well as duty cycle dependent. Several treatments were evaluated in terms of their ability to reduce or eliminate the lipping profile. These included various flood exposures, pre wet during development, the use of additives in the resist formulation and various surfactants added to TMAH developer. Among them, the most effective way to eliminate the lip profile was found to be modification of the developer by the addition of surfactants. A proper surfactant was selected to provide better wetting for the resist which resulted in the elimination of the lip profile. In addition, resist formulation changes were also beneficial in reducing the lipping profile. This study will present the results of designed experiments which investigated several different treatments and the resultant impact on profile quality.
Novel chemically amplified positive resist containing acetal-type crosslinker of poly(3,3'-dimethoxypropene) for 193-nm top surface imaging process
Application of a top surface imaging process by silylation (TIPS) to ArF excimer lithography is desirable for ULSI production with minimum feature size below 0.12micrometers . It provides high etch resistance and controls non-uniform reflectivity in the multiple film layers over topography and shows superior characteristics in terms of adhesion and resist pattern collapse compared with single layer resist process of wet development. The processes for top surface imaging have been improved by many groups, however, the design of photoresist for TIPS in 193nm lithography has been considerably limited due to its characteristics like energy sensitivity, silylation selectivity. Positive-tone chemically amplified TSI resist of crosslinking type is more appropriate for sub-100nm lithography in consideration of adhesion and resist rigidity. A new class of positive phororesist containing polyvinylphenol and novel acetal type crosslinker of poly(3,3'-dimethoxypropene) was developed for 193nm top surface imaging process by silylation. This new resist containing acetal type crosslinker is quite different with those practiced in traditional DUV and ArF lithography. Novel crosslinker was synthesized from polyacrolein with a yield of 90%. And then the silylation properties and lithography performances of the resist were investigated for 193nm top surface imaging process using gas-phase 1,1,3,3- tetramethyl disilazane(TMDS) as a silylating agent. The minimum pattern size of 0.12micrometers L/S was defined after dry development at a sensitivity of 2 mJ/cm2 with annular illumination using ArF microstepper having 0.60 NA. In this paper, the material characteristics of novel resist containing acetal type crosslinker of poly(3,3'- dimethoxypropene) and lithographic performances is reported for 193nm top surface imaging process by silylation.
Organic BARC process evaluation for via first dual-damascene patterning
Cher-Huan Tan, Moitreyee Mukherjee-Roy, Woo-Min Jo, et al.
BARC (Bottom Anti-Reflective Coating) is used to minimize thin film interference effects such as swing curve, standing waves and resist notching in the photolithography process. In the 'via first' dual damascene approach, BARC also acts as a protecting layer for the substrate underneath the via during the trench etch step. Conformal BARC is normally used for the patterning due to its uniform film thickness over the surface topography, which can provide good CD control. However, conformal BARC may not be able to provide sufficient substrate protection at the via bottom as BARC film remaining in the via may not be thick enough. In comparison, planarizing BARC has better via filling property which can provide sufficient protection for the via bottom substrate. In this study, four different BARCs; conformal and planarizing at two different viscosities, were evaluated for the 'via first' dual damascene copper patterning process. Low viscosity BARC was used to obtain a thin BARC coating for the partial via filling, while high viscosity BARC was used for full via filling process. We evaluated the performance of BARCs for via filling, depth of focus, exposure latitude, iso-dense feature bias and CD control. Dual damascene pattern was etched using two different etch recipes and we compared the performance of all the four BARCs for final etched pattern formation.
Development of 193-nm organic BARC
Takahiro Kishioka, Shinya Arase, Kazuhisa Ishii, et al.
Bottom Anti-Reflective Coatings (BARC) for ArF Lithography Technology were developed using a polymeric system, which was thermally stable to sustain high temperatures encountered in bake process. On Lithographic performance, the shape of photoresist pattern on new BARC was controllable by the additives in BARC composition. For example, NCA429 was compatible with PAR710(sumitomo ArF photo resist). New ArF BARC also have better properties suitable for current process than existing ArF BARC.: Firstly, the etch rate was about 1.3-1.5 times higher than that of PAR710. New BARC was able to be etched faster than existing BARC. Secondly, spin bowl crosslinking issue of BARC in spin cup and drain was solved to wash by photoresist solvent, because it was hard to crosslink without baking.
High-etch-rate type 248-nm bottom antireflective coatings
Tomoyuki Enomoto, Shinya Arase, Kenichi Mizusawa, et al.
A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. Recently, reduction of chip size is speeded up and device feature sizes shift to sub 0.15 micron meter with KrF lithography techniques. The thickness of photoresist is decreasing with reduction of device feature sizes. So, requirements of new 248nm BARC are higher etch rate than the existing 248nm BARC. High etch rate type 248nm BARC developed with the objective being a commercial product. The suitable high performance 248nm BARCs, NCA300 series, were made. Using CF4 gas as etchant, the plasma etch rate of NCA300 series were about 1.6 tiems higher than that of 248nm photoresist and about 1.2 times higher than that of our existing BARCs, DUV42 or DUV44. Using CF4/O2 mixture gas as etchant, the plasma rate of NCA300 series were about 1.5 times higher than that of 248nm photoresist and about 1.1 times higher than that of DUV42 or DUV44.
New fast-etching bottom antireflective coatings for 248-nm lithography
Rama Puligadda, Runhui Huang, Chris Cox, et al.
As the critical dimensions for the feature sizes shrink, the thickness of the photoresist layer decreases to enable patterning without collapse of the photoresist structure. Simultaneously, the use of an antireflective coating underneath the photoresist layer becomes imperative for achieving good critical dimension control. The thickness of the bottom antireflective coating (BARC) and its etch rate relative to the photoresist determine how much resist is lost during the dry etch step. In order to minimize resist loss during BARC etch, we have designed BARC compositions that have high etch selectivity and optical constants (high n and high k) that make it possible for the BARC to be used much thinner than the existing BARCs. Furthermore, the new BARC compositions are single component systems and are therefore relatively simple to produce compared to typical BARCs. The polymer that forms the coating has high absorbance at 248nm and is also capable of crosslinking in the presence of an acid catalyst at elevated temperatures. These organic coatings are immiscible with photoresists and are not affected by the base developer. In this paper, we will report the etch properties, optical properties and compatibility with photoresists of these new coatings.
Development of full-fill bottom antireflective coatings for dual-damascene process
Yubao Wang, Xiaoming Wu, Gu Xu, et al.
Among the variety of dual damascene (DD) processes, the via- first approach has drawn much attention because of its reduced process steps and improved photolithography process window. The via-first process requires a layer of via-fill material to be applied beneath the photoresist layer. The primary function of this via-fill materials is to act as an etch-block at the base of the vias to prevent over-etching and punch-through of the bottom barrier layer during the trench-etch process. However, such materials also help to planarize the substrate and may limit back reflection from the substrate as well, helping to control the critical dimension (CD) of the printed features. Based on this understanding, our research efforts have been focused on the advancement of DD-applicable bottom antireflective coatings (BARCs). A series of novel planarizing DUV BARCs with full- via-fill properties and enhanced etching selectivity to resists have been developed. They showed good full-fill, void-free performance in 0.20micrometers vias having an aspect ratio of five, also sufficient top coverage i.e., enough coating thickness, low surface variation, and little thickness bias of isolated-via (1:10) area versus dense-via (1:1) area. The resist sidewall profiles with features sizes less than 0.20micrometers indicated that there was good compatibility of the BARCs with the resists. The thin film etching selectivity to commercial resists was about 1.2:1 under an Hbr/O2 atmosphere. A study of the BARCs described in this report allows further discussion of the impact of pattern density, feature size, and processing conditions on BARC coating performance.
Improved crosslinkable polymeric binders for 193-nm bottom antireflective coatings (BARCs)
The list of desired properties for a spin-on 193-nm BARC steadily increases. In response, crosslinkable polymers from different chemical families than the conventional acrylics and vinyls are being studied for applicability in preparing improved thermosetting BARCs. Alternate polymer platforms discussed in this paper include polyethers, polyesters, polyurethanes, and polysaccharides. A BARC that uses a blend or mixture of commercially-available polymers for the binder is highlighted and the product's performance is described. The BARC parameters that are discussed include film properties, flash point, optical data and reflectivity, solution and spin-bowl compatibility, plasma etching rate, resist profile, conformality, and metals content. Based on the test results outlined in this paper, the polymer blend BARC JM2218-56 is expected to advance towards commercialization.
Novel conformal organic antireflective coatings for advanced I-line lithography
Shreeram V. Deshpande, Kelly A. Nowak, Shelly Fowler, et al.
Flash memory chips are playing a critical role in semiconductor devices due to increased popularity of hand held electronic communication devices such as cell phones and PDAs (personal Digital Assistants). Flash memory offers two primary advantages in semiconductor devices. First, it offers flexibility of in-circuit programming capability to reduce the loss from programming errors and to significantly reduce commercialization time to market for new devices. Second, flash memory has a double density memory capability through stacked gate structures which increases the memory capability and thus saves significantly on chip real estate. However, due to stacked gate structures the requirements for manufacturing of flash memory devices are significantly different from traditional memory devices. Stacked gate structures also offer unique challenges to lithographic patterning materials such as Bottom Anti-Reflective Coating (BARC) compositions used to achieve CD control and to minimize standing wave effect in photolithography. To be applicable in flash memory manufacturing a BARC should form a conformal coating on high topography of stacked gate features as well as provide the normal anti-reflection properties for CD control. In this paper we report on a new highly conformal advanced i-line BARC for use in design and manufacture of flash memory devices. Conformal BARCs being significantly thinner in trenches than the planarizing BARCs offer the advantage of reducing BARC overetch and thus minimizing resist thickness loss.
Planarizing ARs for dual-damascene processing
Edward K. Pavelchek, Marjorie Cernigliaro, Peter Trefonas III, et al.
Via fill performance of AR7 (KrF anti-reflectant) and a prototype 193nm anti-reflectant were measured for 600 and 1000 nm deep vias in thermal oxide. Simple fitting functions were found which gave good agreement with experimental data (Rsq over 0.84). The most important factors were AR thickness, via duty ratio and via depth. The importance of these factors was different for the different anti-reflectants.
SU8C resist for electron beam lithography
Wing Han Wong, Edwin Y. B. Pun
Electron beam lithography for the fabrication of direct write binary optical elements is a challenge issue. In order to fulfill such requirement, the selection of electron beam resist is very critical. In this paper, we introduce a new type of resist, SU8C with modified solvent, cyclopentanone, which satisfies the required criteria. The exposure characteristics of SU8C by electron beam lithography are discussed. Different parameters such as post exposure baking time, hardness, refractive index, vertical and lateral resolution were investigated. The exposure dosage of SU8C is one of the smallest among most commercially available electron beam resists. By varying the post exposure baking time, the contrast curve can also be altered. With near-unity contrast, multilevel profiles up to 16 levels were fabricated with variable widths. This was demonstrated by adjusting the clock frequency of the e- beam system, which in turn varies the absolute dosage exposure. With relative high refractive index and high resolution, SU8C is suitable for the fabrication of optical elements, including optical waveguides, lens arrays and grating structures. Binary optics element fabricated using SU8C was demonstrated, and the corresponding optical properties were measured.
New advances in resist system for next-generation lithography
Yongqi Hu, Wei He, Kenneth E. Gonsalves, et al.
A novel nanocomposite resist system was developed for sub-100 nm resolution e-beam lithography by dispersing surface-treated silica nanoparticles in a commercial ZEP520 resist. At 4.0 wt % loading of silica nanoparticles, the system exhibited a much higher resolution than ZEP520 without sacrificing the intrinsic sensitivity and contrast of the starting polymer. The first major result is that 46 nm-wide isolated lines were obtained in the nanocomposite system (~ 250 nm thick layer), whereas comparatively 130 nm-wide lines were obtained in ZEP520 under the same experimental conditions. Interestingly, this dramatic reduction of line broadening already occurred at 20 keV while higher energy e-beams (up to 100 keV) did not lead to further line broadening reduction. Moreover, it was shown that the addition of silica nanoparticles resulted in a higher resistance of the nanocomposite to plasma etching with O2 gas. Extending the nanocomposite approach to the KRS-XE resist led to both enhanced resolution and mechanical stability. The major resolution improvement in both systems indicates that nanocomposite systems are promising candidates for sub-100 nm resolution e-beam lithography. A mechanism, explaining the electron-nanocomposite interactions at the origin of line broadening reduction, is proposed and tentatively backed by preliminary Monte Carlo simulations.
Creation of low-molecular-weight organic resists for nanometer lithography
Toshiaki Kadota, Motoko Yoshiiwa, Hiroshi Kageyama, et al.
Several amorphous molecular materials that function as positive or negative electron-beam resists, to which we refer as molecular resists, have been created. They include 1,3,5-tris[4-(4-toluenesulfonyloxy)phenyl]benzene (TsOTPB), 1,3,5-tris[4-(tert-butoxycarbonylmethoxy)phenyl]benzene (BCMTPB), 4,4',4'-tris(allylsuccinimido)triphenylamine (ASITPA), 1,3,5-tris[2-(4-vinylphenylcarbonyloxy)phenyl]benzene (o-VCTPB), 1,3,5-tris[3-(4-vinylphenylcarbonyloxy)phenyl]benzene (m-VCTPB), and 1,3,5-tris[4-(4-vinylphenylcarbonyloxy)phenyl]benzene (p-VCTPB). These molecular resists permitted the fabrication of line patterns with a high resolution from 150 to 70 nm on exposure to an electron beam.
Photolithographic evaluation of deep UV resist materials for mask-making applications
This paper discusses methods used for photoresist selection and etch processing for laser mask patterning tool characterization. A major requirement of a deep ultraviolet (DUV) resist is that is has a storage capability of more than 90 days. This means the material does not have to be coated on demand to deliver exceptional lithographic performance. Process difficulties in the development and implementation of an advanced DUV maskmaking solution and how they are being addressed is also described. The purpose of this paper is to provide a look at the resist, develop, and etch processes being developed at Etec Systems, Inc. for DUV maskmaking applications. Key topics are etch characterization and resist process optimization at 257nm associated with the migration to DUV from i-line manufacturing environments and turning from wafer to mask patterning applications. The paper also shows results of work being done to assess alternative resist chemistries in an attempt to maintain a precoated mask blank option for mask shop use. The paper points out issues to be considered when moving from diazoquinone (DNQ) chemistry to chemically amplified resists (CAR) processing in a mask manufacturing environment.
Control of line edge roughness of ultrathin resist films subjected to EUV exposure
Manhyoung Ryoo, Shigeru Shirayone, Hiroaki Oizumi, et al.
The line edge roughness (LER) of ultrathin chemically amplified (CA) KrF-resist-based films was investigated using exposure to extreme ultraviolet (EUV) radiation (13.5nm). For the films between 0.09micrometers and 0.13micrometers thick, the LER was about 5~7% for a target critical dimension (CD) of 70nm and exposure to coherent illumination ((sigma) =0.01). The LER was found to be smaller in samples containing a relatively strong-acid photo-acid generator (PAG). The use of baking conditions producing greater acid diffusivity and the use of weak developer were very effective in reducing the LER of thin resist films. Atomic force microscope (AFM) observations showed the surface morphology of samples with a small LER to be very uniform. These results suggest that the use of a high-sensitivity resist and a weak developer may help to create an environment promoting uniform dissolution, thus resulting in a smaller LER in thin resist films.
Improved notch model for resist dissolution in lithography simulation
The use of experimental development rate information is used to demonstrate various deficiencies in the dissolution rate equations commonly employed in commercial lithography simulation programs. An improved version of the Notch dissolution rate equation, incorporating one new parameter, is proposed, which addresses the observed deficiencies. Simulation work comparing the new equation to the standard Notch model reveals significant differences in process window and exposure margin, yet negligible changes in feature profile and iso-dense bias at best focus and exposure.
Improved resolution of thick film resist (verification by simulation)
In the past there have been almost no analyses of mechanisms to achieve higher resolutions and higher aspect ratios in thick film resist processes. In this work, the authors measures the effects of water in a thick film resist on indenecarboxylic acid generation in thick film resist image formation, and studies the mechanism of resolution enhancement through hydration processes. In addition, a development rate measurement system, a system for analysis of resist reactions during exposure, and lithography simulations are employed to simulate profiles in thick film resists. Calculation results are then compared with actual resist profile. Specifically, a diazonaphthoquinone (DNQ)- novalic positive thick film resist is used; after coating to a thickness of 22micrometers and prebaking, the sample is subjected to dehydration in a vacuum desiccator, samples are prepared both immersed in Water and without such immersion, and photochemical reaction rates are measured during exposure, while also measuring development rates. From the measured results for photochemical reaction rates of the resist during exposure, the state of indenecarboxylic acid generation can be determined. The development rate data is inputted to the SOLID-C lithography simulator, thick film resist simulations are executed, and the results were compared with actual SEM observations of resist profiles. From the results of analyses of photochemical reactions during exposure, it is confirmed that differences in water quantity in the resist affect the generation o findenecarboxylic acid. Simulation results also confirms that by immersing the resist in water, the resolution is enhanced. The general trends of simulation results and actual patterning are in agreement, and it is concluded that in thick film resist, resolution is improved when water is present in the resist in sufficient quantities for idenecarboxylic acid generation.
Simulation of 193-nm photoresists based on different polymer platforms
Chemically amplified resist models for Shipley 193nm resists S6 and V2 were developed for use with commercial lithographic modeling software. S6 and V2 are based on methacrylate and vinyl ether/maleic anhydride polymer platforms, respectively, and contain an onium salt photoacid generator and proprietary base quencher. Fundamental parameters for these resists were determined experimentally and subsequently tuned to establish valid models. Current modeling algorithms appear sufficient to predict the lithographic behavior of typical features of interest. Experimental measurements that indicate that these 2 resists are similar with respect to acid photogeneration efficiency (0.04 cm2/mJ), polymer deprotection rate constant (0.05- 0.1 l/s), and developer selectivity. However, S6 exhibits greater transparency (0.35 1/micrometers vs. 0.5 1/micrometers for V2), lower acid diffusion, and greater surface inhibition. V2 exhibits considerably smoother dissolution.
Development of a bond contribution model for structure: property correlations in dry etch studies
Tianyue Yu, Philip Ching, Christopher Kemper Ober, et al.
Plasma (dry) etching is a key step in semiconductor device manufacturing processes whereby the resist pattern is transferred to a substrate. As the resist thickness is reduced to meet stringent transparency requirements in photolithography, the usage of fast etching material as BARC is considered to be increasingly critical in minimizing resist thickness loss in pattern transfer steps. Several models emphasizing correlation between polymeric structure and etch resistance based on empirical parameters have been developed but are hard to generalize. We have examined the reactive ion etch (RIE) properties of a variety of polymer groups including natural polymers, poly(styrenic)s, poly(acrylate)s, poly(olefin)s, poly(ester)s and several polymers grafted with UV light absorbing chromophores. With the assumption that in the etching processes the reactive species from plasma attack the polymeric materials at a molecular level instead of an atomic level, we have developed a model based on the contribution of chemical bonds in the polymer structure to predict etch rates. The present study shows that this model revealed marked correlations across polymer families for three different etch processes. This model has also proved to be an effective tool in predicting the etch behavior of polymers for use in BARCs.
Quantitative description of phenolic polymer dissolution using the concept of gel layer
Se-Jin Choi, Joon Yeon Cho
New model using the concept of gel layer was recently presented that aimed to provide a theoretical interpretation for experimental data of dissolution behavior to control the lithographic performance of the photoresist. The dependence of the dissolution rate of phenolic polymer on the aqueous base concentration and molecular weight of resin can be analytically described by mathematical modeling considering the formation of gel layer, which is formed by the entry of aqueous base and deprotonation of some of the phenol group. The new polymer dissolution model is based on the suggested mechanism that the diffusion of base and deprotonation reaction of the phenolic group of polymer take place simultaneously through a gel layer. The fundamental equation, which is derived form the concept of gel layer, correctly fits experimental data for aqueous base concentration and molecular weight dependence of dissolution rate of phenolic polymer. In addition, the model can predict the experimentally critical minimum base concentration below which dissolution is no longer observed. As a result, the mathematical expression by this approach offers a fully quantitative and analytical understanding of the dissolution rate.
Modification of development parameters of 193-nm chemically amplified resist with pattern density
Eun-Jung Seo, Young-Soo Sohn, Heungin Bak, et al.
It is necessary to have more appropriate resist parameters for a lithography simulator to predict the real photoresist profile. Especially, the development parameters can be crucial to mimic the real process. It has been reported that the development parameters of photoresist with or without underlying patterns are different. Since pattern density could affect the development parameters of the photoresist, the development parameters need to be modified for better simulation. We studied the changes of development parameters due to pattern density underlying photoresist and compared the simulated resist profiles with SEM microphotogrpahs. First, we obtained the development parameters by flood exposure experiment and applied them to our lithography simulator LUV. The simulated resist profile was then compared to SEM microphotograph. Second, we tried to modify the development parameters for the simulated resist profile to match SEM photograph. The development parameters should be modified according to the pattern density for more accurate lithography simulation. We also determined the relationship between the changes of the parameters and the pattern density. To investigate the effect of the modification we analyzed the line width differences before and after the modification.
Analysis of the relation between exposure parameters and critical dimension by response surface model
Dong-Soo Sohn, Young-Soo Sohn, Heungin Bak, et al.
It is important to know the relationship between the soft bake conditions and the Dill exposure parameters in order to control the lithographic process well. It has been reported that exposure parameter A can be significantly affected by the soft bake conditions, while the exposure parameters B and C show no dependency on the soft bake conditions. The exposure parameters have been considered less important in 193 nm chemically amplified resist (CAR) simulation. Since the critical dimension variation depends on the exposure parameters, if we know the relationship between them it would be helpful in developing resist and resist process. In this paper the profiles of a 193nm CAR were simulated with the various Dill exposure parameters and the results were analyzed by response surface model. The response surface methodology (RSM) approach was used to analyze the influence of independent factors on a dependent response, and to optimize each process. A method of steepest ascent was utilized to produce first-order models, which were verified by lack of fit testing. As optimum operation points were approached, a second-order model was fitted and analyzed. The Dill exposure parameter C affects critical dimension greatly whereas A and B have much less effect. Among parameters other than exposure parameters, PEB time and PEB temperature are great factors to affect critical dimension. Even small change of them can make great critical dimension changes. Process optimization for the target response value as well as process latitude was possible through the use of the response surface.
Survey of chemically amplified resist models and simulator algorithms
Ebo H. Croffie, Lei Yuan, Mosong Cheng, et al.
Modeling has become indespensable tool for chemically amplified resist (CAR) evaluations. It has been used extensively to study acid diffusion and its effects on resist image formation. Several commercial and academic simulators have been developed for CAR process simulation. For commercial simulators such as PROLITH (Finle Technologies) and Solid-C (Sigma-C), the user is allowed to choose between an empirical model or a concentration dependant diffusion model. The empirical model is faster but not very accurate for 2-dimension resist simulations. In this case there is a trade off between the speed of the simulator and the accuracy of the results. An academic simulator such as STORM (U.C. Berkeley) gives the user a choice of different algorithms including Fast Imaging 2nd order finite difference algorithm and Moving Boundary finite element algorithm. A user interested in simulating the volume shrinkage and polymer stress effects during post exposure bake will need the Moving Boundary algorithm whereas a user interested in the latent image formation without polymer deformations will find the Fast Imaging algorithm more appropriate. The Fast Imaging algorithm is generally faster and requires less computer memory. This choice of algorithm presents a trade off between speed and level of detail in resist profile prediction. This paper surveys the different models and simulator algorithms available in the literature. Contributions in the field of CAR modeling including contributions to characterization of CAR exposure and post exposure bake (PEB) processes for different resist systems. Several numerical algorithms and their performances will also be discussed in this paper.
Three-dimensional post-exposure modeling and its applications
Lei Yuan, Mosong Cheng, Ebo H. Croffie, et al.
A three-dimension post-exposure bake (PEB) simulator (STORM3D) is described with improved algorithms for effectively simulating chemically-amplified resists (CAR) on desktop computers. A new FEM algorithm that is based on variable elimination is presented and shown to reduce the simulation time by roughly a factor of four. A dramatic increase in the size of problems that can be treated with limited memory is demonstrated by the use of a frontal method. Results for latent images of the deprotection concentration are presented for T-topping and footing in the presence of pre-diffused contaminants in elbow patterns. A methodology is suggested for estimating diffusion parameters through simulation interpretation of the cross-shape profile from a sequential double exposure of orthogonal lines. The sensitivity of the methodology is illustrated through comparing corner shapes for UVIIHS and APEX-E. The improvements in STORM3D allow 9,000 node 3D problems to be simulated in about one hour for 60s PEB on a 700Mhz Dec-alpha with 256M memory.
Analysis of deprotection reaction for chemically amplified resists by using FT-IR spectrometer with exposure tool
Yasuhiro Miyake, Mariko Isono, Atsushi Sekiguchi
A Fourier transform infrared (FT-IR) spectrometer with built-in exposure tool (248nm) is used to perform in situ observations of the decomposition of protective groups (deprotection reactions) in chemically amplified resists during exposure, with the exposure ambient temperature varied. In addition, the activation energy and the prefactor of deprotection reaction necessary for lithography simulation are determined. Resist polymers used in this experiment are poly(p-hydroxystyrene)(PHS) protected by ethoxyethyl (EOE) or by tert-Butoxycarbonyl (t-BOC), and its copolymers. The activation energy is compared at room temperature (23 degree(s)C). As a result, the activation energy for EOE deprotection reaction is 8.90 kcal/mol, while for t- BOC deprotection reaction is 23.65 kcal/mol. The activation energy for EOE resist is much lower than for t-BOC resist. Progress of the deprotection reaction in EOE resist during exposure at room temperature can be explained in terms of differences in activation energies. In the copolymer resist, introduction of EOE into PHS protected by t-BOC resulted in a decrease in the activation energy required for the t-BOC deprotection reaction. Form this it is found that in a resist composed of PHS copolymer with heterogeneous protection groups attached, the interaction affect between protection groups deprotection reactions. Lithography simulations of resist profiles are performed with the activation energy and the prefactor varied, and the effect of the activation energy on the resist profile is investigated. The results indicate that patterning is possible for an exposure ambient temperature of 20 degree(s)C or higher for EOE resist, and that of 70 degree(s)C or higher for t- BOC resist.
Modeling the impact of thermal history during post-exposure bake on the lithographic performance of chemically amplified resists
In this study, the influence of the thermal history during post exposure bake (PEB) on the lithographic performance of a chemically amplified resist is examined using a reaction-diffusion model of the resist combined with an arbitrary time-temperature profile. The temperature profiles investigated in this study are either based on a simple heat transfer model or arbitrary time-temperature data. The heat transfer model allows variation of the rise time to the bake temperature, of the cooling process during transfer to the chill plate, and of the fall time to the chill plate temperature. Calculations of the dose-to-size for dense features and the iso-dense bias are presented for typical temperature profiles, and these results are contrasted with the lithographic responses for an ideal bake. Also, the lithographic response for a double bake is presented. For certain resist model parameters, the lithographic response for a higher temperature bake followed by a lower temperature bake can be significantly different from the response when the lower temperature bake precedes the higher temperature bake.
Examination of a simplified reaction-diffusion model for post-exposure bake of chemically amplified resists
For a chemically amplified resist (CAR), the simulation of the post exposure bake (PEB) process is computationally very expensive when compared with simulation of PEB for a conventional resist. The reason for the additional computational difficulty for a CAR is that the commonly accepted mechanism for acid-catalyzed deprotection of the polymer resin requires the acid to diffuse and react simultaneously. One approach to a more efficient simulation of PEB for a CAR is to decouple the reaction-diffusion model into a diffusion step followed by a reaction step. Although the decoupled approach is its accuracy compared with the original (coupled) reaction-diffusion PEB model. In this study, the reaction-diffusion model of the PEB process for a CAR is solved analytically for the case where the diffusivity of acid is constant and no base quencher is present in the resist formulation. This special case for PEB of a CAR allows a systematic investigation of the differences between coupled and decoupled reaction-diffusion models. It is shown that the dynamics of the PEB process predicted by the coupled reaction-diffusion models. It is shown that the dynamics of the PEB process predicted by the coupled reaction-diffusion model cannot be reproduced by a decoupled model. Specifically, the two models cannot be matched to predict the same dynamics for both short-time responses, such as the damping of standing wave corrugations in the resist profile, and long-time responses, such as the resist contribution to iso-dense bias or line-end shortening.
Understanding molecular-level effects during post-exposure processing
The perpetual advancement of materials and equipment for microlithography has resulted in reduction of critical dimensions to scales approaching the size of the molecules that constitute a photoresist. As a result, molecular scale effects such as line edge roughness have become an increasing concern for resist manufacturers and process engineers alike. Computer simulation of lithography has become an integral tool for both process optimization and development of new technologies. However, these simulation tools are generally based upon continuum approximation of the resist material, and are therefore unable to investigate molecular level variations. In this work we investigate the increasing importance of molecular level effects, especially in terms of the contributions of the post exposure bake (PEB) to feature roughness. A linkage has been made between a previously reported mesoscale simulation of the post exposure bake. The mesoscale simulation models discrete transport and reaction events during the post exposure bake to determine solubility variations on the scale of a single oligomeric chain. These solubility variations are then imported into PROLITH and transformed into photoresist topography using the familiar Mack dissolution model. This method has been used to simulate line-edge formation in an APEX-type resist. It is found that the distribution of photoproducts produced during exposure can lead to significant solubility variations during the PEB. These solubility variations can become manifest as roughness of resist feature topogrpahy.
Evaluation of the standard addition method to determine rate constants for acid generation in chemically amplified photoresist at 157 nm
The rate constants for acid generation (C parameter) in chemically amplified photoresist are determined for four photoacid generators (norbornene dicarboximidyl triflate, triphenyl sulfonium triflate, bis-4-t-butylphenyl iodonium perfluorooctane sulfonate, and bis-4-t-butylphenyl iodonium triflate) under exposure to 157nm radiation using a standard addition technique. The technique utilizes an in film neutralization of photogenerated acid by base quencher to determine the increase in exposure energy necessary to produce an equivalent fee acid concentration at each loading of base. We present a general model to interpret the data that also accounts for the strong absorption of radiation by the resist film. An average absorption coefficient of 13.2micrometers -1 (base e) has been measured at 157nm for these resist films. Results from 157nm irradiation are compared to deep ultraviolet and ionizing radiation, indicating that resist photochemistry at 157nm includes processes important to both energy regimes.
Dissolution behavior of fluoroalcohol-substituted polystyrenes
Daniel S. Hall, Brian Osborn, Kyle Patterson, et al.
(alpha) -Fluoroalcohols have been proposed as transparent, base-soluble functional groups for use in the design of new 157 nm photoresist polymers. The two most common and easily prepared fluoroisopropanol groups are bis-trifluoromethyl carbinols (hexafluoroalcohol) and methyl-trifluoromethyl carbinols (trifluoroalcohol). This paper describes studies designed to assess the suitability of both of these functionalities as acidic groups. Dissolution rate studies were carried out on polystyrene films that incorporate these groups. The dissolution rates of the sample polymers were compared to that of poly(hydroxystyrene) (PHOST) to provide a reference for the measurements. It was found that the trifluoroalcohol polymers do not exhibit any solubility in basic media, while the hexafluoroalcohol polymers dissolve rapidly relative to PHOST in 0.13N TMAH. Further, it was found that the two fluoroalcohol polymers can be blended to adjust the inherent dissolution rate of the resin and that the hexafluoroalcohol polymer is sensitive to incorporation of classical dissolution inhibitors. The study concludes that hexafluoroalcohol is a promising candidate for incorporation into the design of 157 nm photoresists.
Interlayer dielectric process for LSI circuits using positive photosensitive polyimide synthesized by block-copolymerization
Masahiro Aoyagi, Shigemasa Segawa, EunSil Jung, et al.
Photosensitive polyimide is expected as a future interlayer dielectric material in LSI circuits. In this paper, we propose a new interlayer dielectric process using a positive photosensitive polyimide directly synthesized from aromatic dianhydride and aliphatic diamine by block-copolymerization. Photosensitive polyimide solution was prepared with N-methyl-2-pyrrolidone (NMP) solvent. A diazonaphthoquinone PC-5 was used as a photosensitizer. The thin film was spin-coated with changing polyimide concentration and rotation speed. The uniformity of the coated film was achieved less than +/- 0.9 % on a 3-inch wafer of silicon. A 0.5 micrometers line and space pattern was obtained by i-line lithography. The (gamma) value of the contrast was evaluated to 1.05. The dielectric constant of the base polyimide was measured for a thick film by the cavity perturbation method. The values from 2.4 to 3.0 were obtained within the frequency range from 1 GHz to 20 GHz. The break down voltage was measured to be 107 kV/mm without high-temperature heat treatment.
193-nm single-layer resist materials: total consideration of design, physical properties, and lithographic performances on all major alicyclic platform chemistries
Toru Kajita, Yukio Nishimura, Masafumi Yamamoto, et al.
The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.
Understanding Molecular Contamination in Lithography: Joint Session
icon_mobile_dropdown
Photoresist outgassing at 157 nm exposure
Stefan Hien, Steve Angood, Dominic Ashworth, et al.
Contamination of optical elements during photoresist exposure is a serious issue in optical lithography. The outgassing of photoresist has been identified as a problem at 248nm and 193nm in production because the organic films that can be formed on an exposure lens can cause transmission loss and sever image distortion. At these exposure energies, the excitation of the photo acid generator, formation of acid, and cleavage of the protecting group are highly selective processes. At 157nm, the exposure energy is much higher (7.9 eV compared to 6.4 eV at 193nm) and it is known from laser ablation experiments that direct laser cleavage of sigma bonds occurs. The fragments formed during this irradiation can be considered as effective laser deposition precursors even in the mid ppb level. In this study, methods to quantify photoresist outgassing at 157 nm are discussed. Three criteria have been set up at International SEMATECH to protect lens contamination and to determine the severity of photoresist outgassing. First, we measured film thickness loss as a function of exposure dose for a variety of materials. In a second test we studied the molecular composition of the outgassing fragments with an exposure chamber coupled to a gas chromatograph and a mass spectrometer detector. Our third method was a deposition test of outgassing vapors on a CaF2 proof plate followed by analysis using VUV and X-ray photoelectron spectroscopies (XPS). With this technique we found deposits for many different resists. Our main focus is on F- and Si- containing resists. Both material classes form deposits especially if these atoms are bound to the polymer side chains. Whereas the F-containing films can be cleaned off under 157nm irradiation, cleaning of Si-containing films mainly produces SiO2. Our cleaning studies of plasma deposited F-containing organic films on SiO2 did not indicate damage of this surface by the possible formation of HF. Despite that we strongly recommend engineering measures to overcome contamination by resist, such as optimizing the purge flow between the final lens element and wafer surface or utilization of a lens pellicle.
VUV Section I
icon_mobile_dropdown
All Co2-processed 157-nm fluoropolymer-containing photoresist systems
Christopher L. McAdams, Devin Flowers, Erik N. Hoggan, et al.
We present our progress on implementing a completely carbon dioxide-processed 157nm photoresist system. While current processes rely on the use of large amounts or organic and aqueous solvents, our chemistry and equipment will allow both negative and positive-tone imaging using CO2 as a casting solvent, developer, and stripping solvent. The unique solubility characteristics of fluoropolymers in CO2 make it possible to use this cleaner and simpler approach with improved optical transparency at 157nm and excellent etch resistance. Also, the inherently low surface tension and viscosity and excellent wetting properties of liquid CO2 will allow us to generate defect-free thin films on large area wafers (300mm and larger). In addition, CO2-based development can virtually eliminate image collapse problems associated with aqueous-base development. Aside form performance issues, our process eliminates several waste streams from the semiconductor manufacturing process and replaces them with the more environmentally benign CO2-this reduction in complexity could allow the integration of multiple processes and provide an enormous savings to the industry.
ArF Materials II
icon_mobile_dropdown
Line-edge roughness in positive-tone chemically amplified resists: effect of additives and processing conditions
Qinghuang Lin, Dario L. Goldfarb, Marie Angelopoulos, et al.
Nanometer scale line edge roughness (LER) is an increasingly important factor in critical dimension control as the minimum feature sizes of devices continue to shrink. We previously studied the material origin of the resist LER in silicon containing positive-tone chemically amplified resists by emulating the resist compositions ana analyzing morphology in the line edge region with atomic force microscopy (AFM). We concluded that the LER stems mainly from the phase incompatibility of the protected and de- protected polymers. In this paper, we expand our study to also include the non-silicon containing chemically amplified resists. We present results on the effects of casting solvent, photoacid generator, and base additive on the surface roughness of thin films of neat partially protected polymers and blends of the protected and the de-protected polymers. We also investigated the surface roughness of neat partially protected polymer films under various development conditions. The AFM results reinforce our previous conclusion on the material origin of LER in chemically amplified resists. Strategies to minimize LER will also be discussed.
Processing and Examination II
icon_mobile_dropdown
Mechanism studies of scanning electron microscope measurement effects on 193-nm photoresists and the development of improved line-width measurement methods
T. R. Sarrubi, Matthew F. Ross, Mark Neisser, et al.
The effect of scanning electron microscope (SEM) measurements on the dimensions of resist features was studied for 193nm resist materials. Initial measurements showed that resist lines became smaller as they were repeatedly measured, with size changes of up to 40 to 50 nm after 50 to a 100 measurements. There was a significant size change for the two 193nm resist systems tested, an acrylate based single layer system and a hybrid single layer system, although the magnitude of the effect was different for each system. The total dose per SEM measurement seen locally by the resist was calculated to be on the order of 100 (mu) C/cm$_2), a significant amount by the standards of e-beam induced chemistry. Entire wafers of the hybrid system were cured in an e-beam curing system to enable chemical characterization of irradiated resist. It was found that there was loss of the anhydride functionality when blanket-coated wafers of the hybrid system were cured and a corresponding reduction in film thickness. The remaining material was cross-linked. However, to our surprise, we found that e-beam curing of exposed line and space patterns id not result in any critical dimension (CD) change, any height change, or any profile change. What is more, the cured line and spaces patterns did not show significant line width change when repeatedly measured in a SEM. It is speculated that the resists gets hot while being measured and how hot affects how much shrinkage is seen. Depending on the temperature reached, either cross-linking or annealing will be the fastest process; and the balance between the two will determine how much shrinkage is seen during measurement.