Proceedings Volume 4226

Microlithographic Techniques in Integrated Circuit Fabrication II

cover
Proceedings Volume 4226

Microlithographic Techniques in Integrated Circuit Fabrication II

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 20 October 2000
Contents: 6 Sessions, 20 Papers, 0 Presentations
Conference: International Symposium on Microelectronics and Assembly 2000
Volume Number: 4226

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Additional Paper from EUROPTO 1999 Conference on Lithography for Semiconductor Manufacturing
  • Optical Lithographic Systems and Metrology Techniques
  • X-Ray, E-Beam, and Ion Beam Lithography
  • Resist Processing Issues
  • Poster Session
  • Section
Additional Paper from EUROPTO 1999 Conference on Lithography for Semiconductor Manufacturing
icon_mobile_dropdown
Measurement and analysis of reticle and wafer level contributions to total CD variation
The impact of reticle critical dimension (CD) variations on wafer level CD performance has been growing with the trend towards sub-wavelength lithography. Reticle manufacturing, CD specifications and qualification procedures must now take into account the details of the wafer fab exposure and process conditions as well as the mask process. The entire pattern transfer procedure, from design to reticle to wafer to electrical results, must be viewed as a system engineering problem. In this paper we show how hardware and software tools, procedures, and analysis techniques are being developed to support the demanding requirements of the pattern transfer process in the era of 0.13 micron lithography.
Optical Lithographic Systems and Metrology Techniques
icon_mobile_dropdown
Low-k1 imaging: how low can we go?
As critical dimensions continue to shrink in line with the SIA roadmap, the ratio of printed feature size and accepted wavelengths for optical lithography is driving inexorably towards the theoretical limitation of 0.25 for the Raleigh equation constant, k1. With the drive to lower k1 values fundamental limitations start to impact optical lithography. One example is the inability to simultaneously print features at different duty cycles with acceptable process windows. In the k1 regime down to 0.5, dense and isolated features could be printed in one with acceptable process windows. Today advanced lithography is operating at k1 values of 0.42-0.37 using KrF excimer laser light sources at a wavelength ((lambda) ) of 248nm. High lens Numerical Aperture (NA) is required to obtain sufficient aerial image contrast for dense lines, but results in reduced depth of focus which scales proportional to (lambda) /NA2. Using off-axis illumination techniques such as annular illumination can compensate the reduction in depth of focus for dense lines. For isolated lines high NA has only limited impact on the aerial image contrast due to the difference in the diffraction pattern and only serves to reduce the limited depth of focus which, unlike dense lines, does not benefit from the application of off-axis illumination. Use of increasingly strong imaging enhancement techniques will be required at lower k1 values resulting in further trade-offs to be addressed in pattern dependency. For example, quadrupole and di-pole off-axis illumination provides stronger enhancement to the available process window than annular illumination but only for features with specific orientations. In this paper an overview of the different imaging enhancement techniques will be given and examples of the trade-offs between enhancement and techniques, constraints on orientations and duty cycles will have to be applied in the device design. Alternatively, individual device layers will have to be separated by feature type, duty cycle and orientation to allow optimum enhancement techniques to be applied for each feature using multiple exposures. These approaches will be required if optical lithography at k1 values around 0.3 is to be realized. In the paper we will compare the use of two very strong enhancement techniques, dipole illumination and alternating (Levinson type) Phase Shift Mask with respect to process latitude, complexity and aberration sensitivity. To complete the review of low k1 the economic viability of optical lithography utilizing these strong enhancement techniques will be analyzed in terms of Cost of Ownership.
Progress of excimer laser technologies
More than 1,000 units of KrF excimer laser steppers were already installed in semiconductor mass-production lines which require design rule of less than 0.15 m. Higher NA lens compatibility, productivity and CoO become critical issues of KrF excimer laser stepper. Advanced 2kHz KrF excimer laser G20K/G21K offers the solutions for these three issues. Next generation excimer laser ArF has already finished the stage of principle demonstration and has moved to a next level of practical demonstration and has moved to next level of practical inspection, such as stability, productivity, and economic efficiency. Gigaphoton 4kHz ArF, G40A, solved all of these issues. Furthermore sub 0.10m design rule region F2 laser has been examined at several organizations. In March, 2000, Komatsu successfully developed 2kHzF2 laser for catadioptric projection optics by the fund of NEDO. Gigaphoton is ready to fabricate G20F, 2kHz F2 laser, based upon the result of NEDO research. ASET started new F2 laser lithography development program at Hiratsuka Research Center with collaboration of Nikon, Canon, Gigaphoton, Komatsu, and Ushio from April 2000, ending March 2002.
200/300-mm Micrascan IV 248-nm system for high-throughput and tight CD control applications
Pradeep K. Govil, Javed Sumra, James G. Tsacoyeanes, et al.
Micrascan (MS) IV is designed to provide superior Critical Dimension (CD) control & overlay performance at very high wafer throughputs for both 200 and 300mm wafers at low cost of ownership. This new system, targeted for sub-critical applications, includes SVGL's new flexible cross-performance platform and improved 0.6NA Catadioptric Projection Optics for better resolution and overlay. A new Laser Illumination System (LIS), capable of high doses at high throughput, uses a 2 kHz semi line narrowed KrF laser. SVGL has demonstrated its MS IV 248nm DUV optical design for successful insertion at the 180nm Lithography node. In this paper, modeled capabilities of SVGL's DUV optical design for both group and isolated lines will be presented. The performance potential with both conventional and enhanced illumination will be considered. Data from SVGL's Micrascan systems, illustrating Linewidth control, Depth Of Focus (DOF) performance for 180nm Group and Isolated Features, and overlay performance potential will be given. The Cross performance platform wiht its high throughput capabilities will be discussed.
X-Ray, E-Beam, and Ion Beam Lithography
icon_mobile_dropdown
New 2D to 3D x-ray lithography technology for grayscale structures
Vladimir A. Kudryashov, Sing Lee
A new 2D to 3D volumetric technology for gray scale structures production developed originally for e-beam lithography is successfully extended to x-ray lithography. Based on the binary nature of the lithography process, this technology demonstrates much higher process latitude and a possibility of 20 to 40 different height resist structures formation in a relatively thick resist layer. A 2-dimensional binary resist structure with a specially designed topology produced by a constant dose exposure and development can be transformed into a 3- dimensional one by resist reflowing during baking at a temperature higher then the glassing one for this resist.
Ion projection lithography: November 2000 status and sub-70-nm prospects
Rainer Kaesmaier, Andreas Wolter, Hans Loeschner, et al.
Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits <3nm even when using a numerical aperture as low as NAequals10-5. As part of the European MEDEA IPL project headed by Infineon Technologies wide field ion-optics have been designed by IMS- Vienna with predicted resolution of 50nm within a 12.5mm exposure field. The ion-optics part of the PDT tool (PDT-IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.
Low-voltage e-beam irradiation: a new tool for microlithography technology
Vladimir A. Kudryashov
The recent achievements in microfabrication technologies based on low- energy electron irradiation of resist are discussed. It has been shown that the lateral resolution of the low-voltage lithography technique is determined mostly by the electrons scattering in the resist, and is approximately equal to the beam penetration depth. Special technology of self-supporting structures formation in the upper resist layer based on low-energy e-beam exposure has been suggested as a way to reduce the radiation damage of the substrate material to zero. Such self-supporting structures could represent an easy production method for self-aligned nano-structures. Effective technologies of the photoresist structures profile control, and suppression of airborne contamination effects in positive CARs (based on the resist shower irradiation with low-energy electrons) are discussed. Submicron structure self-formation technique based on positive resist structures irradiation with low-voltage electrons is demonstrated.
Fabrication of diffractive micro-optical lens for integration with optoelectronic devices by direct laser writing
Chao Wang, Yuen Chuen Chan, Yee Loy Lam, et al.
The optical performances of fabricated diffractive micro-optical lens are determined by some fabrication process parameters. These key fabrication parameters are found to be the laser intensity, the speed of the movable stages, the distance from the top surface of the photoresist to the UV objective lens, the starting laser intensity, the laser intensity variation step and the overlap of the adjacent patterns. In order to get the continuous-relief profile, a method was used to fabricate diffractive optical lens with any surface relief profile. Each zone of the diffractive micro-optical lens is divided and linewidth is achieved by changing the fabrication parameters. A computer control program has been developed to optimize the key fabrication parameters for fabricating the high quality continuous-relief diffractive micro- optical lens matched with design parameters. The fabricated lens has a focal length of 1.1 cm, which is very close to the design value of 1.0 cm.
Overview of reticle enhancement technology software strategy
Alfred J. Reich, R. D. Jarvis, Steve Talent, et al.
Although RET software technology has made great advances in recent years, very little attention has been paid to how this technology can be put into reliable and efficient use in a production environment. To stimulate EDA suppliers to take up this task, a system for not only automating RET, but also for generalizing the automation of RET is described.
Resist Processing Issues
icon_mobile_dropdown
Corner rounding and line-end shortening in optical lithography
Pattern infidelity of features on the wafer is critical to the functionality of a device. Among other error sources, the feature quality on the reticle is presumed to be a key contributing factor to wafer pattern fidelity. Of course, optimization of final pattern fidelity is dependent on the imaging and process of both the mask and wafer, as well as on their relationship to one another. This paper examines the key parameters used to predict the acceptable amount of corner rounding on the reticle, and to define proper metrics of reticle shape. Pattern shapes such as isolated corners, contact holes, and line ends will be examined. For line end shortening, the influence of both the imaging and the resist process is discussed.
Hardmask/BARC materials for 157-nm lithography
157nm lithography is expected to be the lithography choice for the 100nm-technology node, which is scheduled to be in full-production in 2003. However, due to 157nm photons being strongly absorbed by commonly used polymeric organic materials, a completely new class of material (containing F and Si-O) will be needed for 157nm Single Layer Resist (SLR) system. It is expected that the 157nm SLR system development will take greater than 3 years, which the industry will barely have, until the projected 2003 production schedule. In an attempt to fill the gap and to provide working resist system, using thin (<100nm)films of existing resist materials along with inorganic thin hardmask/BARC films is an attractive approach. In this paper, we report the optical constants (n % k at 157nm as well as 193nm and 248nm) of various thin film hardmask/BARC candidate materials (SixNyHz, SixOyNz, SixCyCVD and TixNyPVD films) measured by VUV-VASE. The films' atomic compositions, determined by RBS/HFS, were varied by controlling feed gas flow rates in order to vary the optical behavior. However, we limited our study within the low process temperature PE-CVD and PVC films due to our intention of using these films along with LowK(2.7approximately equals 2.0) dielectric materials. In addition, we will also report the optical constants of two types of LowK materials (PE-CVD OSG film and Spin- On/Cure low-density organosilicate dielectrics by JSR.) The data is, then, used to optimize the physical properties (n & k) and utilized to determine suitable hardmask/BARC material for 157nm exposure using Prolith II simulation. The results containing property of these hardmask/BARC candidate films and our optimization analysis along with the first successful pattern transfer feasibility demonstration into realistic substrate material (poly-Si) using ultra thin resist (currently existing) at 157nm optical lithography are reported.
Effect of electrostatic field on photoresist coating uniformity
As the critical feature decreases below 0.25micrometers in the manufacture of integrated circuits, the control of photoresist coating uniformity becomes more critical to the overall critical dimension control. Normally, the thickness uniformity of photoresist coated by spinning is mainly affected by resist viscosity, solvent evaporation rate, dispense speed, exhaust humidity, wind speed and resist (substrate) temperature. However what we observed on our manufacturing line revealed that electrostatic field induced by piezocrystal also impacted on thickness uniformity of photoresist. Two possible explanations of this phenomena will be given in this paper. Though there is still not final solution to this problem, we propose track and resist designer to take this issue into consideration to improve the photoresist spin coating uniformity.
Minimum-time optimal feedforward control of conductive heating systems for microelectronics processing of silicon wafers and quartz photomasks
Weng Khuen Ho, Arthur E.B. Tay
An optimal control scheme is designed to improve repeatability by minimizing the loading effects induced by the common processing condition of placement of a semiconductor substrate at ambient temperature on a large thermal-mass bake plate at processing temperature. A model-based optimal controller is presented based on minimum time control strategy for minimizing the worst-case deviation from a nominal temperature set-point during the load disturbance condition. This results in a predictive controller that performs a pre- determined heating sequence prior to the arrival of the substrate as part of the resulting feedforward/feedback strategy to eliminate the load disturbance. The controller is easy to design and implement for conventional thermal processing equipment. The minimum time control formulation also makes it more suitable for on-line implementation such as automatic on-line tuning of feedforward controller. Experimental results are performed for a commercial conventional bake plate and depict an order-of-magnitude improvement in the settling time and the integral-square temperature error between the optimal predictive controller and a feedback controller for a typical load disturbance.
Investigation of process latitude in e-beam lithography for positive CAR UVIII using novel volumetric linewidth measurement
Vladimir A. Kudryashov, Philip D. Prewett, Alan G. Michette
An application of a simple and low-cost novel volumetric linewidth measurement technique to e-beam lithography process optimization for the positive CAR UVIII demonstrates clearly its efficiency and accuracy. It helps to optimize exposure, PEB and development procedure to get the highest possible process latitude. For this optimized procedure structure linewidth does not exceed 10% for a 20% exposure variation. PEB temperature and time deviation for 1 degree(s)C and 1 second lead to a 0.5 nm and a 2.5 nm linewidth run-out correspondingly.
Patternable hybrid sol-gel glass
HongJin Jiang, XiaoCong Yuan, Yuen Chuen Chan, et al.
The hybrid sol-gel materials have been extensively studied and widely used in the fabrication of optical devices due to their attractive properties. In this work, the synthesis of the UV sensitive organic- inorganic SiO2/TiO2 sol-gel glass is presented. The effect of the fabrication parameters such as doping concentration, bake temperature and UV light exposure time on the film characteristics (thickness and refractive index) are studied. A simple way of patterning the material by UV light radiation is also introduced. These demonstrate the material possesses high potential application for the micro-optics device fabrication.
Poster Session
icon_mobile_dropdown
Simulation of resolution enhancement in contact lithography by off-axis illumination
Yongkai Zhao, Huijie Huang, Dunwu Lu, et al.
Intensity distribution on the wafer plane in contact printing system under off-axis illumination is derived based on the amplitude analytic expression for Fresnel-Kirchhoff diffraction. Numerical simulations have been done under various conditions. Resolution enhancement effects by off-axis illumination and its application are analyzed.
New event-based methodology to improve photolithography productivity
Simon Chang, Mark A. Boehm
As photolithography processes continue to increase in complexity, in order to maintain anticipated productivity it has become more challenging to increase the throughput, lower the rework rate and improve tool utilization. Manufacturing automation system deployed in a production site provides a source to monitor the photolithography process, the operation efficiency and the health of equipment. However, it is found to be a time-consuming and difficult process to analyze the large amount of data and determine the exact source of productivity hitter. Based on these needs, a new methodology is proposed in this paper to quantify the productivity hitter versus process, operation, and equipment. A tool is developed from this methodology to track through every tool operation time and operation steps based on information of tool event logs (event-based) via network. By applying the tool, an easy to view information can be quickly derived from the event log data, for rapid decision making such as lot disposition, recipe optimization, and equipment function check. The event-based methodology is introduced in this paper. In addition, several examples are studied by using this tool in ASIC type production environment. The effects of track delay, hidden overhead time, poor lot queuing and excessive error-assist time, etc. are studied and quantified. By applying the tool, the time taken to accurately locate the root cause of productivity hitter is significantly reduced. Based on the analysis, the guidelines are given to optimize the tool utilization and raw throughput, and the productivity is improved accordingly. Future works including fully integrated into in-house manufacturing automation are discussed.
Dense plasma focus radiation source for microlithography and micromachining
Vladimir A. Gribkov, Mahe Liu, Paul Choon Keat Lee, et al.
We use a Dense Plasma Focus (DPF) device NX2, with improved insulator, electrode, and switching configuration, and with Argon filling to concentrate the main part of its radiation near 4 A. In this case it can be used as a source for different aims in micro-lithography. One evident goal here is to increase spatial resolution of the method with the help of a source having a shorter wavelength in comparison with widely used Ne gas filling of DPF. But in particular it can be implemented in micro machining when it emits enough harder X-rays with Argon as a working gas, but it is attainable if plasma can reach Tpl=1 keV at the pinching phase. There are at least three possible ways to get the above temperature and high X-ray yield around wavelength of 4 A. One is to use a mixture of light gas (ultimately deuterium) with argon to produce hot spots by plasma necking. Another one is to increase CS velocity in pure argon. The third one is to use a mixture of heavy gas (e.g. krypton) with argon to produce separation of gases at the shock wave front of a DPF current sheath and subsequently to compress argon by a heavy shell. In the last two cases longer electrodes and lower initial pressure are needed for DPF-bank matching. Using a pinhole with a CCD matrix and a pair of folded by different foils pin diodes in all three methods we have successfully reached a reasonable yield in the above-mentioned spectral range. It was respectively about 0.4J 1.0J and 10.0J. Within all three modes of the DPF operation it was possible clearly to find a distinction between three characteristic regimes: a pinch regime, a hotspot regime, and a runaway regime.
Optimization of the planarizing performance of a DUV organic bottom antireflective coating for via first dual-damascene process: cooperation to achieve material and process characterization
Paul Williams, Alice Martin, Marlene Strobl, et al.
The work outlines a solution to the challenge of integrating a planarizing BARC into the via first dual damascene manufacturing process. We report the initial problems encountered in attempting the planarizing process and the resulting investigation into the coating process. We identify the critical parameters relating to the via fill performance of the material which relate not only to the process conditions, but also to the chemical make-up of the BARC. As a direct result of this study, a low molecular weight component within the DUV BARC has been identified which may be the key component to planarizing behavior. Cooperation from both supplier and user was necessary, as the availability of alternative test structures, with equivalent topography/surface chemistry, as device wafers was not possible. This resulted in considerable investment [from the user] of sacrificing product wafers for the analysis of the planarizing performance.
Section
icon_mobile_dropdown