Lithographic performance results for a new 50-kV electron-beam mask writer
Author(s):
Varoujan Chakarian;
Stephen R. Bylciw;
Charles A. Sauer;
David Trost;
Marek Zywno;
Robin Teitzel;
Frederick Raymond III;
Frank E. Abboud
Show Abstract
Current pattern generation tool designs will be inadequate to meet the advanced requirements for next-generation masks, particularly at the 100 nm node. Etec Systems, Inc. has developed a complete raster-based patterning solution to provide improved resolution, critical dimension (CD) uniformity, positional accuracy, and throughput. This solution meets the challenges of the 130-nm device generation with extendibility to at least 100 nm devices. Our complete patterning solution includes an electron-beam (e-beam) pattern generation system and a new 50 kV process. The e-beam system includes a column with 50 kV accelerating voltage and a new graybeam writing technique. To accomplish this technique, a pulse-width modulated blanking system, per-pixel deflection, retrograde scanning, and multiphase and multipass writing are used. This combination of features results in markedly improved lithographic performance and enables the use of conventional high-contrast resists for faster process implementation. Additional significant innovations of this pattern generation system include a novel stage design, an integrated automated material handling system (AMHS), on-board diagnostics, and improved environmental/thermal management. We believe this comprehensive patterning solution offers the best combination of benefits to the user in terms of versatility and extendibility.
New architecture for laser pattern generators for 130 nm and beyond
Author(s):
Ulric B. Ljungblad;
Torbjoern Sandstrom;
Hans Buhre;
Peter Duerr;
Hubert K. Lakner
Show Abstract
A new breed of pattern generators for photomasks using a new DUV spatial light modulator (SLM) technology is under development in a collaborative effort between Micronic Laser Systems AB, Taby, Sweden and the Fraunhofer Institute for Microelectronic Circuits and Systems (FhG-IMS), Dresden, Germany. Current pattern generator architectures using a limited number of scanning beams will not be able to support future production requirements with ever-increasing data complexity and resolution. The new SLM technology provides a means for high resolution and massive parallel exposure to alleviate these difficulties. There are many architectural similarities to that of a modern stepper and the technology can provide the resolution to rival that of e-beam pattern generators, yet with the productivity of laser patterning. In this paper we describe the architecture of an SLM exposure system, the SLM technology, and will consider key aspects for the intended application.
Performance of JBX-9000MV with negative-tone resist for 130-nm reticle
Author(s):
Naoki Takahashi;
Masayoshi Tsuzuki;
Jun Kotani;
Jun Yoshida;
Yuji Kodaira;
Yuko Oi;
Yoshiro Yamada;
Yuichi Matsuzawa
Show Abstract
Improvement of Critical Dimension (CD) accuracy is one ofthe most important issues for high-end reticle fabrication. Two major obstacles remain even after careftil CD optimization efforts. One is foggy effect, which is related to writing system, and the other is loading effect, which is related to dry etching mechanism. Both of two are strongly related to pattern layout and major causes to degrade CD uniformity and mean to target. To solve those problems, we have tried to apply foggy effect correction software tool on the JBX-9000MV developed by JEOL. At this time, we used Chemically Amplified (CA) negative tone resist with optimized process condition and exposure parameter such as Proximity Effect Correction (PEC). After careful examination, we confirmed that the software could eliminate CD error caused by foggy effect. Further, by optimizing foggy effect correction, we were quite successful to compensate CD error caused by loading effect too. In this way, we established high-end (l3Onm design rule) reticle production technique.
New concept photomask repeater with stitching exposure technique
Author(s):
Nobuyuki Irie;
Koji Muramatsu;
Yuuki Ishii;
Nobutaka Magome;
Toshikazu Umatate;
Suigen Kyoh;
Shun-Ichiro Tanaka;
Soichi Inoue;
Iwao Higashikawa;
Ichiro Mori;
Katsuya Okumura
Show Abstract
We have developed a new mask patterning system, which can fabricate 130nm generation masks by means of a stitching exposure technique. We call this system the Photomask Repeater (PR)1,23,4. The PR is a 5x i-line stepper modified for mask manufacturing with a field size of 22x22mm in a single exposure. However, the device size on a 4x mask is larger than 22x22mm. Furthermore, excellent mask CD uniformity is required. For this purpose the exposure field size was extended with the use of “seamless stitching technology”. This is clearly the key to obtaining a practical, accurate mask patterning system. Results have been achieved on masks with this system showing CD variation of less than +/- 7nm at a stitching area by means of a “gradation filter”. Moreover, overall CD uniformity is 10.36nm (3?), while image placement accuracy is 17.8nm (3?) and 2nd alignment accuracy is 24.1nm (3?). PR is an attractive system for System on Chip mask manufacturing, and is also effective in reducing Turn Around Time.
Improved throughput in 0.6-NA laser reticle writers
Author(s):
Gregory E. Valentin;
Henry Chris Hamaker;
Jay P. Daniel;
Vishal Garg;
Daniel R. Sprenkel
Show Abstract
New writing strategies have been developed to meet the demand for high-volume mask manufacturing. The ALTA® 3000HT system enables users to meet their performance requirements at increased production capacity. The write time of an ALTA 3000HT mask writer has been observed to be substantially shorter than that of the ALTA 3000 system. The ability to change between eight and four averaging passes, as well as the addition of key hardware improvements, give users increased flexibility in meeting the throughput and print quality requirements for volume production mask manufacturing. Observed throughput and print performance data are presented.
Process development for 257-nm photomask fabrication using environmentally stable chemically amplified photoresists
Author(s):
Jeff A. Albelo;
Benjamen M. Rathsack;
Peter Y. Pirogovsky
Show Abstract
Consumer demand for faster computers, increased data storage space, and higher density memory arrays has driven development efforts in photomask production the way of the wafer fab. This drive has pushed mask fabrication toward smaller and more uniform features. It has thus inspired the creation of a deep ultraviolet, or DUV, (257 nm) optical pattern generator and an attendant resist process for mask fabrication. A DUV photoresist process based on precoated photomask blanks is presented herein with a demonstrably robust resist, both in terms of plate shelf life and latent image stability. Benchmark lithographic performance in resolution, depth of focus (DOF), linearity, and iso/dense bias are presented. In addition, the ambient atmospheric stability of the resist system is explored. Traditional maskmaking has, until now, excluded a post-exposure bake (PEB) step. Equipment requirements and resist critical dimension (CD) performance as a function of PEB are also presented. Photoresist process parameter space is defined and discussed herein. Precoated mask blank post-apply bake (PAB) stability is also examined as it relates to after-develop inspection (ADI) CDs. Dark erosion tests are employed to study photoresist contrast uniformity as it relates to ADI CDs. First-pass specifications for incoming raw materials are also proposed.
Chrome dry etch process characterization using surface nanoprofiling
Author(s):
Guenther G. Ruhl;
Ralf Dietrich;
Ralf Ludwig;
Norbert Falk;
Troy B. Morrison;
Brigitte C. Stoehr
Show Abstract
In this paper we describe the development of a chrome dry etch process on a new type of mask etch tool. One crucial goal was to minimize the CD etch bias. To meet this goal, a procedure for the direct characterization of CD etch bias was developed. The common methods for measuring the CD etch bias as resist-to-chrome CD difference, such as confocal optical microscope or SEM measurement, only give correct results, if the sidewalls are identical to the calibration standard. This is normally not the case as, due to the differing step height of resist and chrome, and the fact that during process development, in particular, the sidewall shapes and angles can vary significantly. Thus, it is very important to use a CD measurement method which takes the sidewall shapes (slope, foot) into account. One novel method is the use of a Scanning Nano Profiler (SNP) which was derived from the AFM principle. In contrast to AFM the use of a special high aspect ratio tip with 90° sidewall angle, in combination with pixelwise scanning of the substrate surface, provides information about the true sidewall shape and CD.
Experimental study on the possibility of chemically amplified resists for mask production for device generations < 180 nm
Author(s):
Chang-Hwan Kim;
Chan-Uk Jeon;
Seong-Woon Choi;
Woo-Sung Han;
Jung-Min Sohn
Show Abstract
A possibility of chemically amplified resist (CAR) process for mask production has been studied experimentally to solve the low throughput problem with a high voltage variable shaped e-beam system. The pattern fidelity of CAR for small patterns like serifs and scattering bars is comparable to the ZEP7000 resist that is the most popular e-beam resist nowadays. We estimated the amount of delay effect in vacuum that can be generated during a long writing time in the vacuum state. And it proved that critical dimension (CD) change occurred with an acetal type resist rather than an acrylate type resist. The effect of temperature fluctuation during post exposure baking (PEB) was also evaluated by measuring line-widths and line- width variations across the mask plate. Through our work, we have achieved CD non-uniformity of < 10nm in ?3 within 135 X 135 mm2 field showing a high possibility for CAR process to be applied to the mask production for device generations beyond 180nm.
Mask manufacturing rule check: how to save money in your mask shop
Author(s):
Martin C. Keck;
Wolfram Ziegler;
Roman Liebe;
Torsten Franke;
Gerd Ballhorn;
Matthias Koefferlein;
Joerg Thiele
Show Abstract
By approaching the physical resolution limits of optical lithography for a given wavelength, data complexity on certain layers of chip layouts increases, while feature sizes decrease. This becomes even more apparent when introducing optical enhancement techniques. At the same time, more and more complex procedures to fracture mask data out of a DRC clean chip-GDS2 require checks on mask data regarding integrity, as well as mask manufacturability and inspectability. To avoid expensive redesigns and large mask house cycle times it is important to find shortcomings before the data are submitted to the mask house. As an approach to the situation depicted, a (Mask) Manufacturing Rule Check (MRC) can be introduced. Aggressive Optical Proximity Correction (OPC) is a special challenge for mask making. Recently, special algorithms for mask inspection of OPC assist features have been implemented by equipment vendors. Structures smaller than two inspection pixels, like assist structures, can be successfully inspected with certain algorithms. The impact of those algorithms on mask pattern requirements and suitable MRC adoptions will be discussed in the present paper.
Using manufacturing rule check to prescreen reticle inspection databases
Author(s):
Charles H. Howard;
Paul DePesa;
Curt J. Linder
Show Abstract
New reticle designs frequently contain mask features the inspection tools find objectionable. Typically these illegal features are handled in one of two ways. They are removed from the database with “do not inspect” regions, or the sensitivity is compromised to a level sufficient to reduce these nuisance defects to a tolerable level. Using the inspection machine to find these areas is both costly and inefficient. This paper presents a survey of the Manufacturing Rules Check option available from Transcription Enterprises to screen the database for these features before the reticle goes to inspection.
Methods used to streamline data preparation for memory products
Author(s):
Paul DePesa;
Wolfgang Leitermann
Show Abstract
Historically the transcription of design data, in preparation for mask manufacture, has been to produce flat exposure tool data. Recent developments in hierarchical fracturing in the CATSTM tool are reviewed and explained. Current design data, especially memory products or even microprocessors containing cache, benefit immensely from these developments. The pertinent CATSTM commands are reviewed. Records of typical data fractures are presented and reviewed, showing the overall decrease in CPU usage with the hierarchal methods. The concomitant decrease in file sizes is also shown.
ASIC data preparation management for OPC
Author(s):
Timothy G. Dunham;
William C. Leipold
Show Abstract
ASIC layout data, which can be large and typically with little hierarchy, can prove challenging for complex optical proximity correction (OPC) operations. Thoughtful coordination between the ASIC library designers and the OPC code developers in terms of design and execution methodologies can result in large savings in run time and additional hierarchy flattening with little or no impact to the library layout density. Results from such a collaboration on IBM’s 0.13um ASIC library resulted in better than order of magnitude improvement for gat e array library cell data preparation
Efficient automated tapeout system
Author(s):
William A. Krieger;
Chana Nasamran
Show Abstract
A tapeout system has been developed for a production environment that is extremely high quality and executes quickly for good cycle time performance. The system automatically performs each step of the tapeout task from layer generation on the front-end to final paperwork generation on the back-end. It was designed to reduce human interaction to a minimal level and to be fast, flexible, and easy to use. Several custom GUI windows provide the means of performing data entry and PERL programs link sequential software tasks together. For special needs, any of the individual steps in the tapeout flow can be interrupted and the defaults can be overwritten. Testchips are managed well with the system and show the greatest cycle time benefit ofthe automation. The tapeout system developed at Conexant and described here represents the culmination of nearly one full year of development work plus another two years of subsequent improvements. It has been in full production use at two different sites for more than two years.
FIB-based local deposition of dielectrics for phase-shift mask modification
Author(s):
Heinz D. Wanzenboeck;
Martin Verbeek;
Wilhelm Maurer;
Emmerich Bertagnolli
Show Abstract
The quality of masks is the crucial key to reliable and cost-efficient lithography. For conventional optical masks focused ion beam (FIB) has become popular as an approved tool for repairing defects detected in mask inspection. However, the repair of phase shift masks by FIB technology remains critical due to the lack of local deposition processes for materials issuing both, a sufficient optical transparency and an etching rate comparable to quartz glass. A focused ion beam tool utilizing a gallium ion beam with a tunable acceleration voltage of 5-50 kV is used to investigate a siloxane based deposition process of silicon oxides on quartz glass substrates. Tetramethyltetracyclosiloxan together with oxygen is decomposed by the ion beam on a silicon substrate and on a quartz glass surface. A chemical investigation of deposited dielectric layers is performed by Auger spectroscopy (AES) and Secondary Ion Mass (SIMS) spectroscopy. Optical quality of FIB-deposited silicon oxide is investigated by measuring the transmission at 248 nm. The etching selectivity of “as deposited layers” versus pure silicondioxide are determined in in-situ sputter etch experiments. We found a significant influence of process parameters such as precursor gas composition ratios, exposure times, and scan rates on the chemical composition of the deposited layers. Moreover, for optical transmission as well as for etch-rate selectivity the parameters of the deposition process are found to be decisive factors. A model explaining the correlation between process parameter, related chemical composition of dielectrics and resulting etch selectivity and optical transmittance is proposed. In summary, proper control of FIB CVD process parameters in combination with appropriate precursor gas system design are prerequisites for a promising approach in phase mask repair. Keywords: focused ion beam; phase-shift mask; mask repair; silicondioxide, SiO2, CVD
Advanced FIB mask repair technology for ArF lithography: II
Author(s):
Shinji Kubo;
Koji Hiruta;
Hiroaki Morimoto;
Anto Yasaka;
Ryoji Hagiwara;
Tatsuya Adachi;
Yasutaka Morikawa;
Kazuya Iwase;
Naoya Hayashi
Show Abstract
It is well known that focused ion beam (FIB) has been employed widely in photomask manufacturing process because the feature of this system is the high accuracy to observe small defect, to determine the repairing position, to remove opaque defect, and to deposit repairing film for clear defect. But it is required to improve the functions and the performance of the current FIB mask repair system for the next generation masks, which the smaller pattern width and the shorter lithography wavelength have been raising the pattern printability issue of the area repaired by FIB. So, the initial evaluation has been done by using the experimental machine which was remodeled the SIR series FIB photomask Repair System of Seiko Instruments Inc. The system adopts new ion beam column from which the beam size is reduced to 2/3 or less than conventional machine with the ion beam current of 15pA, FOV (field of view) of 10?pm, and the new deposition film to have thin but sharp edge. Substrate damage by scanning ion irradiation was evaluated by Aerial Image Monitoring System (MSM193 @193nm). Optical intensity is affected by the ion beam irradiation, but there is no critical issue in usual operation. The transmission loss of glass substrate is less than 50% with 5 times scan frame. Under these conditions, the ion dosage is 2.40 x 1014 [ions/cm2] for 10mm x 10mm FOV. The new deposition film was confirmed that the carbon halo was reduced, optical density was enough to shade the ArF laser, though the film thickness was decreased to 1/3 of conventional film, and the durability of the ArF laser irradiation was enough to 3 years in mass production. Wafer printability of clear and opaque defect was evaluated by using ArF scanner. No significant problem was observed. In addition to that, basic experiment of MoSi-based attenuated phase shift mask repair is demonstrated.
Multibeam high-resolution UV wavelength reticle inspection
Author(s):
Chih-Chien Hung;
Chue-San Yoo;
Chia-Hui Lin;
William Waters Volk;
James N. Wiley;
Steve Khanna;
Steve Biellak;
D. Wang
Show Abstract
A new reticle inspection system with three parallel scanning laser beams for UV imaging for both contamination and pattern inspection has been developed to detect defects on advanced reticles for DUV steppers and low k1 lithography for .13um and extensions to .10um design rules. The development of the new three beam architecture at UV wavelength has significantly increased system throughput while improving the resolution of the imaging optics for inspecting advanced reticles including Halftone, Tri-Tone, and Alternating PSM’s and reticles with aggressive OPC. The system is capable of running multiple inspection algorithms simultaneously in transmitted and reflected light to achieve concurrent pattern and STARlightTM inspection, thus improving both sensitivity and inspection thoroughness with a single inspection. These improvements enable fast inspections of reticles for 4X lithography design rules at 0.18um, 0.15um and 0.13um. Initial simulations were performed to optimize performance of optical components and a new defect detection algorithm. The simulations identified that with the optics changes to achieve three beam scans and with new algorithms, the inspection was more sensitive to all defect types including on edge contamination defects, which can be particularly difficult to detect. Using both PSL and programmed defect test masks and real production reticles, initial observations of the nature and the frequency of defects detected with this 100nm sensitivity instrument will be presented. With more defects to review, the system software provides concurrent or remote defect review so time to disposition defects does not effect system inspection capacity. With smaller defects to review the quality of defect review images has a direct impact on the effectiveness and ease-of-use of reticle inspections systems. The smaller review pixel with the system combined with a suite of review imaging tools, yields high quality images for defect dispositioning.
In-process defect inspection and characterization study for dry etching chrome-on-quartz binary masks
Author(s):
Weidong Cai;
Henry H. Kamberian;
Douglas G. Mattis;
Kraig Morris;
Van Tu
Show Abstract
With the inherent advantages of good Critical Dimension (CD) control and good pattern fidelity, dry etching of Chrome-on- Quartz (COG) binary masks is necessary for production of 0.18um or below technology generation masks. Chrome dry etching process, however, tends to produce more defects than the traditional wet etch processes. We have conducted in-process defect inspection studies, which have identified several defect sources and have proposed process controls that minimize the number and size of defects from the entire dry etch process. This paper discusses the design of a defect test vehicle and describes an approach and methodology of defect inspection as a means of understanding the creation mechanisms of process related defects. A Lasertec Corporation (i)-line inspection system is employed along with different microscopy and metrology tools to identify and characterize these defects that can be attributed to the various steps in this entire dry etch process. Our results demonstrate that this approach of in-process inspection is very effective at identifying defects and their sources as they become evident at different process steps.
Correlation of reticle defects detectability and repairs to ArF wafer printability for 0.13-um design rule with binary OPC/SB mask
Author(s):
Khoi A. Phan;
Chris A. Spence;
John Riddick;
Jerry Xiaoming Chen;
Matt J. Lamantia;
Hugo A. Villa
Show Abstract
As reticle enhancement techniques (OPC, PSM) become more commonly used in multi-masking levels for 130nm node and below, the need for a better and more precise reticle specification will be even greater. OPC and sub-nominal assist feature like scattering bar represent a challenge for mask metrology tools. Consequentiy, defect inspection for advanced reticles has become a gating step for both Mask shops and Wafer Fabs alike. In this paper, a binary defect test reticle with and without OPC/SB features, manufactured by Dupont Photomask’s Reticle Technology Center, was used for the study. The reticle was made using the ALTA 3500 Laser Writer Tool and dry chrome etch process. Reticle inspection results from KLA363UV for 0. 13 um design rule patterns in both Clear and Dark polarities, with bump, divot and pinhole programmed defects between 0.1 and 0.6 um sizes (at 4x) were shown. These results were then compared to the wafer printability using ArF 193nm lithography. The impact of each defect type on 0.13 um Isolated, Semi-Dense and Dense lines was evaluated for 10% CD tolerance to provide an assessment on the KLA363UV inspection tool capability. A set of edge defects (bump and divot) and point defect (hole between line ends) from 0.1 to 0.6 um on the reticle (4x) were repaired using the Seiko SIR-3xxx tool at DPI-RTC. The printability of repairs on wafer at 193nm exposure wavelength was evaluated. CD of repaired features was compared to that of nominal feature for both reticle and resist wafer to evaluate the repair effectiveness. Finally, a defect specification for 0.13 um design rule binary reticle using ArF lithography is discussed.
Practical defect-sizing issues for UV inspection of 248-nm embedded attenuated PSM contact layer
Author(s):
James A. Reynolds
Show Abstract
IC production is dependent on the ability of semiconductor manufacturers to secure reticles which are free of printable defects. In current reticle commerce, this means that reticles must be free of defects greater than a given size which has been agreed upon by reticle vendor and user. A reticle inspection system, therefore should have high sensitivity to find as many defects as possible and an accurate, repeatable means of determining defect size. In this study, a 248nm embedded attenuated phase shift mask (EAPSM) test reticle was manufactured containing programmed contact defects based on SEMI Standard P23-0200. 150nm and 200nm design rules were invoked with defects sized from 30nm to 600nm and 40nm to 800nm respectively. The reticle was inspected on the Applied Materials ARISi, the Lasertec 9MD84SRi and the KLA-Tencor 365UVHR inspection systems. A defect sizing “standard” was provided by the KLA-Tencor 8100 CD SEM. Die to die and die to database inspection was done. The systems were assigned letters to conceal their identity. Wide variations in detection sensitivity were noted between the three systems but systems B and D had the highest sensitivity. Automatic sizing as provided by all three systems was completely ineffective. Manual sizing for systems B and D tracked the measured CD SEM values. For all but the isolated defects, measured values were larger than the CD SEM value, suggesting that these on system utilities might be used effectively for a safe and quick accept/reject decision.
Pellicle-induced distortions in advanced optical reticles
Author(s):
William H. Semke;
Lowell K. Siewert;
Andrew R. Mikkelson;
Eric A. Risius;
Ning Tang;
Roxann L. Engelstad;
Edward G. Lovell;
Jun-Fei Zheng;
Giang T. Dao
Show Abstract
The development of pellicles for 157 nm lithography includes not only the determination of appropriate materials, but also the minimization of pellicle-induced distortions contributing to overlay error. In particular, the attachment of the pellicle to the reticle surface can cause both out-of-plane and in-plane distortions (OPD and IPD) which contribute to pattern placement errors. This research focused on identifying the mechanical characteristics of thin-film pellicles, and the effect of bonding the pellicle frame to the reticle. Several different pellicle designs and films were analyzed and compared, using experimental, analytical, and finite element (FE) methods. The pellicle film stress was determined via two experimental procedures. The first, a resonant frequency test, identified the natural frequencies and mode shapes. The film stress values were subsequently determined from their relation to the frequencies. In the second procedure, static measurements of the displaced shape due to applied loads were taken using an MTI Fotonic Sensor. The film stresses from these independent measurements were between 200 and 300 kPa. The effect of the pellicle bonding was determined interferometrically by measuring the change in OPD of the reticle. The OPD values corresponded to IPD magnitudes of approximately 10 to 20 nm. These distortions were also simulated with FE models to replicate the mounting process. Using these methods, alternative mounting schemes, procedures, and materials can be developed, tested, and analyzed to reduce distortions in future designs.
Reticle error correction for lithography tool qualification benefits and limitations
Author(s):
Ton Kiers;
Melchior Mulder;
Jan B.P. van Schoot;
Jacques A.C. Waelpoel;
Robert Uitz
Show Abstract
The objective of the Reticle Error Correction (REC) is to determine the exposure tool fingerprint in the Across Chip Linewidth Variation (ACLV). Extensive reticle and wafer measurements indicate hidden reticle issues contributing to ACLV. Some of these obscure reticle issues originate from the way the mask is produced, e.g. due to mask processing and mask writer equipment. Mask processing is traditionally focused upon as the largest cause for ACLV, but on high quality masks, mask writer properties can appear. In order to take these additional properties into account, an extended REC model is required using information from the "nearest neighbors". If not all the required reticle properties are measured and used, either by choice or by tool inability, then a reticle fingerprint also (partially) dominates the exposure tool fingerprint. The quality of the reticle measurements determines how well the exposure tool fingerprint can be revealed. REC is used to separate reticle and exposure tool contributions from ACLV. The methods that are used, and the results that are obtained, serve as a guide in showing where improvements can be made in mask making, mask metrology and exposure tools.
Analysis of photomask distortion caused by blank materials and open ratios
Author(s):
Seong-Yong Moon;
Won-Tai Ki;
Seung-Hune Yang;
Tae Moon Jeong;
Seong-Woon Choi;
Woo-Sung Han;
Jung-Min Sohn
Show Abstract
As optical lithography error budgets on pattern displacement become more and more stringent for features as small as <180 nm, overlay control will be one of the top challenges facing lithography in the future. However, mask induced error budgets are less considered than uniformity of a mask. In this paper, we demonstrate a pattern displacement caused by stress induced distortion, in-plane distortion(IPD) values of chrome-on-glass (COG) and phase-shift-mask (PSM) blanks, and overlay errors. The magnification after AR/Cr layer removal for a COG is 0.37 ppm, which corresponds to an IPD of 33 nm across 100 x 100 mm2 area. The IPD for PSM corresponds to 43 nm across 100 x 100 mm2 area with 0.48 ppm. The IPD for PSM increases dramatically with increasing open ratios, while that for COG only slightly increases. It is found that mix-match between steppers and scanners should be avoided as long as COG mask and PSM are mixed because errors such as skew and scan direction magnification are uncorrectable with steppers.
Innovations in lithography metrology for characterization of phase-shift mask materials
Author(s):
Dale A. Harrison;
John C. Lam;
A. Rahim Forouhi
Show Abstract
One of the difficult challenges faced by the semiconductor manufacturingindustry is the pressure to create ever more powerful, complex chips with smaller geometries. Currently, the demands for smaller feature sizes are being met by utilizing exposure wavelengths in the deep-UV range (248 nm and 193 nm). To further reduce feature size and squeeze the very last potential out of optical lithography, the technology has moved towards the incorporation of phase-shift materials. For such materials, rapid and accurate measurement is imperative to produce and maintain the correct phase-shift. The complete and accurate characterization of phase-shift materials requires that the measuring instrument provide phase-shift information plus thickness of the phase-shift material and values oin (the index of refraction and k (the extinction coefficient) at the specified wavelength. Furthermore, transmittance through both the phase-shift material and substrate must be measured at that specified wavelength. Specifically, the characterization data must indicate whether the ideal phase-shift of 180°, in addition to pre-specified transmittance in the 5-10% range, has been achieved. In this article we present a method of data collection and analysis that allows the phase-shift, film thickness and values of n and k to be determined simultaneously from the concurrent measurements of transmittance and reflectance, allowing the detection of non-uniformities in phase-shift in either patterned or un-pattemed films, with close correlation to direct-measured values. This technique offers the advantage of high throughput (entire masks can be characterized in minutes) and can be applied equally well to patterned or unpattemed masks.
UV inspection of EUV and SCALPEL reticles
Author(s):
Donald W. Pettibone;
Noah Bareket;
Ted Liang;
Alan R. Stivers;
Scott Daniel Hector;
Pawitter J. S. Mangat;
Douglas J. Resnick;
Michael J. Lercel;
Mark Lawliss;
Christopher Magg;
Anthony E. Novembre;
Reginald C. Farrow
Show Abstract
A UV inspection tool has been used to image and inspect Next Generation Lithography (NGL) reticles. Inspection images and simulations have been used to provide feedback to mask makers so that inspectability of NGL masks can be optimized. SCALPEL masks have high optical contrast and look much the same in reflection as conventional chrome on glass masks do in transmission. EPL stencil masks can be imaged well in reflection, but defects below the top surface, in the cutouts, may not be detectable optically. EUV masks that have been made to date tend to have relatively low contrast, with line edge profiles that are complex due to interference effects. Simulation results show that improved EUV inspection images can be obtained with a low reflectivity absorbing layer and proper choice of buffer layer thickness.
Ion projection lithography: new insights and results of this NGL technology
Author(s):
Thomas Struck;
Albrecht Ehrmann;
Rainer Kaesmaier;
Hans Loeschner
Show Abstract
As part of the European MEDEA project on Ion Projection Lithography (IPL) a Process Development Tool (PDT [1, 2]) has been designed and integrated. The ion-optics and the mask handling system are already assembled and integrated in the PDT. In order to test the ion-optics system (PDT-IOS), an ion beam pattern lock system as well as a metrology stage (in-situ array of faraday cups to measure beam uniformity, in-situ energy spread analyzer, in-situ distortion measurement unit) have been realized and are being integrated. The current status of the tool will be reported. In parallel to testing the ion-optics a test bench for a vertical vacuum wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. An ASML vacuum compatible optical wafer alignment system has been integrated to the wafer test bench system recently. In air an X/Y alignment repeatability of less than 3nm (3sigma) has already been demonstrated. In order to minimize the mechanical influences of the mask clamping and the therefrom arising critical in plane distortion (IPD)during exposure, a mask frame was developed. On the basis of Pattern IPD measurements on a LMS IPRO the influence was determined. Parallel to the IPL tool development, intensive development of IPL stencil masks is ongoing with success in producing 150mm [3] and 200mm [1,2] stencil masks. An overview of the stencil mask development will be reported. The dependence between boron doping and Si membrane stress will be discussed.
157-nm lithography for 100-nm generation and beyond: progress and status
Author(s):
Giang T. Dao;
Yan A. Borodovsky
Show Abstract
157-nm has emerged as the most favorable post 193-nm lithography choice. Significant progress has been made since it was initiated at MIT-LL in 1997. Material is perhaps the most critical issue of 157nm lithography in all areas of concern: optics, resist, and mask. CaF2 is the only material currently shown to be feasible for 157-nm lens though other materials namely BaF2 are being developed as secondary material. Due to limited availability of materials in conjunction with the difficulty in developing line-narrowed F2 laser, optics design is limited. Catadioptric lens design is being considered by most major exposure tool suppliers. Meanwhile, most conventional organic materials are opaque at 157-nm. New fluorinated polymers have been discovered and currently being developed for both resist and pellicle applications. Good progress in this area has been reported at the Sematech’s First 157-nm Symposium at Dana Point, California, May 9-11, 2000. Alternative approaches are also being developed. One example is thin layer resist process using conventional chemistry to overcome high absorption issue at 157-nm. Another is the so-called hard pellicle, i.e., a ~300-um thick film of the newly developed dry-fluorine doped fused silica is used instead of the typical 1-um thin organic membrane. On the other hand, major accomplishment has been reached in the field of mask blank material to replace the existing one for 157-nm application. The new dry and fluorine-doped fused silica has been shown to have good transmittance and radiation durability. Blank and reticle making using this newly developed material have been reported to be satisfactory even with current processes. High absorption at 157-nm also leads to other requirements such as surface molecular contamination removal and system purging. Therefore, reticle handling has become critical in that reticle purging, In-Situ cleaning and ESD prevention must be considered. While recognized to be issues, possible technical solutions have been proposed. This paper will provide an overview of 157-nm lithography development. Results will be presented to show progress. Critical issues covering exposure tool, resist and mask will be discussed.
Materials for an attenuated phase-shifting mask in 157-nm lithography
Author(s):
Takahiro Matsuo;
Toshio Onodera;
Toshiro Itani;
Hiroaki Morimoto;
Takashi Haraguchi;
Koichiro Kanayama;
Tadashi Matsuo;
Masao Otaki
Show Abstract
We have investigated new materials for 157nm attenuated phase-shifting mask (Att-PSM). The structure of the Att-PSM is based on the bi-layer film in which a transparent film (TF) is deposited on an absorptive film (AF) on quartz substrate. We evaluated the optical property and the durability against F2 laser irradiation for 157nm Att-PSM materials, for which we prepared the modified ZrSixOy films and SiOx film as a TF and the Cr film and the modified ZrSixOy films as an AF. For a TF, the SiOx and modified ZrSixOy films achieve high transparency and robust durability against F2 laser light. For an AF, the Cr film achieves robust irradiation durability. Furthermore, we investigated the feasibility of defect inspection in consideration of the various combinations of TF and AF. From the calculation of the transmittance at inspection wavelength (193nm and 248nm), it is expected that the defect inspection is feasible in the combination of the SiOx or ZrSixOy transparent films with any absorptive film.
Printing 0.13-um contact holes using 193-nm attenuated phase-shifting masks
Author(s):
Chun-Ming Albert Wang;
Shy-Jay Lin;
Chia-Hui Lin;
Yao Ching Ku;
Anthony Yen
Show Abstract
We investigate the performance of nominally 6% attenuated phase shifting masks (AttPSM) for 193nm in printing 0. 13?m contact holes using a variety of shifter materials. Imaging performance of AttPSMs with various shifter materials, transmission, and side wall angles is presented and compared. Aerial images from binary and phase-shifting masks are analyzed by a 193nm aerial image acquisition tool to distinguish the contribution of the mask from that of the resist process. Compared to binary masks, AttPSMs are capable of printing 0.13?m contact holes with twice the DOR Our results indicate that 193nm AttPSM holds promise for patterning contact hole in the manufacturing of next generation logic devices.
KrF attenuated PSM defect printability and detectability for 120-nm actual DRAM patterning process
Author(s):
Juhwan Kim;
Sang-Chul Kim;
Hee-Chun Kim;
Sang-Lee Lee;
Yong-Kyoo Choi;
Young-Mog Ham;
Oscar Han
Show Abstract
We investigated KrF attenuated PSM defect printability for 120nm node actual DRAM lithography process. A programmed defect mask was fabricated for the experiment, which contains three different background pattern layers of isolation, bit lines, and bit line contact holes of the 120nm DRAM device. Various types and sizes of MoSi defects such as extensions, intrusions, dots, and holes were programmed on those background patterns. We used a high NA DUV scanner and high contrast resist for wafer printing test. Based on the experimental results, we defined the non-printable defect sizes of MoSi defects and evaluated the detection capabilities of i-line inspection tools for those printable defects. In addition, we tested repair performance of current tools by comparing the process windows of defect patterns between pre-repair and post-repair.
Realization of mass production for 130-nm node and future applicatiton for high transmission using ZrSi-based attenuated phase-shift mask in ArF lithography
Author(s):
Toshihiro Ii;
Tadashi Saga;
Yusuke Hattori;
Takashi Ohshima;
Masao Otaki;
Masahide Iwakata;
Takashi Haraguchi;
Koichiro Kanayama;
Tsukasa Yamazaki;
Nobuhiko Fukuhara;
Tadashi Matsuo
Show Abstract
Attenuated phase shifting mask (att-PSM) is one of the key technologies for 130 nm and below device fabrication. We have proposed zirconium silicon oxide (ZrSiO) as a suitable material for next-generation att-PSM material. Through our optimization process both for film deposition and dry etching condition, we confirmed that we could control its phase shift and transmittance precisely. Because of its low film stress, we could neglect registration degradation. From its excellent spectral property, we can apply currently available defect inspection systems. Defect repair is easily performed by gas assisted etching. Further, we were successful to make high-transmittance material (16 %) at ArF laser source even keeping inspectability.
Effect of mask reduction ratio in alternating phase-shift masks
Author(s):
In-Gyun Shin;
Sung-Ho Lee;
Yong-Hoon Kim;
Seong-Woon Choi;
Woo-Sung Han;
Jung-Min Sohn;
Tong-Kun Lim
Show Abstract
In this paper we analyze the effect of mask reduction ratio in alternating phase shift masks. To properly predict image imbalance for different reduction ratios, a topography simulator was used. As the mask reduction ratio is increased, the aerial image imbalance is improved. As the reduction ratio is decreased, the amount of undercutting to compensate for the difference of image imbalance is increased. For undercut margins with lOOnm line/space patterns, 4X reduction has about ± 200 A of undercut margins, while 6X and 10X have about ± 300 A. The phase margin for 120 nm line/space patterns is about ± 1.5° regardless of reduction ratios. As the mask reduction ratio is varied, the optimum phase is shifted to keep the aerial image displacement constant through focus.
Plasma etching of quartz for the fabrication of alternating aperture phase-shift photomasks: etch rate uniformity study utilizing a next-generation ICP source
Author(s):
Russell J. Westerman;
Chris Constantine;
Jason Plumhoff;
C. Strawn
Show Abstract
As on-glass line widths shrink and exposure wavelengths approach the physical limitations of optical lithographic printing, the adoption of newer technology such as Off-Axis Illumination and Phase Shift Photomask technologies will substantially expand the operating life of DUV lithographic tools. In this article, the dry etch processes and Inductively Coupled Plasma (ICP) hardware iterations associated with the etch optimization of Levenson-style hard shifters are explored. These Alternating Aperture Hard Shifters currently adopt a single material form, with Levenson-style photomasks making use of a precise removal of quartz material between the Cr lines of a standard Photomask, improving the resolution of the exposed features. This precise quartz removal is performed utilizing dry etch technologies, with the use of high density, de-coupled plasmas such as ICP preferred. We explore Inductively Coupled Plasma shaping techniques along with newer etch processes for these materials, offering a Next Generation ICP Source design. Process conditions are verified and on-mask results are reported.
Proximity effects in alternating aperture phase-shifting masks
Author(s):
Christophe Pierrat
Show Abstract
This paper investigates proximity effect correction methodologies for alternating aperture phase-shifting masks. A simple approximation is used to investigate the proximity effects: at low sigma, the aerial image intensity on the wafer can be calculated by taking the square of the sum of the amplitudes of each pattern on the mask taken separately. Results show that proximity effects are mainly driven by low sigma illumination and that correcting chrome patterns is less efficient than modifying the diffraction of the patterns by changing the shape of the phase-shifting regions.
Fabricating 0.10-um line patterns using attenuated phase-shift masks
Author(s):
Haruo Iwasaki
Show Abstract
We studied the optical proximity effect, the depth of focus (DOF) and the mask-error-enhancement factor of ArF attenuated phase shift masks (att. PSMs) for application to 0.1-?m logic gate patterns. We made an ArF att. PSM with 6% transmittance and exposed it with an ArF scanner, NA = 0.60, using a 0.4- ?m-thick chemically amplified positive resist. We evaluated the performance under these conditions. We obtained the best result with annular illumination. The critical dimension variation range for the target was 23 nm from semi-dense to isolated line patterns. That was large but we could suppress it with bias optical proximity correction. The common DOF for both semi-dense and isolated line patterns was 0.4 pm, which is large enough to print 0.1-?m logic gate patterns. We confirmed good performance for fabricating 0.1-pm-logic gates with the ArF att. PSM and annular illumination.
Potentialities of sub-100-nm optical lithography of alternating and phase-edge phase-shift mask for ArF lithography
Author(s):
Sang-Sool Koo;
Hee-Bom Kim;
Hyoung-Soon Yune;
Jee-Suk Hong;
Seung-Weon Paek;
Tae-Seung Eom;
Chang-Nam Ahn;
Young-Mog Ham;
Ki-Ho Baik;
Kyu-Yong Lee;
Lee-Ju Kim;
Hong-Seok Kim
Show Abstract
The patterning potentialities of sub-100nm pattern for ArF lithography was evaluated with conventional alternating PSM (alt-PSM) for dense lines and spaces (L/S) and phase edge PSM (PE-PSM) for isolated lines of memory device. In dense L/S pattern,110nm pattern was defined with relatively small depth of focus(DOF) window(~ 0.2 ?m) due to phase error of mask. As pattern sizes was changed from 130nm to 200nm, critical dimension (CD) difference between two neighboring spaces was varied and it was assumed that micro loading effect was occurred in Qz etching. The linearity was guaranteed to dense L/S of 110nm and isolated line of 90nm, and Iso-Dense bias was controlled within 15nm. The 60nm and 70nm isolated lines of PE-PSM ware defined with good process windows in the case of OA_X size(X-direction size of Cr open area) of 0.5 ?m. The 55nm isolated line was also defined. The pattern shift of isolated lines was occurred with 4~7nm as phase of mask was varies within 190 ~ 200 ° . Though the alt-PSM with high numerical aperture (NA) for ArF lithography was strong candidates for sub-1 OOnm lithography of memory device, the issues of mask fabrication such as tighter phase control and minimizing etch loading effect would be big obstacles. On the contrary, there were many possibilities of sub-100nm patterning in PE-PSM with good process windows, however tighter control of pattern shift due to phase error must be studied intensively.
Sub-120-nm technology compatibility of attenuated phase-shift mask in KrF and ArF lithography
Author(s):
Young-Mog Ham;
Seo-Min Kim;
Sang-Jin Kim;
Sang-Man Bae;
Young-Deuk Kim;
Ki-Ho Baik
Show Abstract
This paper describes that attenuated phase shift masks (APSM) improve process margin compared to binary mask (BIM) in KrF and ArF lithography. We present the real problems to occur in the mask fabrication, process and mask error factor (MEF). As a result, sub-120nm cell patterns were delineated with 8% exposure latitude (EL) and ~0.6 ?m local depth of focus (LDOF) using 0.70NA KrF and APSM. The performance of ArF lithography (NA=0.63) shows the similar process margin with 10% EL and -0.6 ?m LDOF. Using APSM, we could obtain 14.4% EL and -0.6 ?m LDOF. We obtained process enhancement of 30% by using APSM. However, process instability is analyzed in a viewpoint of mask making and process issue such as mask fabrication capability, CD uniformity, and MEF. In simulation and experiment, 0.63NA ArF lithography shows resolution improvement compared to 0.70NA KrF. It is possible to obtain lOOnm pattern using ArF and APSM. Also, one of common issues is to reduce the MEF, which is decided by exposure and resist process condition. MEF is increased to about 4 or more in the sub-120nm range. This effect has influence on CD uniformity and EL margin. Reducing the MEF on the wafer, we have to optimize exposure tool, process, and mask. Shorter wavelength and APSM are one of candidates to minimize MEF. Therefore, ArF APSM is looking forward to high performance lithography.
Balancing of alternating phase-shifting masks for practical application: modeling and experimental verification
Author(s):
Uwe A. Griesinger;
Leonhard Mader;
Armin Semmler;
Wolfgang Dettmann;
Christoph Noelscher;
Rainer Pforr
Show Abstract
Alternating phase shifting masks have proven their capability to enhance the process window and to reduce the mask error enhancement factor effectively. The application of this mask type requires additional mask-properties compared to binary masks or halftone PSM. In this paper two of these mask-properties, the intensity and the phase balancing, are investigated experimentally for 4X and 5X masks at DUV and compared with simulations applying the T-Mask configuration of the SOLID-CM™ program. In a first part the experimentally determined balancing results are discussed. For the measurements two independent methods are compared: Balancing measurements with an AIMS-system (MSM100) and direct optical phase and transmission measurements using a MPM-248 system. The T-Mask as a 3D Maxwell solver allows the simulation of real 3D mask topography. We compare the results of simulations with measured AIMS data. All available mask data like depth of trenches, thickness and composition of chromium/CrxOy layers, etc. are taken as input for the simulations. The comparison enables an assessment of the possibilities and limitations of 3D mask- simulation. Based on 3D mask simulations CD-sensitivity of the different balancing methods was investigated also taking the influence of proximity into account. The simulations allow an assessment of the CD-sensitivity for four analyzed mask types for feature sizes below 150nm on the wafer.
High-performance devices in the new century: optical lithography and mask strategy for 0.13-um SoC (Photomask Japan 2000 panel discussion review)
Author(s):
Hiroichi Kawahira;
Vic Nagano
Show Abstract
In the Photomask Japan Symposium this year, a Panel Discussion was held on the optical lithography and mask strategy for 0. 13-um system on a chip (SoC) on April 13, 2000 at Yokohama. Major concerns are device process and business impact on mask and litho requirements, realistic mask specifications to meet such business, optical lithography technology strategy, the status of optical exposure tool development and mask with tighter specs. Then the most important issue for device, litho, exposure tools and masks are summarized. For lithography, layer by layer optimization of masks and illumination, smaller mask error enhancement factor (MEEF), phase-shifting mask (PSM) design integration to match existing layout rules and ArF exposure tool costs are the major tasks. For masks, quick mask delivery, 10-nm critical dimension (CD) control and optical proximity effect correction (OPC) pattern fidelity are the major ones.
Phase phirst! An improved strong-PSM paradigm
Author(s):
David Levenson;
John S. Petersen;
David J. Gerold;
Chris A. Mack
Show Abstract
The remaining difficulties in applying dual exposure dark-field strong-PSM technology can be overcome using the Sidewall Chrome Alternating Aperture (SCAA) mask structure, first proposed in 1992 and now fabricated. With all silica sidewalls covered and all chrome supported, the SCAA mask is largely immune to the phase and amplitude anomalies that cause spacewidth alternation as well as the design, fabrication and cleaning difficulties that plague other structures. Maxwell's equation solvers predict that the optical phase will be essentially independent of aperture size. Chips designed with their fmest features on a pre-defined regular grid can employ generic SCAA mask substrates in which the topography has been pre-patterned using wafer fab techniques. Guaranteed defect-free SCAA mask substrates will be manufactured in large quantity and low cost if the design grids become standardized. Fabricating strong-PSMs using these Phase Phirst mask substrates will prove no more difficult for mask-makers than COG masks, and the reduced MEEF will permit loosened CD specifications, among other advantages. The Phase Phirst Paradigm promises to reduce optical lithography costs —even for ASIC manufactures — and to delay the need for NGL technologies.
Modeling defect-feature interactions in the presence of aberrations
Author(s):
Andrew R. Neureuther;
Shoji Hotta;
Konstantinos Adam
Show Abstract
Programmed defects about 0.4 ?/NA in size are introduced in strong phase-shifting masks to produce exposure-sensitive printable artifacts for measuring lens aberrations. The programmed defects add interferrometric-like reference electric fields that coherently interact with the side-lobes of aberration sensitive pattern layouts to produce artifacts. The artifacts are separate but adjacent printed defects. The patterns are suitable for rapid reading by automatic wafer inspection equipment and directly indicate the levels of specific Zemike aberrations. High sensitivity to 0.01 X and good orthogonality with 12% confounding are possible for coma and trifoil. Results for even aberrations such as focus, astigmatism and spherical 3rd order are poor for 180° phase defect-probes but warrant further investigation with 90° phase.
Requirements for reticle and reticle material for 157-nm lithography: requirements for hard pellicle
Author(s):
Junji Miyazaki;
Toshiro Itani;
Hiroaki Morimoto
Show Abstract
Since conventional pellicle material is not transparent to 157nm light, we are developing a thick pellicle made of fused silica. The effect of aberration due to the pellicle on the optics of an exposure tool has been estimated by simulation, and requirements for the pellicle dimensions have been proposed. It was found that a thick pellicle generates spherical aberration, and this has to be corrected in the system optics. It was indicated that the tilt of the pellicle gives an image shift and coma aberration. Sagging of the membrane causes tilting, and the use of a thicker membrane improves the degree of sagging. However, the requirements for tilt angle are then tighter. It was also noted that wedge due to the thickness change generates an image shift and a coma aberration. The effect of wedge is reduced by having a lower pellicle stand-off, but this also increases the printability of particles. We need to consider the total effect of using a thick pellicle as a component of an exposure tool or an inspection tool in order to define the specification of a hard pellicle for 157nm lithography.
Impact of alternating phase-shift mask quality on 100-nm gate lithography
Author(s):
Tomohiko Yamamoto;
Naoyuki Ishiwata;
Satoru Asai
Show Abstract
A dual exposure method with an alternating phase shift mask has been proposed for using KrF laser lithography to fabricate 100 nm gate patterns for logic devices. Fine and uniform patterns can be formed and so this process is considered very advantageous in terms of the formation of gate for logic devices. Several factors determine the lithographic performance of the alternating phase shift mask: phase accuracy, amount of undercutting, quartz and chromium defects, and so on. It is thought that these factors need to be strictly controlled. We thus investigated the impact of errors in the fabrication of alternating phase shift masks to determine the quality required for the dual exposure method, focusing on three factors: phase accuracy, amount of undercutting, and defects. A phase error causes CD variation and lateral shift in the defocused condition. Unsuitable undercutting causes lateral shift at the best focus. Shifter and chromium defects cause CD variation and distortion of the gate patterns. Our experimental results showed that these factors do not need to be strictly controlled. We thus propose a fabrication process for alternating phase shift masks to be used in the dual exposure method. Keywords: Alternating phase shift mask, dual exposure, phase accuracy, undercutting, defect
Technological challenges in implementation of alternating phase-shift mask
Author(s):
Wilman Tsai;
Qi-De Qian;
Ken Mr. Buckmann;
Wen-Hao Cheng;
Long He;
Brian Irvine;
Marilyn Kamna;
Yulia O. Korobko;
Michael Kovalchick;
Steven M. Labovitz;
R. Talevi;
Jeff N. Farnsworth
Show Abstract
Alternating Phase Shift Mask (APSM) reticles is critical to achieve sub 0.1 um poly gate lithography. Intrinsic APSM image inbalance can be resolved with various methods such as isotropic etch and aperture sizing, where positional line-shift can be reduced to within 5nm of final CD target. Defect reduction of APSM fabrication is addressed with multiple-option strategy to achieve high manufacturing yield. After Develop Inspection (ADI) capability was demonstrated with partial and complete missing 180 deg apertures, detected at post-develop with correlation to Qz defect after dry etch. Feasibility of APSM inspection and repair was demonstrated with existing toolsets and critical gap versus APSM defect specification remained to be bridged.
Evaluation of molybdenum silicide for use as a 193-nm phase-shifting absorber in photomask manufacturing
Author(s):
Michael S. Hibbs;
Masao Ushida;
Katherina Babich;
Hideaki Mitsui;
Anatoly Bourov
Show Abstract
The introduction of 193-nm lithography is expected to provide a one-generation improvement in lithographic imaging capability. This will only happen if all of the enhancements presently being used for 248-nm lithography are also available at 193 nm. Attenuating phase shift materials have been developed by a few mask vendors for use at 193 nm. A molybdenum silicide phase shifting absorber has been developed by Hoya and evaluated by IBM and Hoya. Transmission and phase uniformity have been evaluated, and the contribution to these values from film thickness and etch variations have been identified. Plate-to-plate uniformity of phase and transmission have been measured. Durability of the film has been tested against 193-nm radiation exposure and chemical cleaning methods. Defect levels have been measured in the unprocessed film and the finished mask. The inspectability of masks made with this material has been evaluated on commercial inspection systems. The 193-nm molybdenum silicide film is compatible with etch and repair processes developed for 248-nm molybdenum silicide mask absorbers. The 193-nm molybdenum silicide film has a transmission of 6%, which is suitable for most attenuating phase shift applications. The film may be extendable to higher transmission values.
Emergence of assist feature OPC era in sub-130-nm DRAM devices
Author(s):
Byeongsoo Kim;
Insung Kim;
Gisung Yeo;
Junghyun Lee;
Ji-Hyeon Choi;
Hanku Cho;
Joo-Tae Moon
Show Abstract
In this paper, application of assist feature(AF)-OPC for 120nm DRAM device was investigated. For full chip level gate- poly patterning of DRAM device with 120nm design rule, attenuated PSM and OAI(annular type) were used to improve process margin for cell pattern and assist feature(AF) which is a type of OPC for sub-resolution was applied to isolated line in order to reduce iso-dense bias in peripheral area. From simulation and experimental results, the design rule of AF such as AF width, space to main pattern, and main pattern bias was extracted. And manufacturing attenuated PSM with AF, pattern fidelity and defect inspection for mask patterns were considered. Considering the experimental results, we can achieve good iso-dense bias and enlarge the common DOF of 120nm gate pattern with 248nm KrF lithography.
Eddy current evaluation for a high-resolution EB system
Author(s):
Naoharu Shimomura;
Munehiro Ogasawara;
Kiyoshi Hattori;
Jun Takamatsu;
Hitoshi Sunaoshi;
Shusuke Yoshitake;
Yuuji Fukudome;
Kiminobu Akeno
Show Abstract
A semi-in-lens electron beam (EB) optical system improves the beam resolution. However, the eddy current is induced in the target and deviates the beam position when the stage is moving continuously. We calculated the eddy current distribution by approximating the magnetic field on the target to a Gaussian distribution. In the mask-scan EB column1 the maximum value and the dispersion of the magnetic field on the target are 0.01 T and 30 mm, respectively. The beam shift due to the eddy current flowing in the Cr film on a reticle is 1.5 X 10-11 m at the stage speed of 0.1 m/s. Therefore, the eddy current does not degrade the positional accuracy.
Effect of beam blur in mask fabrication
Author(s):
Seung-Hune Yang;
Won-Tai Ki;
Seong-Yong Moon;
Tae Moon Jeong;
Seong-Woon Choi;
Woo-Sung Han;
Jung-Min Sohn
Show Abstract
Proposed high throughput electron beam systems require a large current, which intrinsically degrades the image quality due to Coulomb interaction effect. For that reason, the maximum achievable beam current is determined by the resolution required. Considerable efforts have been devoted to determine the beam blurs in electron beam systems. However, since measurement of the beam blur is highly difficult, we suggest three beam blur measurement methods in this paper: using process latitude, SEM resist figures and dot mark scan data. Although the results from these three methods do not agree exactly, it is possible to estimate beam blur, 90 nm 120 ran in mask writing system, EBM-3000 (Toshiba).
Integration of the Micronic Omega6500 into the mask manufacturing environment
Author(s):
Peter D. Buck;
Mans Bjuggren;
Hartmut Buenning;
Vishal Garg;
Johan Larsson;
Tomas Vikholm
Show Abstract
The Micronic Omega6500, a new high performance scanned laser mask lithography system, has been installed in a production mask facility of DuPont Photomasks, Inc. (DPI) The Omega6500 is a 5-beam system with an exposure wavelength of 413 nm, acousto-optic modulation beam intensity control and acousto-optic deflection. The use of a fast expandable datapath architecture along with a hierarchical data format allows extremely dense files to be printed at the full area coverage rate. Due to the differences between this tool and existing tools within DPI, and since this tool is completely new to the photomask industry, a cooperative project was initiated between DPI and Micronic to characterize the performance of the Omega6500 in a mask production environment. In specific we examined the optimization of the resist process, evaluated data handling capability and procedures, and determined changes required to job planning and manufacturing flow. Performance test procedures were created and used to evaluate the precision performance of the system. A production emulation plate suite was used to characterize pattern integrity and precision on real masks. Keywords: scanned laser lithography, photomask
Localized resist heating due to electron-beam patterning during photomask fabrication
Author(s):
Alexander C. Wei;
William A. Beckman;
Roxann L. Engelstad;
John W. Mitchell;
Thanh N. Phung;
Jun-Fei Zheng
Show Abstract
Localized resist heating effects that occur during electron beam (e-beam) patterning of optical masks can lead to critical dimension (CD) errors. These errors are due to unexpected resist development or underdevelopment, which is related to the temperature history of the resist. Eliminating this source of error requires a knowledge of the localized temperature history and how resist properties are impacted by elevated temperatures. Computer simulations of electron beam patterning of an optical mask can address the temperature history of the localized heating not possible through experimentation. Presented are the results of a study to determine the feasibility of using finite element (FE) analysis to predict these thermal effects. Two models were created to demonstrate its capabilities. The first shows that FE modeling is capable of high spatial resolution temperature profiles. The second demonstrates that FE models can be programmed to run complete patterning simulations.
Management of pattern generation system based on i-line stepper
Author(s):
Suigen Kyoh;
Satoshi Tanaka;
Soichi Inoue;
Iwao Higashikawa;
Ichiro Mori;
Katsuya Okumura;
Nobuyuki Irie;
Koji Muramatsu;
Yuuki Ishii;
Nobutaka Magome;
Toshikazu Umatate
Show Abstract
A Device mask of 180nm generation was fabricated by Photomask Repeater system and the performance of it proved to be high by the results of fabricated mask. Great margins between the results of the fabricated mask and specifications suggest that lower graded masks can be used as master masks. From this point of view, error budgets were estimated about CD uniformity and pattern placement. The required specifications for master mask were estimated for 180nm and 130nm lithography. In CD uniformity the specification is 50nm(3?) for 180nm and 30nm(3?) for 130nm lithography. In pattern placement the specification is 75nm(3?) for 180nm and 50nm(3?) for 130nm lithography. In defect size the specification is lOOOnm for 180nm and 900nm for 130nm lithography. The requirements of master mask are rather rough even for 130nm lithography and enough realistic.
Comprehensive simulation of e-beam lithography processes using PROLITH/3D and TEMPTATION software tools
Author(s):
Igor Yu. Kuzmin;
Chris A. Mack
Show Abstract
Because of the complexity of physical mechanisms and chemical reactions involved in e-beam patterning, there is no single software tool that is capable of modeling all processes. A comprehensive simulation approach for the entire e-beam lithography process is presented. This is possible by combining the simulation strengths of the TEMPTATION (Temperature Simulation) and PROLITH/3D software tools. Compatibility of the two software tools was developed by matching internal formats of intermediate simulation data. Monte Carlo simulation of a single point energy distribution, proximity effects, local temperature rise and corresponding change of resist sensitivity, absorbed energy in exposure of a pattern at given condition, post-exposure bake, acid diffusion in the resist, and resist development were simulated. The simulation was followed by analysis of resulting resist profile, including critical dimensions, wall slope, and residual resist thickness. Examples of simulations demonstrated use of this comprehensive simulation approach.
Improved process control of photomask fabrication in e-beam lithography
Author(s):
Byung-Cheol Cha;
Jin-Hong Park;
Yo-Han Choi;
Jin-Min Kim;
Woo-Sung Han;
Hee-Sun Yoon;
Jung-Min Sohn
Show Abstract
In mask-making process with e-beam lithography, the process stabilization can be evaluated by looking at the fluctuation of critical dimension (CD) uniformity, mean to target(MTT), and defect controllability. Among them, the capability of CD uniformity and mean to target depends strongly on the acceleration voltage of an exposure machine. Generally, a high acceleration voltage has advantages on dose latitude, pattern fidelity and CD linearity due to its small forward scattering range. Therefore, those merits using a high acceleration voltage can provide a higher yield for production photomask. In this paper, we have examined the CD uniformity and the MTT capability for production photomask fabrication in order to compare the process stabilization between 50 keV and 10 keV. By choosing a 50 keV exposure, significant improvements can be made in CD uniformity and MTT capability.
Investigations of CD variation in Cr dry etching process
Author(s):
Hitoshi Handa;
Satoshi Yamauchi;
Kouji Hosono;
Hisatsugu Shirai
Show Abstract
In this report, origins of CD error caused through Cr dry etching were investigated and some process conditions were evaluated for the advanced reticle productions. It is shown that resist patterns of ZEP-7000 written with MEBES-4500 showed a little CD deviation between the sparse and dense regions. These errors could be easily emphasized after Cr dry etching. Some dry etching conditions were examined and improvements were confirmed after the addition of etching assist gas and adequate intensity of AC magnetic field of MERIE (Magnetically Enhanced Reactive Ion Etching) system. It is also shown that resist profiles after development play important role in the CD distribution after dry etching for the reticle contained both sparse and dense region on the same plate. With our conventional condition, resist profile of ZEP-7000 showed a gentle slope after development. It is proved that this lower pattern contrast makes the Cr CD difference due to pattern loading much worse. Minimum CD error could be obtained through the process that made resist profile almost vertical. These results imply that total adjustments, not only for dry etching conditions but also for resist process that gives us the highest pattern contrast, are needed to solve the complex issues for the advanced CD control.
Evaluation of photomask blank layer parameters with an x-ray reflection method and photomask property distribution
Author(s):
Teruyoshi Hirano;
Atsushi Hayashi;
Yoshihiro Hino;
Hiroshi Wada;
Masao Otaki;
Ryuji Matsuo
Show Abstract
The pattern size in current and future photomask system will be very fine. The high uniformity of optical properties, critical dimensions, errors are required. The layer thickness estimation is one of most important subject for the design up of high quality photomask blank. To control photomask specifications, layer thickness evaluation is a key technology. High precision and accuracy measurement method has been needed. The grazing-incidence X-ray reflectivity method is very useful in order to measure thickness, density and interface roughness of layers in photomask blank system. It is a nondestructive and an absolute measurement method. In this paper, we discuss the correlations between measured optical density, reflectivity distribution of photomask blank and layer thicknesses which were calculated X-ray reflectivity method. A Cr oxide / Cr nitride thin film photomask blanks were prepared with DC sputtering method. The X-ray reflectivities of those photomask blanks were measured with RIGAKU ATX-E diffractometer system with asymmetric channelcut monochrometer. The thicknesses maps of the photomask blanks were calculated with RIGAKU XDD° program system. The optical properties distributions were measured with spectorophotometer and optical density meter. The correlations between the optical properties and layer thicknesses, chemical compositions were evaluated. The current photomask blank has high uniformities of chemical compositions, surface geometries, crystal structures and other properties. We evaluated the correlations between photomask properties and layer thicknesses. The optical properties distributions are affected by layer thicknesses distribution of photomask blank.
Dry etching technology of Cr and MoSi layers using high-density plasma source
Author(s):
Hyuk-Joo Kwon;
Kwang-Sik Oh;
Byung-Soo Chang;
Boo-Yeon Choi;
Kyung-Ho Park;
Soo-Hong Jeong
Show Abstract
We have manufactured dry etcher system for photomask process utilized the new plasma source and process optimizations have been done for CD (critical dimension) uniformity and loading effects. The 3 ? of CD uniformity(final CD - develop CD, point by point subtraction) of Cr pattern, with 132 x 132 mm2 area and 11 x 11 pattern arrays, was obtained below 10 nm, where the target CD is 0.8 um clear pattern. Cr and MoSi slopes are 88° ~ 90° , which shows highly anisotropic etch. The selectivity of PR to Cr was over 1.6 at the clear area ratios of < 50 % and the selectivity was mainly affected by oxygen partial pressure and clear area ratio. Phase uniformity for PSM was 180 ± 1° and transmittance uniformity is within 6.3 ± 0.02 %. Validity and probability of dry etcher system to produce next generation photomask were discussed.
Develop process optimization for CD uniformity improvement
Author(s):
Jae-Cheon Shin;
Joon-Il Won;
Ho-Yong Jung;
Mun-Sik Kim;
Yong-Kyoo Choi;
Oscar Han
Show Abstract
It is required that CD uniformity in the mask fabrication process should be controlled much more tightly for manufacturing mask to meet below 0.13um design rule of photolithography. The first factors that affect CD uniformity on mask are resist thickness, range, and uniformity of coating temperature, etc. The second factors are fogging effect happening during E-beam writing and CD error caused by E-beam stitching in local area. So, It is on checking and evaluating new equipments as well as suitable process condition. The third is develop process factor that the space CD of mask center area is larger than that of edge area in spin type develop process. Various process recipes and chemical spraying methods is also on applying and evaluating to solve the problem like this. The forth is dry etch factors which are CD error resulted from the unstable plasma condition, inappropriate etch time, error factor from the poor resist selectivity, and CD difference caused by non-optimized exhaust condition in etcher. In this paper, the third factor is discussed, and the method to optimize develop process is studied and evaluated
Laser resist screening for iP3500/3600 replacement for advanced reticle fabrication
Author(s):
Fumiko Ota;
Hideo Kobayashi;
Takao Higuchi;
Keishi Asakawa
Show Abstract
This paper will describe resist screening results for iP3500/3600 replacement for the advanced laser reticle fabrication, resist coating thickness optimization proposal for the next generation as well. THMR-M100 (TOK) showed the best pattern profile with sharp shoulders and almost with no footing, and a newly developed resist, a joint-work between HOYA and a resist maker, showed the best performance in adhesion to chrome. However, there was not the best selection found unfortunately by this screening, which exceeded iP3500 in linearity and iso-dense bias (IDB) that was indispensable one for the advanced laser reticle fabrication. As regards coating thickness, we selected 307.5 nm thick as a candidate for coating thickness standard for the future with considering resist resolution performance such as linearity, y p(0-80) value and undercut, in conjunction with a risk of clear pinhole defects. For more precise comparison of iso-dense bias (IDB) performance, it would be better that the examination method is standardized because of the design pattern dependence of IDB.
CARs blanks feasibility study results for advanced EB reticle fabrication
Author(s):
Masahiro Hashimoto;
Hideo Kobayashi;
Yasunori Yokoya
Show Abstract
For advanced EB reticle fabrication, we have been studying chemically amplified resist (CAR) blanks feasibility as a mission of blanks supplier, since the mask-makers are likely to procure resist-coated blanks even with CARs for the future as they have been. In our study, we found several difficulties to utilize CARs for mask-making, such as CD movement due to delays in process, excess footing, CAR poor adhesion to chrome, spray-develop damage defects and so on. Then, we are working on solutions by joint-work with some resist makers, by new CARs development and process optimization. In addition, we did CARs performance comparison, by a practical 50keV-exposure tool, between a newly developed CAR and Tokyo Ohka Kogyo EP-009 as a benchmark, which was previously selected. This paper describes our findings how to use CARs for mask making as well as our comparative evaluation results between EP-009 and a newly developed CAR. 1. INTRODUCTION
Characterization of an acetal-based chemically amplified resist for 257-nm laser mask fabrication
Author(s):
Benjamen M. Rathsack;
Cyrus Emil Tabery;
Jeff A. Albelo;
Peter D. Buck;
C. Grant Willson
Show Abstract
Chemically amplified resists are sought that have optimum performance at 257 nm while providing the post-coat and post-exposure stability required for mask fabrication. An acetal based resist, AZ DX1100 (Clariant), was explored due to its post-coat and post exposure delay stability. A photodestructable base is present in the resist to capture acid that transported into unexposed regions and to minimize the effects of environmental base contamination. Lithography simulation was used to simulate the acetal resist process at 257 nm. These simulations demonstrated some of the effects of standing waves and resist absorption. Reflectivity simulations were conducted to evaluate the influence of the photomask substrate on standing waves. Bake optimization experiments (PAB/ PEB) were performed to establish conditions that minimize standing wave effects while maximizing the resist sidewall angle. Acetal resists are low activation energy systems that deprotect at room temperature. The time scale and extent of the deprotection reaction at room temperature was quantified over the time frame (hours) required for typical mask writing strategies. The deprotection reaction was measured indirectly by monitoring the resist thickness change using a development rate monitor (DRM). This analysis provides insight into the amount of deprotection that occurs at room temperature and during the post-exposure bake.
Effective data compaction algorithm for vector scan EB writing system
Author(s):
Shinichi Ueki;
Isao Ashida;
Hiroichi Kawahira
Show Abstract
We have developed a new mask data compaction algorithm dedicated to vector scan electron beam (EB) writing systems for 0.13 pm device generation. Large mask data size has become a significant problem at mask data processing for which data compaction is an important technique. In our new mask data compaction, 'array' representation and 'cell' representation are used. The mask data format for the EB writing system with vector scan supports these representations. The array representation has a pitch and a number of repetitions in both X and Y direction. The cell representation has a definition of figure group and its reference. The new data compaction method has the following three steps. (1) Search arrays of figures by selecting pitches of array so that a number of figures are included. (2) Find out same arrays that have same repetitive pitch and number of figures. (3) Search cells of figures, where the figures in each cell take identical positional relationship. By this new method for the mask data of a 4M-DRAM block gate layer with peripheral circuits, 202 Mbytes without compaction was highly compacted to 6.7 Mbytes in 20 minutes on a 500 MFIz PC.
Pushing SRAM densities beyond 0.13-um technology in the year 2000
Author(s):
Orest Bula;
Rebecca D. Mih;
Eric Jasinski;
Dennis Hoyniak;
Andrew Lu;
Jay Harrington;
Anne E. McGuire
Show Abstract
For any given technology in the logic foundry business it is highly desirable to offer a dense SRAM design which can be manufactured using the same mask and wafer toolsets as the base design. This paper discusses the lithographic issues related to imaging a pseudo-0.11 um technology within a 0.13 um ground rule, including optical proximity correction, design, mask making issues, and comparison of top-down SEM to simulation. To achieve a dense SRAM and quick turn around on design shrinks, simulation and experimental feedback are key. In this study, SRAM cells were redesigned, and a well calibrated resist and etch bias model, in conjunction with a fast micro lithographic aerial image simulator and mask model, were used to predict and optimize the printed shapes through all critical levels. One of the key issues is the ability to correlate and feedback experimental data into the resist simulation. Experimental results using attenuated phase shift masks and state-of-the-art resist process technology are compared to the simulation.
Improvement of the efficiency of OPC data handling
Author(s):
Nobuhito Toyama;
Takayuki Ikemoto;
Kouji Ishida;
Hiroyuki Miyashita
Show Abstract
OPC has been acknowledged essential technique to achieve low k1 lithography applying any optical process or even if phase-shift controlling. Since several years ago some aspect of OPC technique has been realized with OPC oriented tools, applied to actual design, and many success stories are reported. However according to semiconductor design scale itself is growing as millions of transistors a year as the rule decreasing, so called aggressive OPC is forcing not only data handling distress but also reticle fabrication difficulty even though the hardware's progressing. In this paper, we introduce the evaluation of the performance and the effect from weak to aggressive OPC that has been proposed. Then we will discuss the improved OPC applying modeling and criteria based on the trade off between OPC data handling efficiency and the effect.
OPC reticle inspection techniques
Author(s):
Aihua Dong;
Bryan W. Reese
Show Abstract
Current manufacturing techniques for advanced wafers require reticle patterns to contain a variety of OPC structures. These structures include several types and sizes of serifs and assist bars creating many technical challenges for reticle inspection. While these OPC structures have evolved over the past few years, so has KLA-Tencor’s inspection algorithm product line. Photronics and KLA-Tencor are jointly examining the performance of two main algorithms (AOP and ATSdb) regarding their ability to inspect several production reticles containing various serifs and assist bars. By examining the results of these algorithms, their ability to inspect OPC reticle patterns can be compared. Part of the test criteria includes examining the number of real and nuisance defects produced by each inspection. To inspect a variety of serifs and assist bars, each algorithm requires their default sensitivity settings to be modified. These sensitivity settings are then used to inspect a Verimask to reveal their relative sensitivity capabilities. Finally, by comparing the sensitivity results from these Verimask inspections, further analysis of the performance for these algorithms can be accomplished.
Evaluation of a multiple-beam defect inspection platform using an integrated reference mask
Author(s):
Jerry Xiaoming Chen;
Franklin D. Kalk;
Anthony Vacca;
Scott Pomeroy;
Jordan Carroll
Show Abstract
Many inspection tools generate massive false defects in the presence of radical OPC decorations or sub spec line widths causing system aborts and retries. We have defined the inspectivity (or runability) of a tool as the ability to complete inspections in the presence of challenging geometry, while still maintaining high defect sensitivity. A new UV wavelength multiple beam reticle inspection tool has been designed to dramatically reduce inspection time with improved inspectivity. Comprehensive inspection system capability evaluation should include both sensitivity and runability (i.e., ability to inspect various pattern types and sizes). An integrated reference mask was designed previously in order to quantify runability. The mask contains several typical industry feature types at multiple technology nodes. Detailed sensitivity and runability information for two inspection systems has been analyzed and is presented here. The test results provide direction for future improvements by identifying the strengths and weaknesses of inspection systems and their algorithms. In this study we have compared the sensitivity and runability of the KLA-Tencor 365UV-HR to that of the new TeraSTAR™ multiple beam inspection tool.
Soft defect printability: correlation to optical flux-area measurements
Author(s):
Darren Taylor;
Peter Fiekowsky
Show Abstract
Soft defects on photomasks have, historically, been difficult to measure, and predict how the measured size of a soft defect will correlate to what prints, if at all. Over the past few years KLA-Tencor STARlight surface inspection has become the inspection of choice for soft defects. Though the capture rate of this tool is exceptional, the defect sizing capability has lacked in accuracy. Customer specifications have traditionally been built around defect size and transmission. If a given defect cannot be accurately sized then it cannot be accurately dispositioned. In this study we are attempting to show a correlation between the AVI defect measurement tool sizing and what actually prints on the wafer. We will show defect sizing both from the KLA-Tencor STARlight and pattern tools, the AVI tool, AIMS and VSS printability data.
Neolithography Consortium: a progress report
Author(s):
James E. Potzick
Show Abstract
The role of process simulation is becoming an increasingly important part of microlithography process control and photomask metrology as wafer feature sizes become smaller than the exposure wavelength, because the pattern transfer from photomask to wafer is nonlinear due to diffraction and other effects.
Characterization of Be-based multilayer masks using x-ray reflectivity and Auger electron spectroscopy
Author(s):
James R. Wasson;
Pawitter J. S. Mangat;
Jon M. Slaughter;
Scott Daniel Hector;
Sasa Bajt;
Patrick A. Kearney
Show Abstract
With the acceptance of AAPSM’s by most major semiconductor manufacturers, it is necessary to build a significant number of these masks in a cost effective and controlled manner. Optical methods of metrology used for many years in the photomask industry for binary masks are unsuitable for certain metrology applications related to AAPSM manufacture and repair. Recent work performed on a Dimension 9000M-PM automated atomic force microscope shows promise for both process control and defect review applications for AAPSM’s and overcome some of the limitations of optical and SEM based metrologies. AFM metrology is shown to be able to quantify shifter step heights and phase error for features as small as 100 nm. Further, these systems are able to read in defect coordinate maps and automatically drive to these sites and scan. The scanned data provides pixel-by-pixel height data that can be used by repair tools to establish the proper dose for defect ablation.
SCALPEL mask parametric study
Author(s):
Gerald A. Dicks;
Roxann L. Engelstad;
Edward G. Lovell;
James Alexander Liddle
Show Abstract
Electron-beam projection lithography is a prime candidate for producing sub-100 nm linewidths. Critical to its success is the development of a low-distortion membrane mask. Membrane distortions are a result of fabrication and exposure and manifest themselves as pattern placement errors; thus, the sources of distortion must be identified, controlled, and minimized. Mechanical modeling via finite element (FE) methods provides an invaluable tool for accomplishing this task. Consequently, the FE method was used in conjunction with a series of designed experiments to efficiently identify and control the most influential parameters involved in the development of the Scattering with Angular Limitation Projection Electron-beam Lithography (SCALPEL) mask.
Evaluation of an advanced chemically amplified resist for next-generation lithography mask fabrication
Author(s):
Christopher Magg;
Michael J. Lercel;
Mark Lawliss;
Ranee W. Kwong;
Wu-Song Huang;
Marie Angelopoulos
Show Abstract
Interest in chemically amplified (CA) resist systems has been increasing for advanced mask fabrication due to their superior e-beam sensitivity, contrast and resolution compared to traditional non-CA e-beam resists on high kV (50-100kV) exposure systems. However, most CA resists available are sensitive to temperature variations during the post apply and/or post exposure (PAB/PEB) process steps. This temperature sensitivity can result in poor CD uniformity across the mask. An advanced positive tone CA resist developed at IBM, KRS-XE, has been investigated for use in the fabrication of NGL masks (the continuous membrane and stencil versions of electron projection lithography as well as proximity x-ray masks). KRS-XE is an improved ketal resist system that is robust towards airborne contaminants, is compatible with 0.263N TMAH aqueous developer and has a wide PAB/PEB process latitude. This CA resist has been found to be insensitive with respect to dose and CD over a PAB temperature range of 105°C to 120°C and a PEB temperature range from room temperature to 110°C. Line/space features down to 75nm have been demonstrated in this resist on the IBM EL4+ 75kV vector scan e-beam system. This paper discusses the performance of KRS-XE with respect to CD uniformity on NGL membrane masks and compares the experimentally obtained results from both KRS-XE and a PEB sensitive CA resist (Shipley UVIII™) against the PEB temperature variations predicted from a finite difference model for each mask format.
Fabrication process and transmission characteristics of SCALPEL mask blanks with thin SiNx membranes
Author(s):
Sang-In Han;
Pawitter J. S. Mangat;
William J. Dauksher;
Michael Chor;
James Alexander Liddle;
Anthony E. Novembre
Show Abstract
The SCALPEL® (Scattering with Angular Limitation Projection Electron Beam Lithography) mask technology is based on the electron-scattering properties of the patterned scatterer film present on the thin membrane material in conjunction with an aperture in the back focal plane of the projection optics of the exposure tool. Thus, the membrane material that supports the scatterer patterns should be highly transmissive, leading to more efficient utilization of source energy and reduced thermal management demands in the system, particularly in the areas above the mask. However, the fabrication of SCALPEL masks with thin membranes has been one of the most key challenges in process development. Even though 100-nm thick SiNx is currently employed as the standard thickness for SCALPEL masks, there has been a drive to explore the membrane yield for thinner SiNx films. Through optimization of the LPCVD SiNx process, we have demonstrated the fabrication of SCALPEL mask membranes with approximately 50nm thick SiNx with a repeatable yield. The measured experimental electron transmission data agrees well with the calculation from a theoretical model.
Predicting thermomechanical distortions of optical reticles for 157-nm technology
Author(s):
Amr Y. Abdo;
Roxann L. Engelstad;
William A. Beckman;
John W. Mitchell;
Edward G. Lovell
Show Abstract
To extend optical lithography technology to the sub-100 nm linewidth regime using 157 nm UV light, all mask-related distortions must be eliminated or minimized. Thermal distortion during the scanning exposure process is a significant contribution to the total pattern placement error budget for advanced photomasks. This paper presents the results of numerical simulations predicting the transient thermal response and the corresponding structural response of modified fused silica reticles during scanning exposure with 157 nm light. Full threedimensional finite element heat transfer and structural models have been developed. Transient and periodic steady- state temperature distributions have been determined for typical exposure duty cycles. Corresponding in-plane and out-of-plane thermal distortions are also presented. Parametric studies were performed to identify the effect of the system variables on the thermomechanical response of the reticle. Simulation results for 157 nm and 193 nm technologies are subsequently compared.
Predictive model of the cost of extreme-ultraviolet lithography masks
Author(s):
Scott Daniel Hector;
Patrick A. Kearney;
Claude Montcalm;
James A. Folta;
Christopher C. Walton;
William M. Tong;
John S. Taylor;
Pei-yang Yan;
Charles W. Gwyn
Show Abstract
A model has been developed to predict the cost of extreme ultraviolet lithography (EUVL) masks. The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor and is coated with reflective Mo/Si multilayers. Absorber layers are deposited on the multilayer and patterned. EUVL mask patterning will use evolutionary improvements in mask patterning and repair equipment. One of the challenges in implementing EUVL is to economically fabricate multilayer-coated mask blanks with no printable defects. The model of mask cost assigns yield and time required for each of the steps in fabricating EUVL masks from purchase of a polished substrate to shipment of a patterned mask. Data from present multilayer coating processes and present mask patterning processes are used to estimate the future cost of EUVL masks. Several of the parameters that significantly influence predicted mask cost are discussed in detail. Future cost reduction of mask blanks is expected from learning on substrate fabrication, improvements in low defect multilayer coating to consistently obtain <0.005 defects cm-2, and demonstration of multilayer smoothing which reduces the printability of substrate defects. The model predicts that the price range for EUVL masks in production will be S30-40K, which is comparable to the price of complex phase shift masks needed to use optical lithography for 70 nm critical dimension patterning.
Dry etching of Ta absorber for EUVL masks
Author(s):
Eiichi Hoshino;
Taro Ogawa;
Naoya Hirano;
Hiromasa Hoko;
Masashi Takahashi;
Hiromasa Yamanashi;
Akira Chiba;
Masaaki Ito;
Shinji Okazaki
Show Abstract
To obtain a vertical profile for the Ta absorber pattern of an EUVL (Extreme Ultraviolet Lithography) mask, the dry etching conditions were reconsidered. The experiments employed ECR (electron cyclotron resonance) plasma etching and Si wafers coated with a 40-nm-thick SiO2 buffer layer and a 100-nm-thick Ta absorber layer. Two-step etching was performed to obtain vertical pattern profiles. In the first step, the surface region of the Ta absorber was etched with a mixture of BCl3 and Cl2 gases to reduce the residue from the native oxide film. Then, the absorber was etched with Cl2 gas at a higher RF (radio frequency) bias power to obtain a vertical profile and smooth sidewalls. Furthermore, the thermal behavior of a standard 6- inch-square 250-mil. substrate was also simulated. It was found that the temperature rise of the substrate could be kept under 150 deg. Celsius.
157-nm photomask handling and infrastructure: requirements and feasibility
Author(s):
Jerry Cullins;
Edward G. Muzio
Show Abstract
Photomask handling is significantly more challenging for 157nm lithography than for any previous generation of optical lithography. First, pellicle materials are not currently available which meet the requirements for 157nm lithography. Polymeric materials used at 193nm and above are not sufficiently transmissive at 157nm, while modified fused silica materials have adequate transmission properties but introduce optical distortion.
Is it time to change mask magnification?
Author(s):
Gilbert V. Shelden
Show Abstract
Last year's first reduction ration workshop ended in a consensus to maintain mask magnification at 4X, presentations at the meeting centered on when the mask industry could be ready for the lOOnm and 70nm lithography nodes as defined in the ITRS. The majority of the participants indicated by survey that they believed an increase in magnification from 4X to 5X or 6X is needed to pull the projected availability of photomasks in two years (2002) at the lOOnm node. Equivalently keeping the scan/field height a 22mm allows for 5X reticles to remain on 6" substrates. Some support was shown for 7" reticles but very little for 9" reticles based on the perceived difficulty to manufacture and high costs.
Impact of surface contamination on transmittance of modified fused silica for 157-nm lithography application
Author(s):
Jun-Fei Zheng;
Ronald Kuse;
Arun Ramamoorthy;
Giang T. Dao;
Fu-Chang Lo
Show Abstract
In this paper we present studies on the optical transmittance of modified fused silica substrates subject to mask making dry etch and wet clean processes, mask handling, and photon chemical clean. Using a custom built nitrogen purged in-situ transmittance measurement system with a 172-nm Xe Excimer lamp photon chemical clean unit we have achieved measured transmittance up to 87% because of the removal of surface contamination. We concluded from the experiments that: (1) Transmittance of the as-shipped mask substrate is lower than that after the photon chemical clean, (2) Chromium dry etch not only caused a transmittance loss but also made the transmittance uniformity worse, (3) Acidic wet clean must be done after the Chromium etch to recover transmittance loss and uniformity problem due to contamination introduced in Chromium etch, (4) Long time storage (more than 30 days) and short term handling (a few minutes) in ambient condition both degrade transmittance. We found that in-situ transmittance measurement after the photon chemical clean is needed in order to eliminate the transmittance measurement uncertainty due to surface contamination
Double-step process for manufacturing reticle to reduce gate CD variation
Author(s):
Makoto Kozuma;
Masaya Komatsu;
Rieko Arakawa;
Seiji Kubo;
Tatsuya Takahashi;
John Jensen;
Hyun-Suk Bang;
Il-Ho Lee;
Cheol Shin;
Hong-Seok Kim;
Keun-Won Park
Show Abstract
In low k1 lithography, reticle quality decides the process capability. Therefore, we must minimize CD errors on the reticle plate. Double Step process (DS process) is a unique method to improve CD uniformity of line patterns on the active region of poly layer reticle. In DS process, poly layer design is divided into the active region and the non-active region. And then, these two regions are processed individually. By using this procedure, pattern density variation across the reticle plate is reduced when making line patterns on the active region. As a result, the loading effect of the dry etching process reduced, and CD uniformity of these patterns can be improved. Using this technique of reticle fabrication, CD uniformity could be improved. Particularly, the range of CD variation of line patterns in logic cells was drastically reduced from 29nm to 20nm.
Comparison study between stepper (5x) and scanner (4x) for gate CD control using total process-proximity-based correction
Author(s):
Byung-Ho Nam;
Dong-Seok Kim;
Byung-Jin Cho;
Nam-Ki Seok;
Jae Kwan Jeong;
Sang-Pye Kim;
Sang-Woo Kang;
Young Ju Hwang;
Young Jin Song
Show Abstract
DUV scanner (4x) gate CD control was evaluated and compared with that of DUV stepper (5x) under the concept of total process proximity based correction (TPPC), merging all the process step error correction from mask fabrication to wafer etch process. We found that different iso-dense bias should be applied in the case of scanner. This is mainly caused by different optical proximity effect (DI-mask CD), that is, scanner shows nearly constant optical proximity effect regardless of the size of pattern CD while that of stepper is strongly dependent upon the size of pattern CD. Application of the concept of TPPC in DUV scanner enabled us to control FI CD within specification. A correction rule table was experimentally obtained using TPPC concept. Process capability of controlling gate CD in DRAM fabrication should be improved by this method.
Development of simplified process for KrF excimer halftone mask with chrome-shielding method: II
Author(s):
Shinji Kobayashi;
Kunio Watanabe;
Kiyochige Ohmori
Show Abstract
A new simplified process is developed successfully for KrF excimer half-tone mask with chrome shielding method. It is useful for shortening process time of half-tone mask with two layers of chrome and half-tone film. We reported a simplified process in BACUS99. The old simplified process had problems in the stability of ashing rate and the resolution of chrome etching. The instability of ashing rate causes mask defects. And the enhancement of resolution is required for small hole patterns in the case of 4X scanner compared with 5X stepper. In the new simplified process, the stability of ashing rate is achieved by the change of etching sequence, and the resolution is enhanced by optimizing chrome etching conditions. It is confirmed in this paper that the half-tone masks fabricated by the new simplified process have mask quality and optical capability which are required in fabrication of devices for 0.15um generation.
Ion-beam sputter-deposited SiN/TiN attenuating phase-shift photoblanks
Author(s):
Laurent Dieu;
Peter F. Carcia;
Hideaki Mitsui;
Kunihiko Ueno
Show Abstract
Improving microprocessor speed, design and density are mainly determined by the minimum feature size that can be imaged on the wafer [1]. On the other hand, the latter is limited by the optics, the lithographic wavelength and the process used. Phase shift photomasks were introduced to extend the usefulness of any optical lithographic generation [2,3]. As smaller feature sizes are required by the IC industry, the use of phase shift masks is expected to increase for a specific stepper generation.
Tritone inspection for embedded phase-shift mask
Author(s):
Wen-Hao Cheng;
Jeff N. Farnsworth;
Edita Tejnil
Show Abstract
Embedded phase shift masks (ePSM) are critical to patterning the contact layer of integrated circuit devices of 130 nm technology node and beyond. Required ePSM inspection methodologies needed for the successful manufacturing of a “defect-free” ePSM are discussed in this study. We present an analysis of different inspection schemes for handling inspection system optical signals from tritone ePSM. Programmed defect ePSM plates with 6% shifter material transmission fabricated for 248 nm and 193 nm wafer exposures are characterized by metrology tools and inspected on existing optical mask inspection systems. Capture rates for various defect types are analyzed. The results of inspection sensitivity analysis are also compared with the defect specifications based on a defect printability simulation study. Key challenges ternary ePSM inspection are also discussed
Effects of shifter edge topography on through focus performance
Author(s):
Shoji Hotta;
Thomas V. Pistor;
Konstantinos Adam;
Andrew R. Neureuther
Show Abstract
We have investigated the effects of the topography of the phase-shifting mask on the aerial image characteristics in DUV lithography. The calculation of near fields is carried out through simulation of the mask with TEMPEST and linking the resultant near fields to EM-Aerial for imaging. It is shown that the Fourier spectrum for an alternating phase-shifting mask can be decomposed into Fourier spectra for single openings. The amplitude and phase of the diffraction orders for the single opening are utilized for the systematic analysis of the shifter edge topography. The analysis framework developed in this paper clearly identifies the effects of the wall of the phase shifter, the residual transmittance through the chromium area, and the cross-talk between adjacent features. This analysis framework also allows these effects be merged in design. The near field profile in the vicinity of the shifter wall is also investigated for different feature sizes, and the optimum design for different feature sizes is discussed. The effect of the wall angle profile is shown to be acceptable.
Development of photomask fabrication for 100-nm design rule
Author(s):
Takashi Inoue;
Takuro Horibe;
Akihiro Maeda;
Yoshiyuki Tanaka
Show Abstract
130-100nm rule lithography has rendered the mask error enhancement factor (MEF) larger and required photomasks to have tight CD accuracy. We adopted the current best photomask technology to meet lOOnm-design rule. We used a negative CAR resist, the 50kV EB machine, a new puddle development using the NS (No impact Stream) nozzle, and NLD(neutral loop discharge) dry etching. Consequently, we obtained the best performance in the full scanner area and we achieved the target CD uniformity.
Development of a MoSi-based bilayer HT-PSM blank for ArF lithography
Author(s):
Shuichiro Kanai;
Susumu Kawada;
Akihiko Isao;
Takaei Sasaki;
Kazuyuki Maetoko;
Nobuyuki Yoshioka
Show Abstract
Attenuated PSM (Phase Shifting Mask) is needed at an early stage of ArF lithography. For HT (Half Tone)-PSM, some materials have been introduced in recent years. We also surveyed several materials for HT-PSM blank. We think MoSiON is the best material if it can be applied to ArF lithography. Because it could be processed with the same mask processors as KrF HT-PSM could be. However we did not get practical MoSiON film for ArF lithography with our conventional DC sputtering method. So we have developed a new sputtering method to get small k (extinction coefficient) with T6% blank at 193nm wavelength. The properties of the MoSiON films obtained by this method have been evaluated, such as optical properties, chemical durability, ArF laser irradiation durability and pattern profile for feasibility. These results indicate that ULCOAT T6% bi-layer MoSiON blank is feasible for 193nm lithography.
CD variation analysis technique and its application to the study of PSM mask misalignment
Author(s):
Yuri Granik;
Nicolas B. Cobb;
Emile Y. Sahouria
Show Abstract
We study the influence of process parameters on strong phase shifted and binary mask designs. The impact of a poly gate alternate phase shifting technique on CD control is analyzed for a microprocessor design. A combination of OPC and PSM tools are used to assess sensitivity of CD to the variations of defocus, exposure dose, and mask misalignment, with and without PSM. A simulation region of 640x310 microns with 20000 MOSFETs is cut out from a random logic design. The edge placement error measurement sites are assigned each 200 nm across the transistor channels to fine-monitor CD variations. Four additional measurement sites are put close to the channel ends to monitor these regions susceptible to the CD variation. We use fast simulation technique that employs optical SOCS (Sum of Coherent Systems) decomposition and Extended Variable Threshold model. Optical parameters settings are chosen to be different for the binary and PSM masks to ensure comparable CD distributions in the center of the process windows. The PSM design is a 2-mask strong phase shifter design for poly gate level. Model-based OPC is applied to all relevant layers of the design including trim masks. To explore exposure-dose-misalignment input parameter space we setup partial factorial DOE with more than 100 runs each resulting in an EPE distribution for a parameter combination. We analyzed EPE shift and EPE dispersion. A definition of an EPE-based process window is proposed to capture the “proximity signature” of the design and its dependence on the process parameters. Comparison of binary and PSM designs yielded reliable quantitative measures of the PSM design performance gain.
Phase and transmission errors aware OPC solution for PSM: feasability demonstration
Author(s):
Olivier Toublan;
Emile Y. Sahouria;
Nicolas B. Cobb
Show Abstract
To follow the SIA roadmap, lithographers must deal everyday with the bad effects of a low-kl lithography transfer process. One of the ways to reduce the pressure associated with such low-kl values is to use Alternating Phase Shift Masks (henceforth “Alt-PSM”). Unfortunately, Alt-PSM also has some drawbacks, such as transmission imbalance between the phase shifted and non-phase shifted areas, and aspect ratio phase etch depth variation resulting from the mask etching process. Moreover, fast two-dimensional simulators that are commonly used in resolution enhancement simulation are unable to directly predict these inherently three-dimensional effects. We demonstrate a general approach to simulate and correct these effects in large circuit designs by combining accurate mask representation with Optical and Process Correction (“OPC”). Using a DRC tool, geometry in the input circuit design is partitioned based on size and shape. Guided by accurate three-dimensional simulations or empirical data, these partitions may be classified and assigned different phases and transmission values to more realistically simulate the mask. By using this more accurate mask representation in our integrated OPC tool, Calibre OPCPro, we are able to correct designs for these three-dimensional mask effects as well as for conventional proximity effects.
High-optical-density photomasks for large exposure applications
Author(s):
Dan L. Schurz;
Warren W. Flack;
Makoto Nakamura
Show Abstract
Microlithography applications such as advanced packaging, micromachining and thin film head (TFH) production frequently require the use of thick photoresists and large exposure doses for successful pattern transfer onto substrates. When thick negative acting photoresists are used, exposures as high as 5000mJ/cm2 may be required to maintain the pre-exposure photoresist thickness after develop. In this study, light transmission through photomasks with standard (OD3) and high-density (OD4) Cr films was measured through the ultraviolet spectrum to determine leakage thresholds and evaluate the risk of unwanted exposure with highly sensitive photoresists. Because the higher OD photomasks are the result of an increase in Cr film thickness, photomask process differences, resolution capability and Critical Dimension (CD) uniformity issues were also evaluated. The thicker Cr film could also affect pattern transfer to the wafer. Therefore, resolution and CD uniformity were compared on wafers patterned from both OD3 and OD4 Cr reticles.
Establishment of production process and assurance method for alternating phase-shift masks
Author(s):
Shiaki M. Murai;
Yasuhiro Koizumi;
Tatsuhiko Kamibayashi;
Hidetaka Saitou;
Morihisa Hoga;
Yasutaka Morikawa;
Hiroyuki Miyashita
Show Abstract
Alternating phase shift masks (altPSMs) are effective in reducing MEF. However, altPSMs have been used in device development, not in production, because phase-defect assurance has not been sufficient. An assurance method for 180- and 150-nm rule altPSMs was established by the use of both MD-2000 and KLA/STARlight. We have started production of defect-free altPSMs with quartz etched shifters and single trench structures by a two-step quartz etching process, which has an advantage of low phase-defect density.
Development of MoSi-based halftone phase-shift blank and mask fabrication for ArF lithography (Photomask Japamn 2000 Best Presentation Award)
Author(s):
Hideki Suda;
Hideaki Mitsui;
Osamu Nozawa;
Hitoshi Ohtsuka;
Megumi Takeuchi;
Naoki Nishida;
Yasushi Okubo;
Masao Ushida
Show Abstract
The halftone phase-shift mask (HtPSM) has been in practical use for i-line and KrF lithography. In ArF lithography, the HtPSM is also considered to be a promising resolution enhancement technique for its simple structure and fabrication process required. We in HOYA have attempted to expand the applicability of our MoSi-based HtPSM blank technology to ArF lithography, helping extend the life of the existing infrastructure for conventional HtPSM fabrication. We have completed tuning our new MoSi-based film for ArF application. The film’s optical properties, chemical durability and ArF laser irradiation durability meet industry requirements; and it is compatible with conventional mask-making processes and repair techniques for the KrF HtPSM.
New approach to improve CD uniformity based on mask quality
Author(s):
Roman Liebe;
Carmen Jaehnert;
Gidon Gottlib;
Yair Eran;
Shirley Hemar;
Amikam Sade;
Anja Rosenbusch
Show Abstract
CD uniformity is one of the key discussion topics in the ramp-up process of new technologies. The impact of mask quality is getting more and more attention in this process. The paper presents improving wafer CD uniformity control by application of new reticle CD qualification procedure. The new procedure is based on combining conventional CD metrology and Linewidth Bias Monitor (LBM) as a standard part of mask inspection.
Investigating inspectability and printability of contamination deposited during SEM analysis
Author(s):
Bryan S. Kasprowicz;
Mohan Ananth;
Chih-Yu Wang
Show Abstract
The effects on pattern fidelity of material deposition on photomasks due to interactions of the primary electrons with the organic molecules contained in the vacuum chamber while being analyzed in CD SEM were investigated. The photomasks experienced contamination in the area that was electron-irradiated by the SEM, but it was not detected with either a transmitted or reflected light inspection. Wafers were exposed at various illumination conditions and at multiple wavelengths, which produced no noticeable effects on the images as compared to unanalyzed locations.
Cr absorber mask for extreme-ultraviolet lithography
Author(s):
Guojing Zhang;
Pei-yang Yan;
Ted Liang
Show Abstract
A Cr film is one of the attractive materials that have been evaluated as an absorber in the advanced mask development for the extreme ultraviolet lithography (EUVL). The EUV absorber material needs to meet the requirement in EUV absorbance, mask process, inspection, repair and others. Two EUV masks were fabricated in a research laboratory and tested on a lOx reduction EUV exposure system. The processes of fabricating these two masks started with an 8-inch silicon wafer blank that had the Mo/Si multilayer (ML). A Cr film was selected as an absorber for both masks. A Si02 film, served as a buffer layer, was applied to one of the masks. The mask patterning was carried on a conventional I-line exposure tool following plasma dry etch for pattern transfer. The functionality of the two masks was tested in a resist image printing. This paper reports the EUV mask fabrication process and discusses the two different approaches to fabricate an EUV mask. 80 nm resist image features were resolved on a lOx reduction EUV exposure system by using these two masks.
Defect printability modeling of smoothed substrate defects for EUV lithography
Author(s):
Avijit K. Ray-Chaudhuri;
Aaron Fisher;
Eric M. Gullikson
Show Abstract
In Extreme Ultraviolet (EUV) lithography, sub-resolution reticle substrate defects which are overcoated during the multilayer coating process could introduce proximity phase errors. A strategy for mitigating this problem is to coat the substrate with a smoothing layer prior to ML deposition. A spherical defect will be reduced to a low aspect Gaussian bump. In order to understand the smoothing requirements necessary to render a defect non-critical, we have utilized a simplified 3-D lithographic modeling approach to study the effect of a Gaussian bump in proximity to both 70 nm 1:3 L/S and 35 nm 1:3 L/S printed with a 0.1 and 0.25 numerical aperture system, respectively. The results quantify that the smoothing approach can be successfully used to render a defect non-critical by two means: 1) reducing the defect volume or 2) by reducing the slope of the Gaussian bump.
Mask manufacturing contribution on 248-nm and 193-nm lithography performances
Author(s):
Alexandra Barberet;
Gilles L. Fanget;
Jean-Charles Richoilley;
Michel Tissier;
Yves Quere
Show Abstract
In this study, we focus on mask manufacturing contribution on 248nm & 193nm lithography performances. The masks are manufactured at DPI using both E-beam/Laser writing technologies (e-beam/laser) and two etching processes (Wet/Dry). Masks are optimized for 150nm node at wafer scale, neither RET nor tuning are used, despite of this, we obtain excellent and unexpected results for inferior nodes which highlight the robustness of the manufacturing mask processes being used.
Minimization of mask transmission asymmetry effect for chromeless phase-shift masks
Author(s):
David Y. Chan;
Justin W. Novak;
Michael Fritze
Show Abstract
One of the issues with using strong phase-shift masks is the transmission asymmetry caused by diffraction effects due to 3D mask topography. The transmission is reduced through the etched portions of the mask and this can result in CD or pitch asymmetries in the printed image. A number of approaches have been suggested to minimize this effect including feature biasing, dual trench and undercut etching. In this work, we investigate the role the resist type can play in minimizing the effects of this aerial image asymmetry. We employ full electromagnetic simulations using PROMAX 2/D and PROLITH 2/D, AIMS simulation, and experiments using chrome-less phase-shift masks as a function of resist type. We conclude that the resist type can play a key role in minimizing the effects of aerial image asymmetry caused by mask topography effects thereby enabling simpler mask fabrication approaches.
Dual-mask model-based proximity correction for high-performance 0.10-um CMOS process
Author(s):
Shane R. Palmer;
Mark E. Mason;
John N. Randall;
Tom Aton;
Keeho Kim;
Alexander V. Tritchkov;
James Burdorf;
Michael L. Rieger;
John P. Stirniman
Show Abstract
Selective strong phase shift mask techniques, whereby a phase-shift mask exposure is followed by a binary mask exposure to define a single pattern, present unique capabilities and problems. First, there is the proper exposure balance and alignment of the two masks. Second, there is the challenge of performing optical proximity correction that will account for two overlaying exposure models and masks. This is further complicated by the need to perform multiple biasing and adjustments that are often required for development processes. In this paper, we present results for applying a new OPC correction technique to a dual exposure binary and phase-shift mask that have been used for development of 100 nm CMOS processes. The correction recipe encompasses two models that were anchored to optimized processes (exposure, NA, and ?). The correction to the masks also utilized boolean techniques to perform selective biasing without destroying the original hierarchical structure. CMOS technology utilizes isolation with pitches of active device regions below 0.4 ?m. The effective gate length on silicon is in the range of 0.08 to 0.18 ?m. Patterning of trench openings and gate regions are accomplished using deep-UV lithography.
High-accuracy laser mask repair system LM700A
Author(s):
Yoichi Yoshino;
Yukio Morishige;
Shuichi Watanabe;
Yukio Kyusho;
Atsushi Ueda;
Tutomu Haneda;
Makoto Ohmiya
Show Abstract
High throughput laser mask repair system satisfying the accuracy demanded for 0.5 jum pattern rule reticles has been newly developed. The named Laser Mask Repair LM700A has the following features: ? Opaque defect repair capability of 0.5 ?m L&S patterns ? High repair accuracy of 45 nm (3 sigma) ? Quartz damage depth of less than 20nm ? Transmission at the repair site of more than 96% ? Pico-second solid state UV pulse laser and high resolution UV Optics Laser wavelength : 351 nm Laser pulse duration : less than 300 ps Observation wavelength : 365 nm By utilizing a pico-second UV pulse laser, very high quality laser zapping can be obtained. This paper presents the configuration and the evaluated results for mask repair performance in conventional Cr binary masks.
Automated atomic force metrology applications for alternating aperture phase-shift masks
Author(s):
Kirk Miller;
Bradley Todd
Show Abstract
With the acceptance of AAPSM’s by most major semiconductor manufacturers, it is necessary to build a significant number of these masks in a cost effective and controlled manner. Optical methods of metrology used for many years in the photomask industry for binary masks are unsuitable for certain metrology applications related to AAPSM manufacture and repair. Recent work performed on a Dimension 9000M-PM automated atomic force microscope shows promise for both process control and defect review applications for AAPSM’s and overcome some of the limitations of optical and SEM based metrologies. AFM metrology is shown to be able to quantify shifter step heights and phase error for features as small as 100 nm. Further, these systems are able to read in defect coordinate maps and automatically drive to these sites and scan. The scanned data provides pixel-by-pixel height data that can be used by repair tools to establish the proper dose for defect ablation.
Subtractive defect repair via nanomachining
Author(s):
Mark R. Laurance
Show Abstract
The roadmap to develop smaller and smaller critical dimensions on photomasks has predicated the need for new technologies that can facilitate the repair on a scale that to date has proven difficult to achieve by conventional repai tools. RAVE has developed a novel technology that has application for subtractive repair of defects at and belo the 130 nm design rule.