Practicing extension of 248-nm DUV optical lithography using trim-mask PSM
Author(s):
Michael E. Kling;
Nigel Cave;
Bradley J. Falch;
Chong-Cheng Fu;
Kent G. Green;
Kevin D. Lucas;
Bernard J. Roman;
Alfred J. Reich;
John L. Sturtevant;
Ruiqi Tian;
Drew R. Russell;
Linard Karklin;
Yao-Ting Wang
Show Abstract
It is becoming increasingly clear that semiconductor manufacturers must rise to the challenge of extending optical microlithography beyond what is forecast by the current SIA roadmap. Capabilities must be developed that allow the use of conventional exposure methods beyond their designed capabilities. This is driven in part by the desire to keep up with the predictions of Moore's law. Additional motivation for implementing optical extension methods is provided by the need for workable alternatives in the event that manufacturing capable post-optical lithography is delayed beyond 2003. Major programs are in place at semiconductor manufacturers, development organization, and EDA software providers to continue optical microlithography far past what were once thought to be recognized limits. This paper details efforts undertaken by Motorola to produce functional high density silicon devices with sub-eighth micron transistor gates using DUV microlithography. The preferred enhancement technique discussed here utilizes complementary or dual-exposure trim-mask PSM which incorporates a combined exposure of both Levenson hard shifter and binary trim masks.
Effects of phase-shift masks on across-field linewidth control
Author(s):
Richard E. Schenker
Show Abstract
The concept of the iso-aberration dose is introduced and defined as the dose where the 'depth of aberration' is at a maximum. By allowing patterning of small isolated lines closer to the iso-aberration dose, the use of phase shift masks (PSMs) improves critical dimension (CD) sensitivity to lithographic tool aberrations. The use of specialized photo- resist and mask assist feature is also found to improve isolated line CD sensitivity to aberrations. The improvement in aberration sensitivity from PSM results in improved across field linewidth control for some lithographic system but causes degraded CD control for other tools which are optimized for operation with standard binary reticles. Simulations show that the trim mask PSM approach has superior aberration sensitivity for patterning 100nm than multi-phase PSM approaches when the allowed phasewidth is restricted to a maximum value.
Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing
Author(s):
Lars W. Liebmann;
Ioana C. Graur;
William C. Leipold;
James M. Oberschmidt;
David S. O'Grady;
Denis Regaill
Show Abstract
While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.
Design of 200-nm, 170-nm, and 140-nm DUV contact sweeper high-transmission attenuating phase-shift mask: II. Experimental results
Author(s):
Robert John Socha;
Xuelong Shi;
Ken C. Holman;
Mircea V. Dusa;
Will Conley;
John S. Petersen;
J. Fung Chen;
Thomas L. Laidig;
Kurt E. Wampler;
Roger F. Caldwell;
M. C. Chu;
Chung Jen Su;
Kuei-Chun Hung;
C. Chen;
F. Wang;
C. Le;
Christophe Pierrat;
Bo Su
Show Abstract
Experiments for 140nm and 160nm contacts were optimized through simulation on an 18 percent transmitting phase shift mask for KrF lithography. A transmission of 18 percent is shown to have the most linear aerial image behavior through focus. The simulations were run using a primitive positive photoresist model in order to predict trends in resolution and to predict when side lobes begin printing. Experiments show that the 140nm and 160nm contact holes resolve without side lobe printing through focus and through exposure. Reticle SEMs verify that a ternary contact hole mask is capable of manufacture. By adding both opaque and clear sub- resolution assist features, the experiments show contacts as small as 140nm resolve with 0.50 micrometers focus latitude with 10 percent exposure latitude through pitch. Cross sectional SEMs verify that contact holes are larger due to the addition of zero order light as suggested by theory and show that side lobes begin to print. Experiments also prove that NA has the largest impact on resolution and exposure latitude and that (sigma) has the largest impact on depth of focus.
Imaging contrast improvement for 160-nm line features using subresolution assist features with binary, six percent ternary attenuated phase-shift mask with process-tuned resist
Author(s):
Nishrin Kachwala;
John S. Petersen;
J. Fung Chen;
Mike Canjemi;
Martin McCallum
Show Abstract
The process window for a particular feature type can be improved by improving the aerial image or tuning the resist process. The aerial image can be improved by means of illumination or by means of mask enhancements. The illumination can be on-axis or off-axis tuned to feature type and mask. Mask enhancements being OPC and phase shifting. We illustrate process window improving by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC. The OPC is Sub resolution assist features (SRF). The SRF structure modifies the aerial image of the primary feature and allows for reducing dense-iso bias across pitch leading to a larger overlapping DOF across multiple pitches (ODOF). Across pitch studies with a binary mask were carried out for semi-dense and isolated lines. This study was conducted with two types of resists. A low contrast resist process tuned for isolated line as patterned on an ASML/300 stepper. And a high contrast resist tuned for dense lines patterned on a SVGL Micrascan 3. Reported results are process improvements across pitch, developing process with scattering bars and not printing of side lobes. Simulation result with low and high contrast resist, Binary vs. 6 percent transmission masks will also be reported. PROLITH/3 simulation study conducted with a low contrast resist suggested that the isolated line resist would print the 80nm sub resolution feature at sizing. Further, that a high contrast resist would not print them at sizing but would print them when the 160nm lines were sized roughly 10 percent larger region. Thus far, at sizing, the experimental results matched prediction; the low contrast resists process printed the sub resolution features. As for process window matching across the chosen pitches, this process showed an imperfect solution with over exposure to eliminate the sub resolution patterns. Simulations appear to make good predictions of the two cases examined and make it possible to explore better solutions. For instance, under a fixed set of develop and PEB conditions, analysis of infinite contrast resist did not move the danger of sub resolution feature printing much above the +10 percent CD sizing. However, using a 6 percent ternary attPSM moved the printing limit to +20 percent of target Cd size. The result of process window improvements with an attenuated PSM using a high contrast resist will be discussed. In all the cases, sub resolution feature OPC for isolated lines was compared with no OPC feature.
Application of blazed gratings for determination of equivalent primary azimuthal aberrations
Author(s):
Joseph P. Kirk;
Christopher J. Progler
Show Abstract
Aberrations are determined from wafers exposed using a test reticle having blazed gratings with orientations from 0 to 337.5 degrees in increments of 22.5 degrees. The image of the phase grating is micro stepped thorough focus on the surface of high absorption photoresist. A second blanket exposure is applied to the latent images to make the developed resist images have a surface relief linearly related to the aerial image intensity. The first order diffraction efficiency of this surface grating is directly propagational to the first harmonic of the surface relief. This diffraction efficiency is recorded as a digitized dark- field image and processed to evalute the aberrations. These images are simulated and matched to those recorded in the photoresist by adjusting the aberrations to achieve a best match. The primary azimuthal aberration contributions are separated by Fourier analysis into coma, astigmatism, 3 leaf clover, etc. and laser used to indicate lens quality. Several grating frequencies are required to separate higher order components of each azimuthal aberration. Only one grating frequency is used in the data reported here and all orders of each azimuthal aberration are lumped into equivalent primary azimuthal aberrations.
Novel aberration monitor for optical lithography
Author(s):
Peter Dirksen;
Casper A. H. Juffermans;
Rudy J. M. Pellens;
Mireille Maenhoudt;
Peter De Bisschop
Show Abstract
The aberration monitor allows independent determination of spherical, coma, astigmatism and three point in a single experiment using existing equipment. The monitor consists of a circular phase object, with a diameter of approximately (lambda) /NA and a phase depth of (lambda) /2. Due to the relative large diameter, the image prints as a narrow ring into the resist. Without aberrations its contours are concentric circles. Aberrations deform the ring in a characteristic way. A detailed analysis of the ring shape through focus identifies the aberrations of the projection lens. A linear aberration model is compared with simulations. Experimental results of various aberrations are shown and ar correlated to line width measurements and interferometric lens data.
Mathematical treatment of condenser aberrations and their impact on linewidth control
Author(s):
Christof G. Krautschik;
Masato Shibuya;
Kenny K.H. Toh
Show Abstract
While condenser aberrations under Koehler illumination were previously treated in the literature their mathematical derivation did not take conservation of radiance into consideration. Here we make use of a more rigorous derivation of the mutual intensity where the source deformation term is treated in the context of radiance conservation. The derivation predicts that condenser aberrations lead to radiance invariance while aberrations have a direct bearing on illumination uniformity and the angular extent of the local effective source. This result significantly contrasts with the previously established conclusion in the literature that condenser aberrations lead to a modification of the source radiance but preserves irradiance in the reticle plane. Source aberrations of first and third order are derived and then systematically explored both analytically and numerically. Aberration impact on linewidth control are further considered and quantified from the aerial image perspective. It is shown that third order coma has the most significant impact on CD control as a result of the asymmetry in the deformation of the source shape. Similarly coma also significantly impacts overall mask illumination uniformity.
Measurement of effective source shift using a grating-pinhole mask
Author(s):
Kazuya Sato;
Satoshi Tanaka;
Tadahito Fujisawa;
Soichi Inoue
Show Abstract
A methodology for measuring the effective illumination source shift in exposure tools has been established. A grating-pinhole mask is placed upside-down on mask stage, and exposed. This mask consists of square pinholes with 80 micrometers square and 2D square lattices in these pinholes. The pitch of the grating pattern is suitably designed so that the 1st-order diffraction beams can illuminate the edge of the pupil of the projection optics. Both the shape of illumination source and the silhouette of the pupil of the projection optics are projected on the wafer located by normal photoresist. A conventional optical microscope is available for easily observing the photoresist patterns. The grating-pinhole consisting of attenuated phase-shifting structure has found to be also effective to measure both effective coherence factor and intensity non-uniformity of effective illumination source.
Performance of a phase-shift focus monitor reticle designed for 193-nm use
Author(s):
Roderick R. Kunz;
M. S. Chan;
Scott P. Doran
Show Abstract
A phase-shift focus monitor reticle designed for 193-nm was characterized on a 0.5-NA 193-nm projection lens. Calibration data were obtained and compared to simulations of the specific lens used. Using a thinned single-layer resist, feature sizes of 150 nm, and a partial coherence of 0.6, a calibration coefficient of roughly 50 nm of image shift per 1 micrometers of defocus was obtained. This relationship was linear through roughly 1.5 micrometers of focus. Once this calibration was determined, the reticle was successfully used to quantify thermal effects resulting from laser- induced heating of the lens. The latter results will only be qualitatively described here.
Design, reticle, and wafer OPC manufacturability for the 0.18-um lithography generation
Author(s):
Kevin D. Lucas;
Martin McCallum;
Bradley J. Falch;
James L. Wood;
Franklin D. Kalk;
Robert K. Henderson;
Drew R. Russell
Show Abstract
2D optical proximity correction (OPC) will be a requirement for patterning the 0.18 micrometers lithographic generation with current 0.6NA 248nm wavelength toolsets. This paper analyzes the process transformation of 2D OPC shapes between the design, reticle and wafer stages of patterning 0.18 micrometers random logic circuits. High resolution reticle SEM photos showcase reticle patterning non-linearities which must be understood to fully optimize OPC designs. Experiment and tuned lithography simulation are used to highlight the errors which can occur if these non-linearities are ignored. Significant differences are observed between OPC shapes for brightfield and darkfield features. Comparisons between OPC shapes patterned on electron-beam and optical-laser reticle writing tools are also provided as is a look ahead to the OPC requirements of the 0.15 micrometers generation.
Verifying the "correctness" of your optical proximity correction designs
Author(s):
Vinod K. Malhotra;
Fang Cheng Chang
Show Abstract
The emerging demand for smaller and smaller IC features, undiminished by the delay of next generation stepper technologies, has increased the need for OPC and PSM designs that are becoming critical for leading-edge IC manufacturing. However, modifications made to the original layout by OPC or PSM deign tools in general, exclude the use of conventional design verification tools to verify the modified designs. Therefore, the question of design 'correctness' often goes unanswered until after the wafers have been printed. This is extremely costly in terms of time and money. In this paper, we address the critical issue that has thus far remained open, the development of methods for physical verification of OPC designs. Our approach uses fast lithography simulation to map the modified mask design to the final patterns produced on the wafer. The simulated wafer pattern is matched against the specified tolerances and the problem areas are reported. It is a hierarchical verification tool. The hierarchical processing of the data makes it a high performance tool and keeps the data volume in check. We validate this technology by comparing the simulation results with the experimental data. In addition, performance measurements indicate that it is an effective and practical solution to the problem of verifying correctness of full-chip OPC designs.
Comparison study for sub-150-nm DUV lithography between high-NA KrF and ArF lithography
Author(s):
Donggyu Yim;
Ki-Sung Kwon;
Young-Mog Ham;
Ki-Ho Baik
Show Abstract
Critical issues for 150nm DUV lithography using 0.70 NA KrF and 0.60 NA ArF had been investigated. Aberration, coherence variation, mask error effect, and process margin for each case had been analyzed. Current projection lens and illumination optics are getting better and better. However, for 150nm lithography using high NA scanner, a large portion of beam should be traced on the outside of lens pupil and traced on the resist surface with a large incident angle. In such a case, lens aberration effect was observed on field edge, even though strehl ratio of projection lens and coherence variation on field edge had been improved. These effects had been investigated. One other critical issue in high NA scanner is depth of focus. The common depth of focus between dense and isolated patterns in real process using high NA scanner is mainly affected by photoresist thickness. That issue had been also investigated. Another important issue for 150nm DUV lithography is mask error effect. In the case of same design rule, lower (lambda) /NA lens is more favored than higher (lambda) /NA. Mask error issue in high NA KrF and ArF was also analyzed. Total comparisons between high NA KrF and 0.60 NA ArF have been discussed.
Illumination condition and mask bias for 0.15-um pattern with KrF and ArF lithography
Author(s):
Hiroki Tabuchi;
Y. Shichijo;
N. Oka;
N. Takenaka;
K. Iguchi
Show Abstract
In this paper the optimization of illumination condition and mask bias in semiconductor lithography is reported, in the case of using half-tone mask (HTM) and off-axis illumination (OAI). Its results are to control the line width and to enlarge the common process margin for both isolated and dense 0.18micrometers -0.15micrometers pattern with KrF and ArF lithography. It found that for 0.18micrometers pattern KrF needs every resolution enhancement technology, for example, HTM, OAI and iso/dense optical proximity correction. For 0.15micrometers pattern KrF needs more than 0.65NA additionally. On the other hand ArF needs less than 0.55NA.
Understanding systematic and random CD variations using predictive modeling techniques
Author(s):
Donis G. Flagello;
Hans van der Laan;
Jan B.P. van Schoot;
Igor Bouchoms;
Bernd Geh
Show Abstract
This work analyzes the contributions to CD variation by building 3 predictive models that describe linewidth variation. The first model uses an exposure and focus budget analysis to create distributions that are used as input into a Monte Carlo analysis, where the output is a distribution of linewidth. The second model explores the effects of systematic intra-field effects by assuming that lens properties such as aberration will only cause global changes to the CD function, i.e. the function only shifts in focus and exposure. In combination with measurements such as focal pane, illumination uniformity and flare, a description of AFLV is constructed that reveals CD maps of the image field as a function of system focus and exposure. The third model combines the previous two techniques by incorporating random and systematic errors to create an across-wafer linewidth variation simulation. An example is shown using a scanner system and 0.18 micrometers structures. Systematic contributors to AFLV such as aberrations and reticle errors are included, as well as addition of random distributions of tilt eros and full wafer processing errors.
Variable-threshold resist models for lithography simulation
Author(s):
John Randall;
Kurt G. Ronse;
Thomas Marschner;
Anne-Marie Goethals;
Monique Ercken
Show Abstract
Lithography simulation tools eliminate costly and time consuming experiments allowing new processes to be developed quickly. There are excellent simulation programs that allow sophisticated modeling of the optics in current and future lithography tools. In many instances, the weak point in lithography simulations is the relatively poor capability to model resists. Sophisticated and accurate models have been developed for many technologically important i-line resists. However the models for 248nm chemically amplified resist are not as mature, and there are many resist of interest for which there are no reliable models. Even when they do exist, these full resist models are computationally expensive and not suitable for some applications such as model based optical proximity corrections. When useful models do not exist, lithographers use the aerial imaging portions of the lithography simulation tools and apply the simplest of resist models, the so-called constant threshold model. While this allows the critical dimensions to be approximated for high contrast resist, it fails to capture important aspects of most resist processes. Empirically trained resists models have come to be used where more accurate lithography simulations are required, but full resist models either do not exist or are to slow to be useful. This paper explores the use of a class of empirically trained models known as variable threshold resist models. This type of model stats with an aerial image calculation and uses a function to locally vary the threshold used to predict CDs. This type of model may be quickly trained for a specific resist process and potentially applied for a wide range of numerical aperture and partial coherence settings. We show how multiple dose and focus data can be used to train a model that includes input parameters extracted from the aerial image as well as pattern factors and exposure dose. The data present suggests that models trained with one set of optical conditions are useful at other optical settings. We also explore different approaches to validate the models and demonstrate some consider the effect of across wafer variation on the training data.
Matching simulation and experiment for chemically amplified resists
Author(s):
Chris A. Mack;
Monique Ercken;
Myriam Moelants
Show Abstract
In this paper, the method for tuning a lithography simulator to match simulation to experiment, proposed by Thornton and Mack, was extended to a chemically amplified deep-UV resist process. After performing the Thornton-Mack tuning, the post-exposure bake (PEB) parameters of the resist were adjusted in the simulator to mach experimental results. In particular, measurements of Eo versus time and temperature of the PEB were used to 'calibrate' the actual PEB hotplate to the simulated hotplate and to estimate the amount of base quencher in the resist. Once tuned, the simulator was used to predict CD performance and compared to experimental results.
Method to budget and optimize total device overlay
Author(s):
Christopher J. Progler;
Scott J. Bukofsky;
Donald C. Wheeler
Show Abstract
We combine lithographic simulation, experimental data and statistical modeling to build a predictive estimator of total device overlay. To generate accurate predictions of total overlay, we include error estimates on lens image placement, CD control, reticle and exposure tool alignment. Instead of combining these errors in ad hoc root sum square fashion to make overlay estimates, we construct a physical model of the device and metrology marker edge placement processes. The model comprehends the differential placement of metrology structures and device features due to lens and illumination system asymmetries and is therefore applicable to the evaluation of arbitrary illumination and pattern geometry conditions. Since we attempt to model the relative placement distribution of specific device features, the model produces overlay estimates that are directly relevant for device performance. The comparison of our total overlay estimate to device overlay sensitivity data allows a projection of the overlay related yield loss for a given device, process and tools et. Finally, our model allows the process engineer to made informative choices on the optimum error sources to pursue for improving overlay.
High-speed alignment simulator for Nikon steppers
Author(s):
Derek P. Coon;
Arun A. Aiyer;
Henry K. Chau;
Hiroshi Ooki
Show Abstract
It is an unfortunate fact that most wafer processing steps degrade the quality of the stepper alignment marks rather than improve it. One example is the severe planarization requirements used in many VLSI processes. Furthermore, process development time, especially with respect to wafer alignment, has been reduced due to fab streamlining and cost of ownership issues. With these problems in mind Nikon has developed a commercially available alignment simulator to reduce stepper usage in alignment process development. The simulator can simulate Nikon's three different wafer alignment sensor and has five different simulation modes. The simulated alignment mark can have up to ten different process layers, the thicknesses of which can be varied simultaneously if need be. In addition, the geometry of each process layer can be made quite intricate so that complicated processes can be simulate.d The simulator uses scalar diffraction theory for high speed calculations, but which is still accurate when the mark width is larger than optimization which previously took weeks can now be done in days.
Characterization of CD control for sub-0.18-um lithographic patterning
Author(s):
John L. Sturtevant;
John A. Allgair;
Chong-Cheng Fu;
Kent G. Green;
Robert R. Hershey;
Michael E. Kling;
Lloyd C. Litt;
Kevin D. Lucas;
Bernard J. Roman;
Gary Stanley Seligman;
Mike Schippers
Show Abstract
It is well known that systematic within-chip dimension (CD) errors can strongly influence product yield and performance, especially in the case of microprocessors. It has been shown that this across chip linewidth variation (ACLV) dominates the CD error budge, and is comprised of multiple systematic and random effects, including substrate reflectivity, reticle CD errors, feature proximity, and lens aberrations. These effects have material, equipment, and process dependencies, with the results being that significant ACLV differences between nominally identical tools/processes can in some cases be observed. We present here a new analysis approach which allows for optimization of exposure/defocus conditions to minimize overall CD errors for a given process. Emphasis is on control of [(mean) + 3 sigma] of CD errors for a given exposure/defocus conditions. Input metrology data is obtained from electrical resistance probing, and data is presented for multiple 248 nm DUV processes and tools with CD ground rules ranging from 180 nm to 140 nm.
CD control comparison for sub-0.18-um patterning using 248-nm lithography and strong resolution enhancement techniques
Author(s):
Geert Vandenberghe;
Thomas Marschner;
Kurt G. Ronse;
Robert John Socha;
Mircea V. Dusa
Show Abstract
In the constant drive to go to smaller feature sizes, the control of the linewidth become more important than ever before, with the intra-field CD-control as a major contributor. It is expected that 248 nm lithography will be used for volume manufacturing of the 0.15 micrometers generation and may even be pushed to 0.13 micrometers . In order to do so, strong resolution enhancement technique such as aggressive optical proximity correction (OPC) and alternating phase- shifting masks (altPSM) will be needed. However a strong interaction with reticle CDs and lens aberrations is expected. With the use of state-of-the-art reticles and lenses, not only the process latitudes at one point in the field but the CD-control across the full field become very important. In this paper an illumination optimization has been done in terms of individual process latitudes, CD- proximity effect and especially the across-field CD- variation. With these optimized stepper settings, a comparison of the intra-field CD-control of binary masks with OPC and altPSM for 0.15 micrometers and 0.13 micrometers features with various duty cycles using a high NA 248nm stepper has been carried out. With binary masks the across-field CD- control for the 0.15micrometers isolated lines is not below 15nm in best focus. The use of sub-resolution assist features improved the across-field CD-uniformity as compared to the binary mask even in best focus. Over a limited focus range 150nm lines had a 3 sigma value below 15nm. It is expected that a higher NA will show this over an even larger focus range, making the assist feature sufficient for 0.15micrometers patterning with an adequate CD-control. For the 0.13micrometers lines alternating phase-shifting masks result in an across- field CD-variation below 13nm over a focus range of 0.4micrometers . For this work ,state-of-the-art reticles have been sued and no attempt was made to remove reticle CD errors from the CD-control data.
CD uniformity consideration for DUV step and scan tools
Author(s):
Rolf Seltmann;
Anna Maria Minvielle;
Chris A. Spence;
Sven Muehle;
Luigi Capodieci;
Khanh B. Nguyen
Show Abstract
In this paper, we evaluate the CD-uniformity performance of an advanced DUV step and scan exposure tool. Using high quality reticles CD-uniformity at semi-dense lines is shown to be less than 10nm at best focus and less than 20nm at 0.6 micrometers focus range. Isolated lines show 10nm CD-uniformity at best focus and 20nm at 0.4 micrometers focus range. Removing reticle contribution leads to a decreases of intra-field CD- uniformity up to 6nm. At 0.18 micrometers lines, 0.45 micrometers pitch we found that the Mask Error Factor (MEF) is around 1. Going to smaller feature sizes and/or defocus MEF increases rapidly. We show that proper focus control is crucial for isolated line intra-field CD-control. Horizontal and vertical lines behave very similarly at semi-dense pitch. The isolated horizontal lines show a considerably higher DOF and tighter intra-field CD-control than isolated vertical lines. We are able to show both reticle and scanner contributions are not a limiting factor for fulfilling the requirements for CD-control of state of the art microprocessors. In case of 0.15micrometers linewidth and/or smaller pitches mask eros become more critical due to a considerable increase of the MEF.
Mask error factor: causes and implications for process latitude
Author(s):
Jan B.P. van Schoot;
Jo Finders;
Koen van Ingen Schenau;
Michel Klaassen;
Corine Buijk
Show Abstract
In this paper, a broader understanding of the so-called MEF will be described. MEF is defined as the ratio of the measured CD range on the wafer and the expected CD range due to the reticle. As a result, the MEF plays a very important role in the final CD range as observed on the wafer. It will be shown that the MEF can be controlled by NA, illuminator settings, process conditions and resist type. Since the optimum conditions for Depth of Focus (DOF) will usually be found at different settings than the optimum for MEF, DOF has to be balanced with MEF in order to achieve a minimized CD uniformity over a required focus range. We will show experimental result for different illumination settings. Results for contact holes, isolated lines and dense lines and spaces will be presented. Smaller resolutions, especially line widths below the exposure wavelength, will yield a higher MEF. In turn, decreasing reticle CD's yield reticles where CD uniformity is judged to have worse reticle quality. This stresses the importance of understanding and being able to control the MEF.
Impact of mask errors on full chip error budgets
Author(s):
Franklin M. Schellenberg;
Victor V. Boksha;
Nicolas B. Cobb;
J. C. Lai;
C. H. Chen;
Chris A. Mack
Show Abstract
As lithography pushes to smaller and smaller features under the guidance of Moore's Law, patterned features smaller than the wavelength of light must be routinely manufactured. Lithographic yield in this domain is directly improved with the application of OPC to the pattern data. However, such corrections generally assume that the reticle can reproduce the benefits of OPC in some circumstances. In this paper, we present the characterization of the MEEF for contact holes. These are found to have significantly higher values for the MEEF than typically measured for isolated lines. Theoretical predictions are compared with experimental results. Good agreement is found at the center of the field only when the actual area of the contact hole as formed on the reticle is used as the metric of contact size. Across field variation, however, is found to be significant requires characterization for optimum yield to be achieved.
193-nm lithography on a full-field scanner
Author(s):
Anne-Marie Goethals;
Ingrid Pollers;
Patrick Jaenen;
Frieda Van Roey;
Kurt G. Ronse;
Barbra Heskamp;
Guy Davies
Show Abstract
In this paper, the lithographic performance of advanced 193 nm resist materials has been evaluated on a full field step and scan system. Single layer and bi-layer resist processes are compared in terms of performance and complexity. Optimization of illumination conditions is investigated as a way to enlarge processing windows and to reduce iso-dense bias. The application of a PSM illustrates the extendibility of 193 nm lithography for the 100- nm technology node.
Feasibility studies of ArF lithography for sub-130-nm lithography
Author(s):
Seung-Hyuk Lee;
Donggyu Yim;
Young-Mog Ham;
Ki-Ho Baik;
Il-Hyun Choi
Show Abstract
In this study, we evaluated the process margins of 193 nm lithography for sub-130 nm applications. We have investigated various cell structures and sizes for various illumination conditions such as the partial coherence factors, quadruple illuminations, and Optical Proximity Correction (OPC). We have also studied the Critical Dimension (CD) variation effects of topography with Bottom Anti-Reflective Coating (BARC) materials on various substrates such as silicon, nitride and aluminum. A 0.6 Numerical Aperture (NA) small field ArF stepper and a Hyundai-developed ArF single positive resist were used for this experiment. Internally-developed simulation program diffused aerial image model and Hyundai OPC simulation tool were also used to predict and effectively correct the optical proximity effect. The simulation result were compared with experimental results. Carefully optimizing the process conditions and optical settings, we obtained CD linearity of 190 nm, taking into account isolated-dense (ID) bias. With sub-130 nm VLSI cell pattern, we also verified the possibility of fabricating devices with sub-130 nm design rule by ArF lithography, with which we predicted some process issues such that ID bias of cell and peripheral patterns, CD bias of perpendicular axes in island patterns, contact hole patterns below 150 nm, pattern collapse, etc. Through this study, we verified that the 193 nm lithography could be applied for sub-130 nm technology.
Challenge to sub-0.1-um pattern fabrication using an alternating phase-shifting mask in ArF lithography
Author(s):
Takahiro Matsuo;
Keisuke Nakazawa;
Tohru Ogawa
Show Abstract
We have developed ArF excimer laser lithography using an alternating phase-shifting mask (PSM) for sub-0.10 micrometers logic device fabrication. The requirement of phase accuracy is discussed on the 0.10micrometers isolated and dense line patterns. It is found that the phase accuracy of +/- 2 degrees is required in order to obtain the sufficient process margin and minimize the CD difference between the nonshifter portion and the shifter portion. The 0.07micrometers by optimizing the phase shift on the dual-trench type PSM. We have also confirmed the fabrication of the 0.05micrometers isolated and semi-dense line patterns. The 0.05micrometers line patterns could be attained with the sufficient processing margin, if the resist performance is further improved. Furthermore, we have investigated the effect of mask bias to the isolated line pattern. It has been demonstrated that the DOF is improved from 0.65(Mu) m to 0.8micrometers by adding the mask bias of 0.02micrometers to the 0.10micrometers isolated line pattern, because the mask bias makes the pivotal point controllable.
Optical extension at the 193-nm wavelength
Author(s):
Peter Zandbergen;
Martin McCallum;
Gilles R. Amblard;
Wolf-Dieter Domke;
Bruce W. Smith;
Lena Zavyalova;
John S. Petersen
Show Abstract
Lithography at 193nm is the first optical lithography technique that will be introduced for manufacturing of technology levels. where the required dimensions are smaller than the actual wavelength. This paper explores several techniques to extend 193nm to low k1 lithography. Most attention is given to binary mask solution in at 130nm dimensions, where k1 is 0.4. Various strong and Gaussian quadrupole illuminators were designed, manufactured and tested for this application. Strong quadrupoles show that largest DOF improvements. The drawback however, is that these strong quadrupoles are very duty cycle and dimensions specific, resulting in large proximity biases between different duty cycles. Due to their design, Gaussian quadrupoles sample much wider frequency ranges, resulting in less duty cycles specific DOF improvements and less proximity basis. At sub-130nm dimensions, strong phase shift masks provide significant latitude improvements, when compared to binary masks with quadrupole illumination. However, differences in dose to size for different duty cycles were up to 25 percent. For definition of contact holes, linewidth biasing through silylation, a key feature of the CARL bi-layer resist approach, demonstrated significant DOF latitude improvements compared to SLR at 140nm and 160nm contact holes.
Depth of focus enhancement for 193-nm window lithography with subresolution assist features
Author(s):
Pat G. Watson;
Armen Kroyan;
Raymond A. Cirelli;
H. L. Maynard;
James R. Sweeney;
Fred P. Klemens;
G. L. Timp;
Omkaram Nalamasu
Show Abstract
The properties of sub-resolution assist features for 193nm wavelength contact window lithography have been investigated. A test mask consisting of a variety of window and assist feature sizes and pattern density environments was fabricated and printed. Windows, 160nm in diameter, where exposed in conventional and quadrupole off-axis illumination. Results show a substantial increase in depth- of-focus when quadruple illumination and assist features were employed, when compared to conventional illumination and standard contacts. The improved process latitude is especially apparent in thicker resist formulations, which are required for etching. By employing improved resists with assist features and quadrupole illumination, isolated 160 nm contacts have been fabricated in 510 nm thick resist on 1000 nm SiO2, without anti-reflective coatings. The depth-of- focus is approximately 0.5 microns. BY lowering the numerical aperture of the projection optics, the measured depth-of-focus is unchanged, but assist feature printing can be significantly reduced. Preliminary results of 160 nm windows etched into oxide show that resist loss may be unacceptable with conventional pattern transfer processes.
Variations to the influence of lens aberration invoked with PSM and OAI
Author(s):
Bruce W. Smith
Show Abstract
Through use of shorter wavelengths, larger numerical aperture lenses and resolution enhancement techniques optical extension may allow for attainment of geometry as small as 50 nm. As these trends continue, an appreciation of the influence that lens aberration has on imaging is required. Additionally, a fundamental understanding of the impact of various imaging approaches on aberration is needed. In this paper, we describe methods of interpretation that can lead to optimization of an imaging system under the influence of aberration where off-axis illumination or phase shift masking is utilized.
Feasibility of printing 0.1-um technology with optical lithography
Author(s):
Mireille Maenhoudt;
Staf Verhaegen;
Kurt G. Ronse;
Donis G. Flagello;
Bernd Geh;
Winfried M. Kaiser
Show Abstract
In the same way as 248 nm lithography is now being pushed to 0.15 and even 0.13 micrometers technologies, 193 nm lithography is expected to be used for printing the 0.1micrometers technologies. In this paper, we show results of a simulation study using Prolith 6.0 to investigate the feasibility of printing the 0.1 micrometers dense lines and spaces and 70 nm isolated lines. Since good resists models for 193 nm lithography are not available yet, we extrapolated 248 nm resists models to 193nm and 157nm illumination. First the optimum NA/sigma settings are obtained for printing those features in different illumination modes. Therefore binary masks versus phase shifting techniques and conventional versus off-axis illumination are compared. Maximum DOF and EL for a system without lens aberrations are the main optimization criteria. Consequently CD variations is calculated when a full set of aberrations is taken into account. This realistic set of aberrations has been obtained by scaling down Zernike coefficients measured in 248nm systems and scaled at different RMS levels. Besides lens aberrations also stochastic variations in focus, exposure dose and reticle CD and phase are assumed.
Higher-order aberration measurement with printed patterns under extremely reduced sigma illumination
Author(s):
Hiroshi Nomura;
Kazuo Tawarayama;
Takuya Kohno
Show Abstract
Measurement techniques for higher order aberrations of a projection optical system in photolithographic exposure tools have been established. Even-type and odd-type aberrations are independently obtained from printed grouped lines on a wafer by three-beam interference under highly coherent illumination. Even-type aberrations, i.e. spherical aberration and astigmatism, are derived from the best focus position of vertical, horizontal and orthogonal grouped lines by an optical microscope. Odd-type aberrations, i.e. coma and trefoil, are obtained by detecting relative shifts of fine grouped lines to a large pattern by an overlay inspection tool. The qualitative diagnosis for lens aberrations was demonstrated to a krypton fluoride excimer laser scanner.
Flare impact on the intrafield CD control for sub-0.25-um patterning
Author(s):
Emmanuelle Luce;
Blandine Minghetti;
Patrick Schiavone;
Olivier Toublan;
Andre P. Weill
Show Abstract
The aim of this paper is to investigate the intrafield flare distribution and its link with the intrafield CD variation for various ASML lithographic tools. Flare is measured as the required dose to clear a 100micrometers -large positive resist pattern and comparing it with dose-to-clear Eo. The reticle layout used is compared of a repetitive cell which allows for 77 measurements within a single 22 X 22 mm2 field. Experimental results show that in the field of a stepper, flare decreases almost linearly form center to edge. In the field of a scanner, the flare distribution result from the distribution inside the illumination slit which is ellipsoidal. Comparing the intrafield flare distribution to the intrafield CD uniformity , it appears that flare is responsible for a part of the across field CD variation. We will see in this paper how it is possible, using a method based on statistical considerations, to decorrelate both the contributions of mask CD errors and flare variation to the intrafield CD dispersion for dense lines and 1/3 for isolated lines. The intrafield flare variation is also found to contribute a lot to the signature of the CD uniformity and to the 3 sigma dispersion.
Effect of negative-tone mask lithography on lens aberration phenomena
Author(s):
Kouichirou Tsujita;
Yuuji Yamauchi;
Atsushi Ueno;
Wataru Wakamiya;
Tadashi Nishimura
Show Abstract
In this paper, the method to decrease lens aberration phenomena is presented. The performance of mask tone is compared experimentally and by simulation for actual conditions of 0.18um lithography. The stepper with some aberration was used with several modified illumination conditions. The effectiveness of negative tone lithography was confirmed experimentally. CD variations of sub-dense or isolated line patterns in intrafield are improved from about 30-40nm to 10-20nm for every illumination condition. For overlay, the placement error of isolate line patterns is improved from around 10nm to 5nm. By simulation, the phenomena are investigated for the same illumination conditions are experimental ones. It is proven that negative tone mask lithography is less sensitive to aberration rather than positive one, which corresponds to smaller intrafield CD and overlay variation errors. The reason why negative tone mask lithography is effective is investigated. From this study, it can be concluded that negative tone mask lithography is more robust for lens aberration phenomena than positive one for such pattern layer which consists mainly of sub-dense and isolated patterns as gate layer. In the viewpoint of production, with this method, even the stepper which has some aberration can get CD and overlay controllability to be suitable for 0.18um lithography.
Multilevel imaging system realizing k1=0.3 lithography
Author(s):
Akiyoshi Suzuki;
Kenji Saitoh;
Minoru Yoshii
Show Abstract
The pursuit of ultimate resolution by optical lithography has given rise to many new technologies, such as PSM, oblique illumination etc. In order to realize the benefit of these new technologies in practice, a new exposure technology IDEAL is proposed. First exposure is for fine patterns, which are imaged with high contrast and large depth of focus, while second exposure is done with multileveled light distribution. These two exposures collaborate each other to form fine patterns with reasonable focus margin and good 2D profile. Experimental result of logic gate patterns are shown and demonstrate the possibility of k1 equals 0.3 lithography. Using IDEAL, KrF lithography can be extended to 100-110 nm and ArF to 80 nm resolution.
Resolution and DOF improvement through the use of square-shaped illumination
Author(s):
Bruce W. Smith;
Lena Zavyalova;
S. G. Smith;
John S. Petersen
Show Abstract
As optical lithography is pushed to smaller dimensions, methods of resolution enhancement are considered necessary. Illumination modification is getting a good deal of attention, through strong and weak off-axis methods. The shape of an illumination profile does not need to be circular, especially if X/Y feature orientation is considered. This paper describes the improvements in imaging that are possible through use of source shapes that have various degrees of square character. Applications are discussed and interaction with optical proximity correction, aberration, and other imagin factors are addressed.
Assessment of synchronous filtering as an alternative to phase-shifting masks at k1=0.4
Author(s):
Andrew R. Neureuther;
Meng Li
Show Abstract
This paper examines the extent to which off-axis illumination and pupil filtering can be combined as an interactive system to compensate the fundamental problem of the low diffraction efficiency of binary masks to improve working lithographic resolution. A sequential partial exposure and synchronous filtering technique is utilized which is a form of synthetic-aperture lithography (SAL) described by T. Sanstrom. An optimum off-axis illumination and filter transmission of 0.5 for an 0.35 (lambda) /NA equal line and space grating is shown to produce a lithographically useful contrast of 70 percent in best focus that only deteriorates to 63 percent at a full Rayleigh unit defocus. The feature type and size dependencies of this method are explored. Most improvement was observed for small dark feature in large bright fields which are suitable for printing small islands or logic gates in negative resists.
Customized off-axis illumination aperture filtering for sub-0.18-um KrF lithography
Author(s):
Chin Chiu Hsia;
Tsai-Sheng Gau;
Chuen-Huei Yang;
Ru-Gun Liu;
ChungHsing Chang;
Li-Jui Chen;
Chien-Ming Wang;
J. Fung Chen;
Bruce W. Smith;
Gue-Wuu Hwang;
JiannWen Lay;
Dong-Yuan Goang
Show Abstract
Off-axis illumination (OAI) has been shown as one of the most practical resolution enhancement techniques (RET) available for optical lithography. A customized off-axis illumination aperture filter (CIF) was designed to gain the benefits of OAI and keep the optical proximity effect (OPE) in a manage-able range for sub-0.18micrometers line and space patterns. The performance of the filter comparing with conventional, annular and quadruple illuminations in term of depth of focus, OPE, throughput, dose and power uniformity for both 0.18micrometers and 0.15micrometers NA Nikon KrF excimer laser stepper with a maximum partial coherence factor of 0.8 is presented in the paper. A brief description of the design principle of the filter is also given. A summarized conclusion on the weakness of the filter and possible improvements is also presented in the paper.
Combination of OPC and AttPSM for patterning sub-0.18-um logic devices
Author(s):
Hung Jui Kuo;
Chia-Hui Lin;
San-De Tzu;
Anthony Yen
Show Abstract
Phase-shifting mask (PSM) and modified illumination techniques have shown promise in improving resolution and process latitude in optical lithography. Here we present a combination of attenuated PSM and optical proximity correction (OPC) for the patterning of 0.15 micrometers polysilicon lines. Using the combination method, we obtained a depth of focus of 0.9 micrometers DOF for 0.15 micrometers isolated lines, much wider than that achieved in a binary mask without OPC. Furthermore, we confirmed that this method is also effective for improving the exposure latitude.
0.7-NA DUV step-and-scan system for 150-nm imaging with improved overlay
Author(s):
Jan B.P. van Schoot;
Frank Bornebroek;
Manfred Suddendorf;
Melchior Mulder;
Jeroen van der Spek;
Jan Stoeten;
Adolph Hunter;
Peter Ruemmer
Show Abstract
To extend KrF lithography below the 180nm SIA design rule node in manufacturing, an advanced DUV step and scan system utilizing a lens with an NA up to 0.7 will be required to provide sufficient process latitude. Towards the SIA's 150nm design rule node, manufacturing challenges for 248nm lithography include contact hole printing, iso-dense bias control and adequate across the field CD uniformity. All will benefit from higher NA lenses. In the paper, result obtained on a PAS 5500/700B DUV Step and Scan system are presented. The system design is based on the PAS 5500/500 with a new 0.7NA Starlith lens, AERIAL II illuminator and ATHENA advanced alignment system. Imaging of dense and isolated lines at 180nm, 150nm and below as well as 180nm and 160nm contact holes is shown. In addition to imaging performance, image plane deviation, system distortion fingerprints, single-machine overlay and multiple-machine matching results are shown. Using the ATHENA alignment system, alignment reproducibility as well as overlay result on CMP wafers will be shown. It is concluded that this exposure tool is capable of delivering imaging and overlay performance required for mass production at the 150nm design rule node, with potential for R and D applications beyond.
New technique for optical lithography at low k-factors
Author(s):
Harry Sewell;
Andrew W. McCullough;
John E. Lauria;
Keith W. Andresen
Show Abstract
The analysis and results show the performance of 150nm pattern features exposed with an advanced step-and-scan system using conventional illumination. Extremely uniform linewidth control of 3(sigma) < 6nm for these features is achieved by implementing the new step-and-scan technique of cross-slot-dose compensation. Examples are presented to demonstrate the improvement achieved using this new technique for grouped features, semi-isolated features, and isolated features. This new technique enhances the performance of a step-and-scan system because it allows the adjustment of the illumination signature along the scanned optical slot to achieve the optimum linewidth-control- performance necessary for advanced microprocessor production. Slot compensation is accomplished by locally adjusting the slot width of the scanning syste. The adjustments are automated and under computer control. This technique is not easily applied to the older optical step- and-repeat systems. The paper demonstrates how this technique, used with standard masks and standard illumination, gives an unprecedented enhancement in both resolution and linewidth control. The combination of this new technique with the step-and-scan system's low optical distortion and highly precise pattern-overlay capabilities allows optical lithography to operate at K-factors significantly below 0.5 in volume production. This paper introduces the improvements required to achieve successful production-level lithography at K-factors of 0.4 and below.
150-nm generation lithography equipment
Author(s):
Nobuyoshi Deguchi;
Shigeyuki Uzawa
Show Abstract
Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.
Performance of 300-mm lithography tools in a pilot production line
Author(s):
John G. Maltabes;
Alain B. Charles;
Steffen R. Hornig;
Thorsten Schedel;
Dietmar Ganz;
Sebastian Schmidt
Show Abstract
Semiconductor 300 is the first pilot manufacturing facility for 300mm wafers in the world. This company is a joint venture between Siemens and Motorola, formed for the purpose of developing a 300mm manufacturing tool set. The pilot line contains a full compliment of tools for DRAM manufacturing. This paper discusses the performance of the initial 300mm lithography tool set installed in our pilot line in Dresden, Germany. The product used for evaluating and debugging the tool set is a 0.25-micron ground rule 64 Meg DRAM. This was chosen for the ability to easily benchmark against 200mm DRAM manufacturing data. We have produced several lots of wafers with measurable yield. These lots have produced data on overlay, CD and run to run performance of the lithography tools on actual product. We have data on resist coating, and develop uniformity. With several lithography tools installed we have generated a large amount of mix and match data. In addition several challenges for successful lithography have surfaced related entirely to the increase in wafer size. Film, etch, polish and thermal non-uniformity have impacted the throughput and performance of the lithography tools. The installation of the first integrated 300mm pilot line has also produced data on the impact larger wafer size has on tool logistics, for example fab layout, installation schedules and wafer and lot transport. While technical data is always important, the main reason for converting to 300mm is economic. We now have preliminary data on the comparison of 200 tools to 300mm tools in terms of footprint, throughput, and productivity gains for equivalent square centimeters of silicon. With this data we can start to make preliminary recommendations for 300mm manufacturing tools.
Watt-level DUV generation by solid state laser for lithography
Author(s):
Yasu Ohsako;
Jun Sakuma;
Andrew Finch;
Kyoichi Deki;
Masahiro Horiguchi;
Toshio Yokota
Show Abstract
The first all-solid-state laser system generating 1 W of 196 nm light at a 5-kHz pulse-repetition rate has been developed. The laser system consists of a Neodymium:Yttrium Lithium Fluoride maser oscillator power amplifier operating at 5 kHz, a single-frequency, gain-switched Titanium:sapphire laser, and additional frequency conversion stages utilizing nonlinear crystal such as Cesium Lithium Borate grown by USHIO and Lithium Triborate. The performance of each system component will discussed as well as the novel pathway employed to reach 196 nm.
ArF step-and-scan exposure system for 0.15-um and 0.13-um technology nodes
Author(s):
Jan Mulkens;
Judon M. D. Stoeldraijer;
Guy Davies;
Marcel Dierichs;
Barbra Heskamp;
Marco H. P. Moers;
Richard A. George;
Oliver Roempp;
Holger Glatzel;
Christian Wagner;
Ingrid Pollers;
Patrick Jaenen
Show Abstract
This paper presents an evaluation on the 0.15 micrometers and 0.13 micrometers lithographic patterning alternatives for semiconductor devices. Baseline for the evaluation is a first generation ArF step and scan exposure system with 0.63 NA projection optics. The system layout is discussed and main performance data on imaging, overlay and throughput are presented. Binary masks, and various advanced 193 nm resist system are used to evalute process latitudes of dense lines, isolated lines and contact holes. The manufacturing economics, expressed in Cost Of Ownership, are evaluated for an ArF based production technology, and compared to critical layer KrF.
Performance of the ArF scanning exposure tool
Author(s):
Susumu Mori
Show Abstract
The 193nm lithography is needed for manufacturing devices of finer than 180nm feature size rule. The each key technology in regard to 193nm wavelength, the light source, glass material, photo resist, mask, and so on, has been investigated until now. For ArF exposure too, the major concerns of 193nm wavelength are the projection unit and the illumination unit. The glass materials have been tested the quality and durability for exposure tools' life. Using enough good materials, the first solution of full field ArF projection lens was accomplished by all refractive type, and its performance was confirmed.
Impact of pellicle damage on patterning characteristics in ArF lithography
Author(s):
Junji Miyazaki;
Masaya Uematsu;
Tohru Ogawa
Show Abstract
Pellicle durability and the effect of damaged pellicles on lithographic performance were investigated. It was found that pellicles lose their thickness but do not change their optical constant in an air atmosphere when irradiated by an ArF laser. Changes in pellicle thickness cause a wave aberration change. It was confirmed that this damage affects lithographic performance in simulations and experiments. It was estimated that transmittance of a 1 percent change causes a CD shift of 0.6 nm. We have also found that a lifetime of latest pellicle is over one year. Pellicles are now available with enough durability, as the pellicle durability has been greatly improved.
Revisiting F2 laser for DUV microlithography
Author(s):
Thomas Hofmann;
Jean-Marc Hueber;
Palash P. Das;
Scott Scholler
Show Abstract
A molecular fluorine laser, specifically tailored for photolithography needs, was developed. Single line operation at 157.6nm was achieved by means of a prism assembly. Laser operation at repetition rates up to 1 kHz without signs of power saturation results in an average power of 15W. The energy stability was equal to comparable ArF laser. Proper choice of materials and corona pre-ionization enabled gas lifetimes in line with current ArF laser technology, without any need for cryogenic purification.
Integration of alternating phase-shift mask technology into optical proximity correction
Author(s):
Joerg Thiele;
Christoph M. Friedrich;
Christoph Dolainsky;
Paul Karakatsanis;
Wilhelm Maurer
Show Abstract
The paper describes the extension of optical proximity correction (OPC), which is well established for conventional chromium-on-glass mask printing, to alternating phase shift masks (altPSM). Aerial image simulation of various situations of light-field and dark-field altPSM shows that the size of the phase shifter has a great impact on the printed critical dimension (CD). Especially layouts containing non-symmetric phase shifters or shifter sizes comparable to the nominal CD do not print on target. The application of optical proximity correction to the chromium structures between the phase shifters is capable to compensate for such effects. We demonstrate the added value of OPC using a simulation-based software tool for altPSM.
Alternating PSM optimization using model-based OPC
Author(s):
Alexander V. Tritchkov;
John P. Stirniman;
Michael L. Rieger
Show Abstract
We describe proximity correction methods for alternating phase shift mask (APSM) designs, including graduated phase transition PSM, phase-conjugate, also known as dual trench PSM, and double exposure clear and dark field PSM. We determine the magnitude and characteristics of proximity errors inherent to APSM, and compare them with the corresponding characteristics of binary masks. We present our investigations on integrating APSM and proximity correction, including CD control improvements at nominal conditions and through focus. We examine the limitations of each APSM/proximity correction alternative. All correction methods and proximity error characterizations were done using TAURUS - OPC. This includes phase shift mask model generation, boolean operations for generating intermediate correction layers, proximity correction, and boolean post- processing to generate the final output layers. We show that large imaging distortions near phase transitions regions require proximity correction and the size of the proximity correction serifs is large due to a Mask Error Factor less than 1.0.
Pattern asymmetries in phase-edge imaging
Author(s):
Michael Fritze;
Susan G. Cann;
Peter W. Wyatt
Show Abstract
Strong phase-shift methods such as alternating aperture and chromeless edge are resolution-enhancement techniques that promise to extend optical lithography to the 100-nm regime and possibly below.
Clear-field alternating PSM for 193-nm lithography
Author(s):
Patrick Schiavone;
Frederic P. Lalanne;
Alain Prola
Show Abstract
An alternating phase shift mask for 193m lithography has been generated using a proprietary software tool. The clear field mask allows a single exposure processing. Progressive phase transition is used to avoid the unwanted printing of the 0 degree to 180 degree phase step. A resolution below the exposure wavelength and close to the theoretical limits of the tool could be achieved. 180nm pitch grating could be printed successfully using a numerical aperture of 0.6. This is, to our knowledge the best lithographic performance reported until now using 193nm illumination. However, out of focus imagin shows evidence of a non-negligible phase error. It is shown through atomic force microscopy analysis that the dependence of the etch depth on phase-shifter width can explain this phasers offset. The influence of the quartz etch process on the phase-shift accuracy is proved to be a key issue of the strong PSM manufacturing.
Evaluating the potential of alternating phase-shift masks using lithography simulation
Author(s):
Christoph M. Friedrich;
Klaus Ergenzinger;
Fritz Gans;
Andreas Grassmann;
Uwe A. Griesinger;
Juergen Knobloch;
Leonhard Mader;
Wilhelm Maurer;
Rainer Pforr
Show Abstract
This paper quantifies the expected gain in the process window of 150nm structures printed with DUV for alt PSM vs. COG masks and HT PSM. Most of the analysis was performed for dense lines and isolated lines using lithography simulation. Alt PSM show an increase of dose latitude by 9 percent and an improved DOF by 0.2 micrometers for dense liens. For isolated lines the real advantage is seen in the increase of DOF by 0.7 micrometers . Furthermore it will be demonstrated, that alternating PSM can improve the imagin performance of contacts significantly over competitive techniques. Chromeless PSM may push the ultimate resolution limit. However to vary the linewidth three adjacent quartz edges must be used, since two phase edges are instable in defocus. A phase shifting region needs to exceed a minimum width in order to enhance the contrast of the aerial image of the whole feature. Experimental data and simulations show that the required minimum phase-shifter width for an isolated line is in the region of 400nm. Simulation and experiment show, that 90 degrees edges are very sensitive to defocus and neighboring patterns. Using a 3D mask simulator, correction values for etch depth and parameters for a lateral underetch were determined in order to achieve intensity balancing for alt PSM for various feature sizes.
Full-depth optical proximity correction (FD-OPC) based on E-D forest
Author(s):
Burn Jeng Lin;
Peter Young
Show Abstract
When the k1 number approaches 0.6 and below, optical proximity correction (OPC) is inevitable. Most existing OPC schemes correct the image in the focal planes without considering the possibility of different optimum focuses for the features to be corrected. Furthermore, OPC is often conducted without optimizing NA and (sigma) before and after, to save experimental or simulation work. In this paper, a full-depth OPC scheme is presented. It optimizes the individual size bias of contributing features in the entire exposure-defocus space using the E-D tree methodology. In addition, the OPC scheme integrates with signamization to fully automatically optimize NA, (sigma) , and individual feature bias together.
Resolution enhancement through optical proximity correction and stepper parameter optimization for 0.12-um mask pattern
Author(s):
Yong-Ho Oh;
Jai-Cheol Lee;
Sungwoo Lim
Show Abstract
As the design rule of semiconductor microchips gets smaller, the distortion of a patterned image due to the optical proximity effect (OPE) becomes the limiting factor in the mass production. We developed an optical proximity correction (OPC) program that can be applied to a strong or attenuated phase shift mask as well as to a binary mask. The OPC program named OPERA is based on a stochastic approach as other rule-free OPC programs, but it has tow remarkable points. Firstly, proper cost function and optimization strategy enable us to achieve very closely clustered mask pattern that could be manufactured at a reasonable cost. Secondly, OPERA can carry out the optimization of illumination parameters for any modified illumination methods, such as, annular or quadrupole using the critical dimensions information of mask patterns.
Hierarchical processing for accurate optical proximity correction for 1-Gb DRAM metal layers
Author(s):
Sachiko Kobayashi;
Taiga Uno;
Kazuko Yamamoto;
Satoshi Tanaka;
Toshiya Kotani;
Soichi Inoue;
Hitoshi Higurashi;
Susumu Watanabe;
Mitsuhiro Yano;
Sinichiro Ohki;
Kiyoshi Tsunakawa
Show Abstract
We propose a high-performance hierarchical mask data processing system which incorporates a refined 1D optical proximity correction (OPC) method. Using an engineering workstation, the system incorporating the refined 1D OPC, corrected the metal layer in a miniature model of a 1-Gbit DRAM within a practical time. Well-designed hierarchical management in the mask data conversion system reduced the correction time to about 1/12 of that in flat processing. Data volume expansion was suppressed within four percent compared to the mask data volume without OPC. Using both exposure experiment and lithography simulation, the correction accuracy was examined when the system was applied to test patterns of metal layer. In the case of 0.16 micrometers design rule, the range of linewidth error with refined 1D OPC was reduced to 62 nm compared to 99 nm with the conventional 1D OPC method. The proposed method is expected to achieve precise correction compared to conventional 1D OPC method. These rules suggest that the proposed OPC system is useful for correction of 1-Gbit DRAM and beyond.
Systematic approach to correct critical patterns induced by the lithography process at the full-chip level
Author(s):
Chul-Hong Park;
Yoo-Hyon Kim;
Ji-Soong Park;
Kwan-Do Kim;
Moon-Hyun Yoo;
Jeong-Taek Kong
Show Abstract
This paper present a systematic approach to correct critical patterns, which are more prone to defects due to the photo lithography process, at the full-chip level for sub-quarter micron CMOS applications. In the first stage of the photo lithography process for integrated circuits (IC), the bridging failure between patterns in a photoresist layer has been found occasionally. The small process margin in patterning plays a key part of the device yield drop, when process conditions or production lines are changed. However, it is a very difficult and time-consuming job to find and correct all the possible critical patterns which might cause failure. Test patterns with various line-and-spaces are designed and simulated using the aerial image model and the third order polynomial function of critical patterns. The DRC software with the rules searches an entire area of the IC layout. The proposed approach to extract critical patterns is cost effective and fast compared to the evaluation of a layout using a photo lithography simulator at the full-chip level. Applying this methodology to 256M DRAM with 0.25 micrometers minimum design width in the periphery and core area, all bridge defects found before correction can be removed. Furthermore, it will be a useful tool to the product engineer who should indicate monitoring patterns, which are sensitive to the lithography process margin.
Effects of subresolution assist features on depth of focus and uniformity of contact windows for 193-nm lithography
Author(s):
Armen Kroyan;
Pat G. Watson;
Raymond A. Cirelli;
Omkaram Nalamasu;
Frank K. Tittel
Show Abstract
Sub-resolution assist features can significantly improve depth of focus and uniformity of critical dimensions of contact windows especially when combined with appropriately optimized conditions. In this paper, the placement and dimension control of assist features for 160nm contact windows are studied and analyzed using 193 nm lithography in conjunction with state-of-the-art single layer resist. Our study is based on comparison of simulation and experimental data obtained form critical dimension measurements with varying exposure dose, focus, and in different environments. Computer simulations are performed using such commercial lithography software tools as Prolith and Solid-C. Along with optical proximity corrections, we use different conventional and off-axis illumination conditions which increases depth of focus of contact windows and improves the overall process latitude. A test photomask with different configurations of contact windows with and without assist features has been specifically designed for this study. The results have shown that when used with appropriate illumination conditions, especially quadrupole off-axis, sub-resolution assist features increase the depth of focus of contact windows by about 0.3 micrometers , significantly decrease the proximity effects, and improve the overall process latitude.
Optical proximity correction considering process latitude
Author(s):
Akio Misaka;
Shinji Odanaka
Show Abstract
A two-step OPC approach, that consists of a cell level OPC and a chip level OPC, is proposed. The cell level OPC plays an important role on generating the layout design rules of gate patterns at the initial phase of technology development. The chip level OPC is dedicated to CD adjustment. The Cell level OPC includes the OPC patten generator and the verification part on the basis of a 3D aerial simulation. The effect of the OPC pattern is estimated, calculating the process windows. Cell layout patterns and OPC patterns are generated so as to maximize the process windows. The cell level OPC allows us to remove the error that breaks out in the cell size reduction process.
Development of a lithographic DRC technique for interactive use and batch processing
Author(s):
Hiroki Futatsuya;
Tatsuo Chijimatsu;
Satoru Asai;
Isamu Hanyu
Show Abstract
Lithographic DRC which takes optical interference effect into account can find and solve the related optical problems beforehand. That is, it can detect the weak points of pattern layout with respect to optical intensity and identify problems which would have remained unnoticed with geometric DRC. Usual approach comparing the aerial images with the intended shape of pattern takes a quite long time. To improve the processing time of DRC, we set verification points on pattern's edge and classified the individual points by analyzing surroundings within optical interference range. With this approach, it becomes possible to reduce the calculation time, since only a one-time calculation is required for each unique point. Comparing designed pattern layout with its aerial image may result in hundreds of small errors near small jogs or at the corner of patterns. To eliminate these small errors, we used a rounded pattern layout as the intended shape of the resist. Well-fitted condition is used for the aerial image simulation, that is, defocused aerial images and the threshold model are employed for the prediction of the shape of resist. Using these methods, we achieved an improvement with respect to both processing time for DRC and obtained accuracy. We applied lithographic DRC to actual device patterns, and we could verify that it was possible to detect the point with coupling, shortening and less margin.
Practical methodology of optical proximity correction in subquarter-micron lithography
Author(s):
Chang-Moon Lim;
Jae-Wook Seo;
Chun-Soo Kang;
Young-Soo Park;
Jong-Tai Yoon;
Chul-Seung Lee;
Seung-Chan Moon;
Bong-Ho Kim
Show Abstract
Optical proximity correction (OPC) is well known as a predominant method to overcome the proximity effect. However, it is not so simple to implement OPC in real process because of the difficulty in designing, manufacturing and inspecting the masks. Simple and practical methods of overcoming the optical proximity effects (OPE) in DRAM application are widely studied in this work. Simulation based layout optimization is effective for periodic cell patterns but establishment of some tolerable rules for circuit design needed for random periphery patterns. The characteristics of optical proximity effects are investigated in sub-quarter micron lithography as a function of various optical parameters such as numerical aperture, degree of partial coherence, and illumination type. It is also investigated the dependency of OPE on the resist kind, resist thickness, soft bake and post exposure bake temperatures as well as different substrates films.
Automatic parallel optical proximity correction system for application with hierarchical data structure
Author(s):
Eiji Tsujimoto;
Takahiro Watanabe;
Kyoji Nakajo
Show Abstract
To enable very fast OPC, we have developed an automatic parallel-processing optical proximity correction system named Acropolis using a rule-based approach for very large scale layout data. Acropolis can easily handle giga-byte order layout data using parallel processing while preserving data hierarchy as much as possible. This system is also linked to a layout editor through an added-on menu, so that the designer can perform layout considering both original and OPC'ed mask data. In this paper, we describe the evaluation results of Acropolis.
Optical proximity correction for submicron lithography by laser direct writing
Author(s):
Yongkang Guo;
Jinglei Du;
Qizhong Huang;
Jun Yao;
Chuankai Qiu;
Zheng Cui
Show Abstract
Generally, a laser direct writing lithography system can only produce feature sizes larger than its beam spot size. When the feature size is comparable to its spot size, corner rounding and line shortening appears. This is caused by optical proximity effect. The effect is mainly due to light intensity spread in a laser beam which causes the spread of photon energy in resist layer. A new pre-compensation method has been developed to correct the optical proximity effect. The method has been implemented in the ISI-2802 laser direct write system. Feature size down to 0.6 micrometers has been produced with the system which normally can only produce 1 micrometers lithography without proximity correction.
Reduction of isolated-dense bias by optimization off-axis illumination for 150-nm lithography using KrF
Author(s):
Seok-Kyun Kim;
Chang-Nam Ahn;
Seo-Min Kim;
Young-Mog Ham;
Ki-Ho Baik
Show Abstract
With KrF and off-axis illumination (OAI) technique we should set up 150nm lithography process without using phase shift mask. But isolated-dense bias (ID bias) makes 150nm lithography process difficult. We investigated ID bias trend at different OAI condition and found that it could be reduced by optimizing OAI condition. We represent OAI as quadrupole center (sigma) R and pole size radius r. With high NA, small R and small r we can reduce ID bias but cannot eliminate completely at 150nm lithography. Also we found out that ID bias of duty patterns are more severe than that of dense and isolated patterns. Using OAI at a certain space width between lines, the width of lien has its minimum. This line thinning phenomena at this weak zone depends on OAI condition such as NA, R and coherence value. We compared simulation data with experimental result and could see the same phenomena at simulation data. Therefore OPC is necessary to avoid this weak zone. By experiment and simulation with NA higher than 0.65 and Optical Proximity Correction, we could set up 150nm lithography process with below 0.20micrometers periphery pattern design rule.
Illuminator optimization for projection printing
Author(s):
Eytan Barouch;
Steven L. Knodle;
Steven A. Orszag;
Michael S. Yeung
Show Abstract
In this paper we report a new algorithm designed to enable printability and enhanced defocus budget at half and sub- half wavelength feature sizes. An integral part of this algorithm is the optimization of aerial image contrast, performed in stages, for an algorithmically determined set of contrast cost functions. The optimization is performed on the geometric shape of the condenser filter, herein referred to as the illuminator. Combining (1) illuminator optimization, (2) reticle proximity correction, and (3) attenuated phase shift masks allows one to perform corrections to aggressive SRAM mask designs with features sizes as small as 140 nm, when employing 248 nm illumination, as well as 125 nm feature sizes of lines and spaces. We also present optimizations for 80 nm lines, with 120 nm spaces using 193 nm illumination.
New technology for enhancing depth of focus using birefringent material
Author(s):
Dohoon Kim;
Hai Bin Chung;
Kyoung Ik Cho;
Dae Yong Kim
Show Abstract
We propose a new technology for enhancing the depth of focus in sub-quarter-micron optical lithography. For this work, we introduce a new projection optical system that includes a birefringent optical component. The quartz crystal as a birefringent materials makes the incident light be resolved into the predetermined polarized direction of the component. By this concept, the focus latitude is increased by the birefringent optical component, which can create two or more focal planes at slightly different positions along the light axis. In addition, the exposure can be done at each focal plane simultaneously by the polarization condition of exposure light. In this paper, we report the basic ideas, and the simulation results that the focus latitude for patterns with a feature size of a sub-quarter-micron can be increased more than tow times using new technology comparing with the conventional method.
Spatial frequency analysis of optical lithography resolution enhancement techniques
Author(s):
Steven R. J. Brueck;
Xiaolan Chen
Show Abstract
A consistent frequency-space analysis of the effects of optical lithography resolution enhancement techniques including optical proximity correction, off-axis illumination, phase-shift masks and imaging interferometric lithography is presented. The improvements for each of these techniques are directly related to the enhanced frequency- space coverage afforded. Optical proximity correction extends the frequency coverage out to approximately 1.2-1.3 X NA/(lambda) where NA is the optical system numerical aperture and (lambda) the exposure wavelength enabling K1's of approximately 0.45 in the context of the Rayleigh resolution equation CD equals K2(lambda) /NA. There are many possible configurations for off-axis illumination. For a quadrupole oriented at 45 degrees to the (x,y) patterns axes, the maximum spatial frequency is extended to (root) 2NA/(lambda) or K1 approximately 0.43. Adding pupil plane filters to ensure a uniform MTF and orientating the quadrupole along the pattern axes allows extension to frequencies of 2NA/(lambda) or K1 approximately 0.3. Phase-shifts at the mask plane emphasize the high frequency image components by increasing the importance of the quadratic imaging terms and allow frequencies to 2NA/(lambda) and K1's out to approximately 0.35. Imaging interferometric lithography further extends the frequency coverage out to either (1 + NA)/(lambda) or 3NA/(lambda) depending on the details with corresponding K1 s of approximately 0.23-0.2.
Experimental comparison of off-axis illumination and imaging interferometric lithography
Author(s):
Xiaolan Chen;
Steven R. J. Brueck
Show Abstract
Imaging interferometric lithography (IIL) is a newly developed technology extending the resolution of optical lithography (OL) to the linear-systems limits of optics. IIL is an extension of off-axis illumination (OAI) for offset angles larger than the numerical aperture (NA) of the optical system. In this work, the connection of IIL with quadruple OAI is demonstrated by reduction the offset angle to within the optical system NA. This gives rise to an effective optical transfer function that decreases at higher spatial frequencies since multiple counting of the lower frequency space components emphasizes the low-frequency response. Pupil plane filters are introduced to eliminate this multiple coverage and improve the pattern fidelity. Simulation results are in excellent agreement with the experiments, reproducing even the fine pattern details. Tiling of spatial frequency space with different pupil plane filters for both OAI and IIL approaches has also been investigated. Pattern fidelity is significantly affected by the tiling scheme with the IL approach yielding the most robust overall image performance.
New mask having functions of OAI and PSM to realize sub-0.2-um patterns with 248 nm in microlithography
Author(s):
Xiangang Luo;
HanMin Yao;
Xunan Chen;
Feng Boru
Show Abstract
New mask, which combines off-axis illumination using micro- profile and phase shift layer, is proposed. The physical mechanism of resolution and DOF enhancement of the mask is briefly discussed. Some results of simulation to investigate the basic lithographic characteristics of the mask are presented. Finally, experiments in i-line exposure systems have been carried out, and the result verified the enhancement of lithographic performance. In i-line exposure systems, we obtained best resolution of 0.30 micron and 2.34 micron DOF for 0.6 micron feature size. In our simulation, with 248 nm exposure wavelength, 0.16 micron pattern size can be realized with 2.0 micron DOF, and the proximity effect decreased obviously.
Off-axis illumination for improving depth of focus for isolated features
Author(s):
James G. Tsacoyeanes
Show Abstract
Off-axis illumination is an optical enhancement method that has been widely used and discussed. The basic idea has been that for dense features, two diffracted orders 0th and 1st, symmetrically located about the optical axis of the projection optics, interfere so that the phase difference between them is minimized producing enhanced depth of focus (DOF). As discrete orders to not exist for isolated features, little if any improvement is seen in DOF. However it will be shown that for isolated features that are print biased, off-axis illumination can provide significant improvement in DOF over conventional illumination techniques and consequently improved CD control. The results from both aerial image and resist simulations will be compared for a 157 nm, .7 NA system. It will be shown that the nonlinear properties of the resist, reduces the DOF.
Coherent multiple imaging by means of pupil plane filtering
Author(s):
Miklos Erdelyi;
Armen Kroyan;
Karoly Osvay;
Zsolt Bor;
William L. Wilson Jr.;
Michael C. Smayling;
Frank K. Tittel
Show Abstract
A resolution enhancement technique suitable for Deep-UV microlithography based on coherent multiple imaging (CMI) will be described. We showed recently that a Fabry-Perot etalon inserted between the mask and the projection lens in an optical stepper is able to simultaneously enhance the resolution and depth of focus of an aerial image. Since the multiple images of the mask pattern created by the etalon are added together coherently, the final image profile is very sensitive to the initial phase conditions. It is possible to simulate this coherent multiple imaging techniques using a simulation model which either superimposes separate output electric fields or by applying an appropriate transmission-phase pupil plane filter in the simulator. The first approach, however, requires a modification of the simulation software which allows output of the electric field profile, while the second approach can be used with a conventional commercial lithography simulator. In this paper computer simulations for isolated and extended contact hole arrays are used to demonstrate that the CMI method can enhance resolution by 18 percent while maintaining or even increasing the DOF of the aerial image. It is also shown that the high intensity side lobes generated by the filter nc abe eliminated by means of a phase shifting mask or by reducing the spatial coherence of the illumination source. The optimum value of spatial coherence was found to be 0.28. In this case the side lobes disappear, and the intensity of the main peaks doubles. The impact of this technique on image intensity is also discussed.
Study of the impact to image quality and overlay by different pupil fills in a DUV scanner via overlay metrology
Author(s):
Kafai Lai;
Pedro Tasaico;
Theodore G. Doros;
Dan W. Holladay
Show Abstract
The impact to image quality and overlay by using different pupil fills in a DUV scanner of 0.6 NA studied by using overlay metrology. primary optical aberrations such as spherical aberration, field curvature, astigmatism were studied using the Phase Shift Focus (PSF) monitor, while coma was studied by using coma monitor structure. Distortion was studied by using telecentricity and overlay-through- focus test. It was found that different illumination mode contributes to different extent of these aberrations, confirming that illumination aberrations couple with projection lens aberrations to affect image quality. We also observed partial coherence variation across field as well the orientational dependency of partial coherence. Coma was found to introduce a second order curvature in the displacement curve and it affects distortion by the effect of field magnification error, as indicated by the telecentricity and overlay-through-focus test. It is concluded that optical aberration is the worst for low sigma illumination however, it provides the least variation in partial coherence across field. Off Axis Illumination also impacts distortion pattern and overlay results, so any application using mixed pupil fills needs to be addressed carefully, accuracy of the PSF measurement has to be improved to apply this method to augment the advantage of speed and convenience in collecting a lot of across field data. It is also found that PSF monitor is not applicable for quadrupole illumination.
Study of pupil filters for depth of focus enhancement in printing contact holes
Author(s):
Chun-Ming Albert Wang;
Shinn Sheng Yu;
Anthony Yen
Show Abstract
In this paper, we investigate depth of focus (DOF) improvement using radially symmetric pure phase pupil filters. Optimization of such filters starts by requiring their corresponding point spread function be flat in the axial direction. it is found that DOF for 0.18micrometers contact holes using an optimized filter is about 1micrometers , while printing them without filters is hardly feasible, using a binary mask. however, this filter introduces 2/3 intensity loss and therefore affects the processing throughput. We also apply the optimized filter to the imaging of 0.15micrometers contact holes and 0.18micrometers lines and spaces. For 0.15micrometers contact holes, it still performs better than other case, but normalized image log-slopes are low for all cases. From the simulation data of 0.18micrometers lines and spaces, pure phase filters may not be good candidates for improving their DOF. Other DOF enhancing techniques such as quadrupole illumination may be required.
Design of illumination aperture for ArF exposure system with wide exposing latitude
Author(s):
Sang-Soo Choi;
Han-Sun Cha;
Jong-Soo Kim;
Kag Hyeon Lee;
Dohoon Kim;
Hai Bin Chung;
Dae Yong Kim
Show Abstract
We report on the optimum design of illumination aperture with high throughput on ArF exposure system. The quadrupole illumination in the modified illumination methods has a problem to decrease throughput due to the shortage of transmission light passing the pole of the aperture comparing with the conventional illumination. In this paper, we suggest the new quadrupole structure to define 0.15micrometers line and space patterns with high throughput on the ArF exposure system with 0.55 NA.
DOF improvement by complex pupil filtering for DUV lithography
Author(s):
Piotr Jedrasik
Show Abstract
We propose a method for the design of a complex optical element for use in lithographic system. It is based on optimization of the intensity point spread function of the lithographic projector. Increase in the depth of focus up to +/- 3micrometers in comparison with unaltered pupil demonstrated. This is achieved without introducing significant undesirable proximity effects, and in such a way, control over the sidelobe level is achieved. The solution is universal, without any reference to the projection of the particular mask layout. The analytical representation of the filter allows for explicit optimization process. Practical realization of the filter based on statistical approach is presented. Limitations of the proposed approach are discussed.
Optimization of attenuated phase-shift mask for contact hole printing
Author(s):
Yung-Tin Chen;
Ya-Chih Wang;
Ronfu Chu
Show Abstract
The goal of this study is to characterize the process latitude of an attenuated phase gift mask on contact hole printing. The parameters chosen to examine the effect on process windows are numerical aperture (NA) and partial coherence. In addition to these, another important element we would like to determine from this test is the appropriate mask to wafer CD bias. The range of numerical aperture is from 0.5 to 0.63 and the range of partial coherence ins from 0.32 to 0.67. Within the ranges of study, it is found that the DOF increases with decreasing partial coherence for iso contacts, but decreases with decreasing partial coherence for dense ones. DOF increases with decreasing numerical aperture for both iso and dense contact holes as expected. The best DOF of 2.1 micron was obtained with 0.5 NA and 0.4 partial coherence. In general, the required energy to open contact holes increases with decreasing numerical aperture, while the impact of partial coherence on best dosage is not as predominant as NA does. The effect of pre-treatment delays the side lobe formation for iso contact holes while seems to have no effect on dense ones.
Effect of mask critical dimension error for subquarter-micron contact hole
Author(s):
Hung-Eil Kim;
Jun-Sung Chun;
Stanley Barnett;
James Shih
Show Abstract
The effect of mask critical dimension (CD) error for binary mask and attenuated phase shift mask (PSM) are investigated by simulation and experimental based data. For the large features, mask error factor (MEF) is approximately unit. But as the CD is closed to the resolution limit, the MEF value is rapidly increased. The MEF was dependent on the contact density. For example, dense contact has larger MEF value than isolated contact. Attenuated PSM has smaller MEF value comparing with binary mask because it is applied the positive mask bias in order to reduce the sidelobe printing. The sensitivity of mask CD error for NA and sigma variation was different from the contact density. For the isolated contact, MEF value was almost independent on the sigma value. However, the MEF was improved by high NA lines at the resolution limits both for the isolated and for the dense contact. According to these data, the mask CD control budget for the sub-quarter micron contact was considered.
Lithographic implications for Cu/low-k integration
Author(s):
Rebecca D. Mih;
Nora Chen;
Kenneth R. Jantzen;
James T. Marsh;
Steven Schneider
Show Abstract
Low dielectric constant materials in the back-end-of-line process are needed to reduce resistive-capacitive delays due to continually shrinking interconnect dimensions. Several organic dielectrics which have etch rates similar to photoresists, such as benzocyclobutene and diamond-like carbon, have been explored for compatibility with lithographic processes. In this paper we discuss integration issues from a lithographic perspective, including low-k materials selection and properties, integration sequences, use of hard masks and the effects on reflectivity, resist process compatibility and focus effects using an advanced DUV scanning system.
Approach to pattern aspect ratio control
Author(s):
Alan C. Thomas;
Franz X. Zach;
Alfred K. K. Wong;
Richard A. Ferguson;
Donald J. Samuels;
Rosemary Longo;
John Zhu;
Christopher Feild
Show Abstract
Many semiconductor chip designs require precise simultaneous control of both the width and length of asymmetric features. Line shortening due to optical, resist processing, and mask effects cause the process windows for width and length to diverge. Typically differential mask biasing has ben used to maximize the common process window for both axes. As we enter the gigabit era limitations in grid size and mask write times may become significant restrictions to meeting required device tolerances with that approach. Simulations of aerial image and resist processing using SPLAT and LEOPOLD indicate that for a given mask there is considerable latitude to adjust the length of features without a significant loss of process window. An experimental design matrix was used to verify the simulation results and develop a regression mode of pupil fill, numerical aperture, and resist diffusion effects. This model was then applied to optimize the processing conditions for several product masks. This technique is particularly useful early in the development cycle when mask to mask repeatability is poor and lead times are long. It may also be use to fine tune image sizes in manufacturing.
Optimization criteria for SRAM design: lithography contribution
Author(s):
Daniel C. Cole;
Orest Bula;
Edward W. Conrad;
Daniel S. Coops;
William C. Leipold;
Randy W. Mann;
Jeffrey H. Oppold
Show Abstract
Here we discuss the use of well calibrated resist and etch bias models, in conjunction with a fast microlithography aerial image simulator, to predict and 'optimize' the printed shapes through all critical levels in a dense SRAM design. Our key emphasis here is on 'optimization criteria', namely, having achieved good predictability for printability with lithography models, how to use this capability in conjunction of best electrical performance, yield, and density. The key lithography/design optimization issues discussed here are: (1) tightening of gate width variation by reducing spatial curvature in the source and drain regions, (2) achieving sufficient contact areas, (3) maximizing process window for overlay, (4) reducing leakage mechanisms by reducing contributions of stress and strain due to the printed shape of oxide isolation regions, (5) examining topological differences in design during the optimization process, (6) accounting for mask corner rounding, and (7) designing for scalability to smaller dimensions to achieve optical design reusability issues without hardware.
Challenge to 0.13-um device patterning using KrF
Author(s):
Insung Kim;
Junghyun Lee;
DongHo Cha;
Joonsoo Park;
Hanku Cho;
Joo-Tae Moon
Show Abstract
The extension of optical lithography to sub-0.18micrometers design rule using high NA KrF lithographic tool and resolution enhancement technique (RET) is strongly required because of the delayed ArF lithography technology. The theoretical limits, i.e., the diffraction limits of KrF lithography show that 0.1(Mu) m is in the unreachable region with current exposing tool of 0.6NA and even with high NA KrF scanners which will be available soon. Therefore 0.13micrometers device with 0.26micrometers pitch will be a real challenge to most lithographers. In this paper we discuss the status of 0.13micrometers device and show some of the critical device patterns exposed with several KrF scanners which are currently available. Many problems can easily be predicted and must be overcome. The challenge, however, seems to be surmountable in the near future.
Intrawafer CD control in state-of-the-art lithography
Author(s):
Ivan K.A. Pollentier;
Christiaan Baert;
Thomas Marschner;
Kurt G. Ronse;
Grozdan Grozev;
Mario Reybrouck
Show Abstract
In this work, die-to-die CD-variations across a wafer are investigated as a potential important contribution to the global gate CD-control. Measuring the non-uniformity in different experiments using CD-SEM and ELM revealed different parameters, impacting the measured non-uniformity value. It will be pointed out that the measurement itself can have a significant contribution to the measured 3(sigma) -value, especially using CD-SEM, if the level in non-uniformity is low. Further on, it will be shown that the choice of resist and developer chemistry can have a high impact on the i-W CD non-uniformity. Moreover, the potential impact of exposure and track processing will be outlined, and an optimization methodology will be presented. Finally, it will be shown that gate process integration, in particular BARC- and POLY-etching, is increasing the i-W CD non-uniformity. This is affecting the ELM-results, despite the high precision and repeatability of these measurements. This ELM-variation, as well as the overall i-W CD non- uniformity should be taken into account when using ELM or CD-SEM as a metrology tool for process window characterization.
New trends in Brunner's relation: dielectric levels
Author(s):
Yorick Trouiller;
Anne Didiergeorges;
Gilles L. Fanget;
Cyrille Laviron;
Corinne Comboure;
Yves Quere
Show Abstract
The goal of this paper is to understand the optical phenomena at dielectric levels. The purpose is also to quantify the impact of dielectric and resist thickness variations on the CD range with and without Bottom Anti Reflective COating (BARC). First we will show how all dielectric levels can be reduced to the stack metal/oxide/BARC/resist, and what are the contributions to resists and dielectric thickness range for each levels. Then a simple model will be developed to understand CD variation in this tack: by extending the Perot/Fabry model to the dielectric levels, developed by Brunner for the gate level, we can obtain a simple relation between the CD variation and all parameters. Experimentally CD variation for Damascene line level on 0.18micrometers technology has been measured depending on oxide thickness and resist thickness and can confirm this model. UV5 resist, AR2 BARC from Shipley and Top ARC from JSR have been used for these experiments. The main conclusions are: (1) Depending on your dielectric deposition and CMP processes, if resist thickness is controlled, a standard BARC process used for the gate is adapted to remove oxide thickness variation influence providing the optimized resist thickness is used. (2) If both resist thickness and dielectric thickness are uncontrolled, a more absorbent BARC is required.
Optimization of substrate reflectivity, resist thickness, and resist absorption for CD control and resolution
Author(s):
Johannes van Wingerden
Show Abstract
Improvement of CD control can be achieved by reduction of substrate reflectivity effects. On highly reflective substrates such as metals, dyed resists are used most of the time. Especially for poly gate level patterning, the use of Bottom Anti Reflective Coatings has become common practice. While originally organic BARCs dominated, interest is gradually shifting towards inorganic BARCs of the SiOxNy type. Their highly conformal deposition now really allows for tuning towards zero reflectivity, even on substrates with topography. Furthermore, the use of inorganic BARC as a hard mask for etching allows for a thinner resist layer. This reduction of the resist thickness is advantageous for obtaining high resolution. It should be realized, however, that while resist thickness reduction improves resolution, it increases CD swing effects. Also, increased resists absorption reduces CD swing, but negatively influences resolution on substrates with a low reflectivity. THus, while resist absorption, resist thickness and substrate reflectivity can be used as parameters to optimize process performance, optimum conditions for CD control and resolution are generally different. The subject of this paper is how to determine optimum values for resist absorption, resist thickness and substrate reflection. We quantify the effect of these parameters on both CD control and resolution. Furthermore, requirements for BARC parameter variations are discussed. Finally, practical boundary conditions on increasing resist absorption and thickness for better CD control are determined.
Extending the limits of i-line lithography for via layers and minimization of dense-iso bias
Author(s):
Ramkumar Subramanian;
Chris A. Spence;
Luigi Capodieci;
Thomas Werner;
Ernesto Gallardo
Show Abstract
This paper describes the result of patterning contact holes on a TEOS substrate with 365 nm lithography using both binary and phase shift mask techniques. The target CD on the wafer was 0.34 micrometers and the minimum pitch was 0.63 micrometers . Results show significant improvement in depth-of-focus using the phase-shift mask. With the binary mask we obtained 0.6- micrometers DOF for both isolated and dense contact holes while with the phase-shift mask we obtained 1-micrometers DOF. Using the phase-shift mask we can also improve the linearity slightly for dense contacts and significantly for isolated contacts. The effect of pitch on contact size was also studied, showing that the intermediate pitch contacts print larger than the isolated or minimum pitch contacts. Using a mask- to-wafer bias of 60-80nm the largest bias between contacts of various sizes was 25 nm.
Antireflection strategies for sub-0.18-um dual-damascene structure patterning in KrF 248-nm lithography
Author(s):
Shuo-Yen Chou;
Chien-Ming Wang;
Chin Chiu Hsia;
Li-Jui Chen;
Gue-Wuu Hwang;
Shyh-Dar Lee;
Jen-Chung Lou
Show Abstract
Finding high performance and low cost anti-reflection strategies is a common goal for all photolithographers. This task is getting tough for dual damascene process than the metal-etch process because the oxide thickness variation enhances the thin film interference effect. In this paper, different ARC strategies using organic and inorganic material were examined to compare their CD control performance in sub-0.81micrometers dual damascene structure for KrF 248nm lithography. The organic bottom ARC (BARC) achieves reflectivity control through modulation its thickness. The first and second minimal points in BARC swing curve were chosen as the film thickness to be evaluated. The inorganic ARC, which referred to dielectric ARC (DARC) using PECVD silicon oxynitride in this article, was investigated with single layer and double layers structures. The double- layer DARC structure consists of two layers with different extinction coefficient K values. The optimal refractive index and thickness of each ARC structure were calculated from some available photolithography simulators. A PECVD process for DARC growth that provides easily tunable range of refractive index and thickness was established to meet the DUV process requirement from simulation. The performances of each ARC structure were evaluated on patterning 0.18 micrometers trench and 0.20 micrometers via in back-end- of-line dual damascene process. It showed that the double- layer DARC provided the most effective CD control ability among these ARC structures. The double-layer DARC should be one of the most potential candidates for sub-0.18 micrometers dual damascene process.
Comparison of CD variation between organic and inorganic bottom antireflective coating on topographic substrates
Author(s):
Satoko Nakaoka;
Hisashi Watanabe;
Yoshimitsu Okuda
Show Abstract
This paper describes the CD control of using bottom anti- reflective coating (BARC) processes on topographic substrates for DUV lithography. The CD variation using organic anti-reflective coating (ARC) and inorganic anti- reflective layer (ARL) is different because of their coverage characteristics on topography. We have compared the CD variation of different BARC processes on various step widths and heights. The CD range using highly planarizing ARC was smaller than that using another less planarizing local step is advantageous to CD control on topographic substrates. We analyzed the cause of large CD variation using ARL and found that the impact of the oblique reflection from a photoresist/ARL interface at steps.
0.18-um lithography strategies: 248-nm DUV step-and-scanner and advanced chemical amplified resist
Author(s):
Qunying Lin;
Alex Tsun-Lung Cheng;
Wei Wen Ma;
John J.J. Cheng
Show Abstract
Optical lithography is one of the most fundamental technologies for 0.18micrometers development. At tighter critical dimension (CD) control and stringent design rules are required, 248nm DUV step and scanner exposure tool becomes major equipment for 0.18micrometers lithography process because of its tighter CD and overlay control and better image quality. Meanwhile, various types of advanced chemical amplified photoresist which have been designed for 0.18micrometers geometry are also available for R and D purpose or prototype usage. In this paper, we present here the evaluation result of ASML 5500/500 step and scanner system for 0.18micrometers line and space and contact hole with Shipley's new photoresist UV70 and UV90. Different NA/PC settings for both conventional and annular illuminations were selected to test on process margins, iso and dense bias and CD uniformity. Optimum NA/PC settings were obtained for 0.18micrometers design rules. The results showed that with new resist UV70, UDOF of larger than 0.60micrometers was achievable at the optimum NA/PC setting for 0.18micrometers line and space. With new resist UV90, UDOF of 1.1 micrometers can be obtained for 0.22micrometers dense contact hole. Furthermore, as a comparison study, inorganic oxynitride (SiON) BARC and new generation organic BARC are applied separately to suppress swing ratio and substrate reflectivity. The UDOF for inorganic BARC is slightly larger than organic BARC process. The advantage of conformability in SiON BARC gives better pattern transfer CD control. In summary, step and scan system with new photoresist can extend photolithography technology to 0.18micrometers with manufacturable process.
New application of negative DUV resist for topographical metal layer microlithography
Author(s):
Yung-Tin Chen;
Ronfu Chu
Show Abstract
The goal of this study is to assess the capability of a negative DUV resist with application to metal layer lithography. The major issues encountered in metal layer lithography are CD non-uniformity resulting from step height variation from memory cell to periphery and resist's bridging in the area of bank to bank connection within the cell of 64M DRAM. We have compared both positive-tone and negative-tone DUV resist with SiON as the bottom anti- reflection coatings. The results indicate that the negative DUV resists shows no resist bridging problem and has better CD uniformity across step height variation region. The CD uniformity across banks of cells has improves by 10 percent in comparison with positive-tone resist. Process windows are enhanced in both exposure latitude and DOF. The etching resistance to metal is also improved by 20 percent.
Effect of exposure tool illumination settings and objective numerical aperture on the standing wave period within photoresist
Author(s):
Stewart A. Robertson;
Frank T.G.M. Linskens;
Charles R. Szmanda;
Kevin J. Dempsey
Show Abstract
During the exposure of photoresist, standing waves form within the film, modulating the intensity of the illumination with depth in a sinusoidal fashion. Significant changes in the standing wave period are observed when the exposure tool numerical and illumination settings are altered. These changes are explained and demonstrated by means of both experimentation and lithography simulation for conventional and annular illumination. A function is derived which fully characterizes standing wave extrema separation in terms of numerical aperture and partial coherent for conventional illumination. It is shown that when extrema separation is normalized by a factor of (lambda) /4n that the resulting function is independent of (lambda) and exposure tool magnification ratio, but is sensitive to changes in n. Although not fully characterized, the effect of annular illumination on extrema separation is explored and some key aspects of the behavior are identified.
ARC technology to minimize CD variations during emitter structuring: experiment and simulation
Author(s):
Joachim J. Bauer;
G. Drescher;
Ulrich A. Jagdhold;
Ulrich Haak;
T. Skaloud
Show Abstract
Coming out of our in-house SiGe-hetero-bipolar-technology we found that the variations of some of the important electrical parameter like the emitter current are depending on the variations of the critical dimension (CD) during the emitter structuring and this effect is mainly caused by the used i-line photolithography. To minimize the CD variations we used anti reflective coatings. For comparison reasons we applied three different resist technologies, without any ARC, with top ARC (TARC), and with bottom ARC (BARC). During our 0.4 micrometers emitter structuring the CD variations could be reduced down to +/- 40-30 nm for the resists and the resist/TARC combination. The smallest CD variation +/- nm was found by applying the BARC Resist Technology. As an optimization strategy calculations of the resist reflection and the resist absorption were very helpful.
Advanced resist coating technology for mask manufacturing process
Author(s):
Yasuyuki Kushida;
Youichi Usui;
Toru Kobayashi;
Kazumasa Shigematsu
Show Abstract
In mask manufacturing, resist coating is one of the most important processes for fine pattern making because the pattern CD uniformity largely depends on the resist film accuracy. In recent years, various spin coating methods, we have found that the rotary cup method is the best choice to meet our requirements. The method has various advantages in comparison with the other ones. On the other hand, there is a problem of film thickness variations, which are specific to certain types of resist, with this method. In our study, we established a new coating technique which solved the problem mentioned above, without sacrificing the advantages of the rotary cup method. This new method is very effective for resist coating and can be applied to mask fabrication for the next generation devices including 230 mm masks.
Quality and performance of late Ga+ ion FIB mask repair with the gas assist in DUV process
Author(s):
Sang-Man Bae;
Youngmo Koo;
Kwang-Yoon Ko;
Bong-Ho Kim;
Dong-Jun Ahn
Show Abstract
Photomask quality for the next generation processing such as DUV scanner lithography is critical, but there still are many problems. In this situation, we have to find some keys to solve these problems to accommodate the narrow scope of the process margin and the printing bias control on wafer, as well as coarse lithography margins. Currently, the CD uniformity of the patterned Cr, or PSM features including the repaired mask patterns, is about +/- 0.03um. In next generation photomask production, there are some fundamental difficulties to overcome as; Firstly, there is the inherent physical behavior of DUV laser on quartz substrate, and secondly, there are photomask, defects that invisible to blue laser inspection, but can still be portioned onto the wafer. In order to keep up with photomask product requirements, the next generation inspection systems are being developed with i-line and KrF laser sources. However, issues such as low-level transmission defects and critical line-widths defects have not been solved yet. In part, the Ga+ implantation defect is one of these invisible transmission defects due to the fact that the carried inspection tools use a blue laser, so it is not counted as killing defect of the DUV transmitted types. Although it is captured into a false defect, we have a difficult to classify by ion implantation defect. This paper discusses the process margins of FIB Ga+ ion scanning on the opaque repairing of damaged quartz substrate. It will show the effects of reduced intensity or using the Gas Assisted Etching process. And though it has been solved somewhat, we also have to consider the CD control specifications for the next generation device such as 1G DRAM with DUV lithography. In this experiment, we have evaluated the printability of 4X DUV scanner after both opaque and clear defect repair with a focused ion beam (FIB) system. We also confirmed the accuracy of edge repair, implantation effects of each FIB machine and determined the topography of repair by AFM.
Characterizing absorption and total scattering losses on optical components for 193-nm wafer steppers
Author(s):
Klaus R. Mann;
Oliver Apel;
Eric Eva
Show Abstract
The performance of DUV optical components is assessed by measuring both absorption and scatter losses during ArF excimer laser irradiation. Absolute absorptance is determined by employing a high-resolution calorimetric technique which provides greatly enhanced sensitivity compared to transmissive measurements. Thus, the determinant of single and two-photon absorption coefficients at energy densities of several 10 mJ/cm2 is accomplished. As a result of its sensitivity, UV laser calorimetry can be also employed for fast monitoring of laser induced aging phenomena like color center formation in fused silica or CaF2. For monitoring of the scatter losses in DUV optics, a total scattering setup was recently installed, using an ArF excimer laser as pulsed 193 nm light source and a Coblentz hemisphere as integrating element. Results of quantitative absorption and scatter measurements at 193 and 248 nm are presented for coated and uncoated optics, and the contribution of the various loss channels is discussed.
Performance characteristics of ultranarrow ArF laser for DUV lithography
Author(s):
Alexander I. Ershov;
Herve Besaucele;
Palash P. Das
Show Abstract
Today, commercial line-narrowed ArF lasers for Deep-UV lithography are typically producing spectral bandwidth of 0.6 pm FWHM. This value forces the stepper/scanner manufacturers to use large amount of CaF2 in the lens design as well as fused silica in order to compensate for chromatic aberrations. We describe in this paper the parameters - such as pulse duration, fluorine concentration and divergence - which influence the line-narrowing efficiency of ArF laser. We are also presenting result obtained using a new optical cavity design using an etalon as output coupler that provides bandwidth of 0.3 pm at FWHM and 0.8 pm for 95 percent of the energy, performance that could allow to greatly reduce the need for CaF2.
Production-ready 2-kHz KrF excimer laser for DUV lithography
Author(s):
Dave Myers;
Tom A. Watson;
Palash P. Das;
Gunasiri G. Padmabandu;
Paolo Zambon;
Thomas Hofmann;
William N. Partlo;
Christopher Hysham;
Richard Dunning
Show Abstract
Now that 1000 Hz KrF excimer laser based DUV lithography tools are firmly established in production, emphasis is shifting from development towards improving the productivity and profitability of the manufacturing process, thereby reducing the cost per wafer. In this arena, laser manufacturers are competing now not only on performance but also on cost and productivity enhancements that the laser can offer to the lithography process.
High-repetition-rate excimer lasers for DUV lithography
Author(s):
Uwe Stamm;
Rainer Paetzel;
Igor Bragin;
Vincent Berger;
Ingo Klaft;
Juergen Kleinschmidt;
Rustem Osmanov;
Thomas Schroeder;
Klaus Vogler;
Wolfgang Zschocke;
Dirk Basting
Show Abstract
With the transition of DUV lithography into mass production, the economics of the excimer laser light sources is getting more important. The efforts in the development are directed towards an increase of the laser's repetition rate and output power for higher wafer throughput and an improvement of the component lifetime in order to reduce the cost of laser operation. Here we describe advanced 248 nm and 193 nm laser systems which operate with repetition rates of 2 kHz to be used in conjunction with refractive, partially achromatic refractive and catadioptric lithographic lenses, respectively.
Billion-level durable ArF excimer laser with highly stable energy
Author(s):
Osamu Wakabayashi;
Tatsuo Enami;
Takeshi Ohta;
Hirokazu Tanaka;
Hirokazu Kubo;
Toru Suzuki;
Katsutomo Terashima;
Akira Sumitani;
Hakaru Mizoguchi
Show Abstract
We have succeeded in the development of the ArF excimer laser with high performance and durability, by researching and developing of the spectral measurement and gas control technologies, laser chamber with radio frequency preionizer, the high repetition rate solid state pulse power module and the optimized highly durable optical module. As regards spectral measurement technologies, the true instrumental function of a monitor etalon have been measured by our developed 193nm coherent light source. Spectrum of ArF laser could be obtained precisely by deconvolution performed using the covolved spectrum of the ArF laser and the measured instrumental function of the monitor etalon. As for gas control technologies, the influence of impurities given to the ArF laser performance was bigger about 5-20 times compared with the KrF one. And we have paid attention that low concentration Xe gas has effect to the triple output energy. The durability test of 2 billion pulses has been done for the first time in the world. The developed ArF laser kept the integrated energy stability less than +/- 0.6 percent and spectral band-width of FWHM less than 0.8 pm. The result showed, developed laser has an enough performance for lithography even after the pulse number exceeds 2 billion pulses.
Line-narrowed ArF excimer laser for 193-nm lithography
Author(s):
Takashi Saito;
Ken-ichi Mitsuhashi;
Motohiro Arai;
Kyouhei Seki;
Akifumi Tada;
Tatsushi Igarashi;
Kazuaki Hotta
Show Abstract
Recently, considerable progress has been made in the development of ArF excimer lasers for 193 nm lithography. A line-narrowed ArF excimer laser with a bandwidth of < 0.7 pm can be used with a refractive lens system. In this paper, we present a line-narrowed ArF excimer laser which we have developed for 193 nm lithography. This laser produces an output power of over 5 W with a 0.6 pm FWHM bandwidth at 1 kHz operation.
Performance of a highly stable 2-kHz operation KrF laser
Author(s):
Tatsuo Enami;
Masaki Nakano;
Takayuki Watanabe;
Ayako Ohbo;
Tsukasa Hori;
Takashi Ito;
Toshihiro Nishisaka;
Akira Sumitani;
Osamu Wakabayashi;
Hakaru Mizoguchi;
Hiroaki Nakarai;
Naoto Hisanaga;
Takeshi Matsunaga;
Hirokazu Tanaka;
Tatsuya Ariga;
Syouich Sakanishi;
Takeshi Okamoto;
Ryoichi Nodomi;
Takashi Suzuki;
Yuichi Takabayashi;
Hitoshi Tomaru;
Kiyoharu Nakao
Show Abstract
In the semiconductor industry, it is one of the most important issues to reduce manufacturing cost of the semiconductor device by increasing throughput. We have succeeded in the development of the high repetition rate excimer laser technology, and obtained the prospect of low CoO of the laser device. In this paper, we present the performance and advanced technologies of the newest model of the KrF excimer laser for microlithography; KLES-G20K. The laser achieves 20 W of output power with 0.6 pm bandwidth at 2 kHz. The pulse to pulse energy stability, 3 sigma is less than 6 percent and integrated energy stability is within +/- 0.4 percent. By our estimation, more than 50 percent of CoO of the laser device is cut by adopting developed machine compared to a present one.
Silicon-oxynitride films prepared for 157-nm attenuated phase-shifting masks
Author(s):
Hsuen-Li Chen;
Lon A. Wang;
L. S. Yeh;
F. D. Lai
Show Abstract
Suitable silicon-oxynitride films for constructing the attenuated phase shifting masks to be operated in the 157 nm excimer laser regime are obtained by varying the gas flow rations in a RF sputtering process. Characteristics of the films such as optical constants, material compositions, etching selectivity, surface profiles, and adhesion strength are experimentally analyzed. These results indicate that he silicon-oxynitride films thus fabricated can meet the requirements for building such APSM's working in the wavelength of 157 nm.
Application of the hybrid finite-difference time-domain method to modeling curved surfaces in three-dimensional lithography simulation
Author(s):
Michael S. Yeung;
Eytan Barouch
Show Abstract
The original hybrid-FDTD method developed by Wu and Itoh is extended to handle lossy material with positive or negative dielectric constants. Numerical results are given to compare the hybrid-FDTD method and standard FDTD. The result show that the hybrid-FDTD method is much more accurate than standard FDTD when the same mesh spacing is used in both methods. In the case of lossy materials with negative dielectric constants, the hybrid-FDTD method is found to be found to be much more accurate than standard FDTD even when a mesh spacing four times finer is used in the latter method. These results highlight the importance of modeling curved surfaces accurately in DUV lithography simulation using the hybrid-FDTD method.
Effect of 3D diffusion on photolithographic simulation results
Author(s):
Steven G. Hansen
Show Abstract
Simple compact 3D structures such as posts and contact holes may not be accurately described by simulations that do adequately predict the performance of 2D structures such as long lines. The purpose of the present work is to study the utility of a commercially available 3D simulation tool, PROLITH/3D, in addressing this problem. The results show that 3D diffusion can significantly impact sizing energies and exposure latitude for these compact structures and give a better much match with experimental results. Major emphasis is applied to a conventional i-line photoresist process though a chemically amplified process is also briefly examined.
Method for choosing "generic" photoresist simulation parameters
Author(s):
Steven G. Hansen
Show Abstract
This paper describes a method for choosing 'generic' simulation parameters for photoresist and tests the utility of the approach. The method allows the parameters that best match the experimental result to be selected from a graph. Details consists of fixing certain standard parameters and systematically varying other key parameters, to generate an array of generic photoresists with a range of photospeed and resolution capabilities. For conventional resists, variation of dissolution curve details is shown to be successful. For chemically amplified system, the same approach is used as well as variation of two latent image altering parameters: amplification rate constant and quencher concentration. The utility of this method is examined by comparing these hypothetical generic photoresists to experimental data, to more complex actual dissolution curves, and to each other.
Excimer-laser-induced absorption in fused silica
Author(s):
Johannes Moll;
Paul M. Schermerhorn
Show Abstract
Excimer laser radiation changes the physical and optical properties of fused silica. These changes include compaction of the glass and induced absorption, both of which have an impact on the expected lifetime of silica lenses used in optical microlithography. We report on our ongoing study of excimer laser induced changes in fused silica. We use a fully automated experimental setup designed for marathon exposure of the sample at low fluence. In each setup, using either an ArF or a KrF laser, up to five samples are exposed simultaneously and their induced absorption is measured in situ. The spatial and temporal profiles of the laser beam can also be measured in the same setup. We present and discuss results from marathon test of fused silica at fluences close to the conditions expected in optical microlithography systems.
Studies of nitride- and oxide-based materials as absorptive shifters for embedded attenuated phase-shifting mask in 193 nm
Author(s):
Cheng-ming Lin;
Keh-wen Chang;
Ming-der Lee;
Wen-An Loong
Show Abstract
Abstract-Five materials which are PdSixOy, CrAlxOy, SiNx, TiSixNy, and TiSixOyNz as absorptive shifters for attenuated phase-shifting mask in 193 nm wavelength lithography are presented. PdSixOy films were deposited by dual e-gun evaporation. CrAlxOy, TiSixNy and TiSixOyNz films were formed by plasma sputtering and SiNx films were formed with LPCVD. All of these materials are shown to be capable of achieving 4 percent - 15 percent transmittance in 193 nm with thickness that produce a 180 degrees phase shift. Under BCl3:Cl2 equals 14:70 sccm; chamber pressure 5 mtorr and RF power 1900W, the dry etching selectivity of TiSixNy over DQN positive resist and fused silica, were found to be 2:1 and 4,8:1 respectively. An embedded layer TiSixNy with 0.5 micrometers line/space was successfully patterned.
Optimization of a mix-and-match fab: noninteger nonconcentric field overlay
Author(s):
Mark E. Notarfrancesco;
Paul T. Herrington;
Joseph Pelligrini
Show Abstract
Existing techniques have been characterized to align a given Nikon Body 7 exposure field to a larger Nikon Body 9 exposure field where the underlying Body 9 printed field area can be a non-integer multiple of the Body 7 printed field area. The enhancement of these techniques has been centered mostly upon the sampling plans of the Nikon alignment algorithms and the registration measurement sampling plans. Software provided by New Vision Systems has provided a cursory look into the performance of the technique used. This non-concentric phenomenon has been explored in several recent technical papers. Most previous works limited their analysis to integer non-concentric matching. Our study discusses a real manufacturing situation in a mature fab using the more flexible and economical technique of fractional field matching. On one particular device with not all the layers being interchangeable between Body 7 and Body 9 models, the non-concentric process decreased the number of exposure fields by 17.9 percent over the concentric process at the cost of additional overlay error. This additional error is not yet fully characterized, however can be between a 25-100 percent increase over the concentric process.
Measurement of pitch dependency of overlay errors under OAI by using an electric CD measurement technique
Author(s):
Nakgeuon Seong;
Hochul Kim;
Hanku Cho;
Joo-Tae Moon;
Sang Min Lee
Show Abstract
Pattern displacement error under off axis illumination was evaluated by using an electrical critical dimension measurement method at various pitches. Two major phenomena were observed which should be considered in order to control overlay accuracy between layers. One is the difference of pattern displacements between sub-micron device level patterns and large micron optical overlay measurements patterns, which is correctable by making correction tables between the layers. The other is pattern displacement error distribution error distribution within a field, which is not correctable and limits the usage of the field size and pattern pitches for minimal overlay control. The latter is more important and should be investigated in detail for systems with given pitch sizes before the devices are integrated.
Long-term 193-nm laser-induced degradation of fused silica and calcium fluoride
Author(s):
Vladimir Liberman;
Mordechai Rothschild;
Jan H. C. Sedlacek;
Ray S. Uttaro;
Allen Keith Bates;
Chris K. Van Peski
Show Abstract
We have completed a comprehensive evaluation of bulk materials designed for 193-nm lithographic applications. These studies are performed at realistic fluences and pulse counts in excess of 6 X 109. The outcome of the study shows that most calcium fluoride materials should meet the industry lifetime targets for use in lens applications. Some fused silica material also appears to meet lifetime expectations of the industry; however, large grade-to-grade variability in both absorption and laser-induced densification has been observed. We also report on the impact of transient absorption in fused silica on lithographic dose control.
Index of refraction and its temperature dependence of calcium fluoride near 157 nm
Author(s):
John H. Burnett;
Rajeev Gupta;
Ulf Griesmann;
Ted E. Jou
Show Abstract
We have made accurate measurement near 157 nm of the relative index of refraction, its dispersion, and its temperature dependence for two grades of calcium fluoride in N2 gas. Accurate measurements of these quantities are needed for the design of lens system for 157 nm F2 excimer-laser-based exposure tools for photolithography. These optical properties were measured with precision goniometer on prisms of the materials in a N2 atmosphere using the minimum deviation method. The dispersion was determined using line emission radiation from a deuterium lamp at several wavelengths near 157 nm. Values of the relative refractive index for two grades of calcium fluoride in N2 gas corrected to a temperature of 20 degrees C and a pressure of one standard atmosphere are well within our 7 ppm estimated uncertainty forth measurements. The temperature of the samples and the surrounding medium were controlled to 0.05 degrees C, which enabled accurate measurements of the temperature dependencies of the indices around room temperature near 157 nm.
Synergistic evolution to production-worthy 30-nm lithography
Author(s):
Daniel R. Cote;
James A. McClay;
Noreen Harned
Show Abstract
The ever-increasing demand on circuit performance necessitates rapid deployment of optical lithographic as well as early production next generation lithographic tools. Successful execution of the multitude of development programs involved requires careful consideration and implementation of system architecture with special emphasis on program synergy and modularity. This paper presents performance data and system budgeting and allocation for current generation lithographic tools, and building from that basis, discusses evolutionary approaches for critical performance areas and modules. Supporting analytical results regarding performance of these modules are also discussed.
Business dynamics of lithography at very low k1 factors
Author(s):
Sam Harrell;
Moshe E. Preil
Show Abstract
Lithography is the largest capital investment and the largest operating cost component of leading edge semiconductor fabs. In addition, it is the dominant factor in determining the performance of a semiconductor device and is important in determining the yield and thus the economics of a semiconductor circuit. To increase competitiveness and broaden adoption of circuits and the end products in which they are used, there has been and continues to be a dramatic acceleration in the industry roadmap. A critical factor in the acceleration is driving the lithographic images to smaller feature size. There has always been economic tension between the pace of change and the resultant circuit cost. The genius of the semiconductor industry has been in its ability to balance its technology with economic factors and deliver outstanding value to those using the circuits to add value to their end products. The critical question today is whether optical lithography can be successfully and economically extended to maintain and improve the economic benefits of higher complexity circuits. In this paper we will discuss some of these significant tradeoffs required to maintain optically based lithographic progress on the roadmap at acceptable cost.