Proceedings Volume 3677

Metrology, Inspection, and Process Control for Microlithography XIII

cover
Proceedings Volume 3677

Metrology, Inspection, and Process Control for Microlithography XIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 14 June 1999
Contents: 17 Sessions, 101 Papers, 0 Presentations
Conference: Microlithography '99 1999
Volume Number: 3677

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Scanning Probe Microscopy I
  • Scanning Probe Microscopy II
  • Registration and Overlay I
  • Optical Metrology: Critical Dimension Measurement
  • Registration and Overlay II
  • Process Characterization: Stepper
  • Scanning Electron Microscopy: CD SEM
  • Thin Film Metrology
  • Process Control/Optimization I
  • Process Control/Optimization II
  • Defect I
  • Defect II
  • New Technology/New Approaches to Metrology
  • Modeling: SEM
  • Modeling: Optics
  • Mask Metrology
  • Poster Session
  • New Technology/New Approaches to Metrology
Scanning Probe Microscopy I
icon_mobile_dropdown
Application of atomic force microscopy to lithography characterization and control
Mark E. Lagus, James T. Marsh
The characterization and control of high aspect ratio features have typically presented challenges to conventional in-line metrology. For example, the extent to which a top- down CD SEM is capable of detecting scumming in deep trenches and vias varies as a function of feature aspect ratio and typically must be first verified by independent means before one can rely upon it to control the lithographic process. By necessity, this verification has been done destructively upon a limited of samples. The present work uses in-line work uses in-line Atomic Force Microscopy to characterize the lithography of high aspect ratio vias. Operating a Dektak SXM320 in its DT mode, we have scanned vias in excess of 1.5 microns deep with nominal top dimensions of 300 nm, and we have nondestructively explored and characterized the lithography process window for a variety of process layer representing a range of aspect ratios from 3:1 to 5:1. Analysis of the scan traces by various algorithms will be discussed particular in the context of process control and the tool's ability to gauge the relative openness of the feature. We will also present our experiences with tip requirements and durability.
High-aspect-ratio depth determination using nondestructive AFM
V.C. Jai Prakash, Mark E. Lagus, A. Meyyappan, et al.
ULSI processing for the manufacture of devices such as DRAMs involves fabrication of several high aspect ratio structures. The determination and control of depth of these structures is crucial for device performance. We report the utilization of Atomic Force Microscopy to characterize 0.2 micrometers ground rule products. Features with 2.1 micrometers depth and 0.2 micrometers top nominal top width dimensions can be consistently measured. TO accomplish this a recently developed Deep Trench scan mode is employed and used in conjunction with new Super Angle Tapered CD and Super Angle COne tips. New analysis algorithms are developed to extract eh data in a repeatable from width decreased sensitivity to changes in tip size, top shape, and sample.
Scanning Probe Microscopy II
icon_mobile_dropdown
Dimensional metrology with the NIST calibrated atomic force microscope
Ronald G. Dixson, Rainer G.J. Koening, Vincent Wen-Chieh Tsai, et al.
AFMs are increasingly used in the semiconductor industry as tools for sub-micrometer dimensional metrology. The scale of an AFM must be calibrated in order to perform accurate measurements. We have designed and developed the calibrated AFM (C-AFM) at the NIST to calibrate standards. Specifically, our primary calibrations are expected to be of combined pitch/height, or 3D magnification standards for AFM. THe C-AFM has metrology traceable to the International System of Units meter for all three axes. This is accomplished through the integration of a flexure x-y translation stage, heterodyne laser interferometers, and a z-axis piezoelectric actuator with an integrated capacitance sensor. Our first pitch measurements for an outside customer were recently compete, in which we were able to report relative expanded uncertainties as low as 1 percent on sub- micrometer pitches. The uncertainty budget for these measurements includes the effect of sample non-uniformity, which is the dominant contribution for some of the reported uncertainties. Four samples were measured - two with grid patterns and two with grid recently made considerable improvements in our uncertainty budget for step height measurements. For example, we recently achieved 0.2 nm expanded uncertainty on a 20 nm step, and achieved 0.008 nm expanded uncertainty in the measurement of the approximately 0.3 nm single atom step on Si. We also participated in the recently competed first round of the NIST linewidth correlation project, in which linewidht measurements obtained from different methods are compared. In this paper, we will report on the current status of the C-AFM, and on our plans for further development.
Quantitative line edge roughness characterization for sub-0.25-um DUV lithography
As gate dimensions continue to shrink, improving CD control is a major challenge for sub-0.25 micron DUV lithography. One concern is line edge roughness which takes the form of both high and low frequency effects. In this paper, high frequency line edge roughness refers to high frequency small amplitude CD variations noted along the edge of a wet developed resist feature. Low frequency line edge roughness (LFLER) refers to the higher amplitude waviness observed along the edge of developed features. BOth these roughness parameters could lead to significant variations in device characteristics. Several factor such as the resist formation, quality of the aerial image and process conditions have in the past been attributed as possible sources of roughness. In this study, a quantitative characterization of wet developed feature roughness was conducted and attempts were made to determine the sources of its origin, along with the impact of plasma etch. High and low frequency LER was characterized using a Dektak SXM atomic force microscope and a Hitachi 7800 scanning electron microscope. Nominal 0.20, 0.18, and 0.16 micrometers isolated lines were studied following photolithography and the gate etch. Additional variables in this study included substrate type, resists composition, develop time, focus and the impact of aerial image.
Evaluation of atomic force microscopy: comparison with electrical CD metrology and low-voltage scanning electron microscopy
With the increasing move towards measurement of smaller and smaller dimensions, the reliability of existing metrology approaches is begin called into question. The most widely used approach for CD measurement in a fabrication environment is the use of Low Voltage Scanning Electron Microscopy. SEMs are routinely used in industry for top-down measurements of lines, spaces, and contacts in the production line. The destructive approach of cross section SEMs is used for trouble shooting and analysis. Electrical CD measurements are also routinely used to measure the CDs of conducting layers in the production environment. However, electrical CD metrology is not appropriate for the majority of surfaces that are non-conductive, such as those with photoresist. It has been speculated for a while n ow that the AFM can provide a viable alternative by overcoming all the drawbacks of the other metrology techniques. This paper address this issue and discusses the relative merits of the AFM as compared to the others. The measurement bias between the three techniques on isolated line features ranging from 0.1 to 0.3 microns is compared. The ability of the AFM to measure profiles is discussed.
Metrology methods for quantifying edge roughness: II
Carla M. Nelson-Thomas, Susan C. Palmateer, Anthony R. Forte, et al.
Advanced scanning electron and atomic force microscopy technique have been developed to quantify line-edge and sidewall roughness in patterned resist and silicon feature with nanometer scale accuracy. Both techniques are able to follow small changes in the line-edge roughness. The measurement repeatability of the scanning electron and atomic force microscope was characterized and is 0.1 and 0.6 nm, respectively. Any roughness measured in the single layer resist mask transfers to the underlying silicon throughout a range of pattern transfer conditions. Within the measurement precision, silicon pattern transfer does not appear to decrease or increase the sidewall or line-edge roughness. An attempt to quantify the edge-roughness spatial frequency is discussed. The scanning electron microscope is still recommended over the atomic force microscope for line-edge roughness measurements based on sample throughput.
Registration and Overlay I
icon_mobile_dropdown
Measuring fab overlay programs
Richard J. Martin, Xuemei Chen, Itzik Goldberger
This paper presents a methodology for measuring and improving the effectiveness of stepper overlay management on product wafers in the semiconductor industry. The research that supports this measurement approach encompasses over 12 fabs with over 30 technologies. Overlay performance, stepper deployment, stepper productivity and die yield loss due to overlay error were studied. To provide an objective measurement of a fab overlay methodology and performance, measurements were made of the overall overlay design rule compliance and distribution and of the overlay variance and distribution by stepper field location. Modeled data analysis was used to assess and validate the effectiveness of the stepper control methodology, sampling level and field/target locations. Balancing stepper productivity and overlay results is a problem in most fabs. An overlay 'opportunity box' is defined that allows a fab to explore overlay error ranges, lost stepper productivity, and product overlay design rule requirement by stepper deployment. Stepper deployment decision tend to be digital - 'engineering' or 'manufacturing' - quantification of die yield loss as a function of overlay error is usually required to make deployment changes. Several examples of die yield loss, as a function of overlay error and distribution, are presented. A brief introduction of the yield analysis technique used is provided.
Supersparse overlay sampling plans: an evaluation of methods and algorithms for optimizing overlay quality control and metrology tool throughput
Joseph C. Pellegrini, Ziad R. Hatab, Jeffrey M. Bush, et al.
Traditionally, overlay metrology has ben primarily used for quality control of developed wafers. The secondary roles of overlay metrology, stepper modeling and feedback control, have become more prominent in recent years. In particular, the design of overlay sampling plans is usually strongly influenced by the requirements imposed by stepper modeling. Previous research has shown that intrafield sampling plans must be symmetric and repeating in order to support stable feedback control. These constraints impact the tradeoff between overlay tool throughput and quality control by imposing a higher than necessary cost on measuring additional exposure fields for irregular errors. In this paper we examine the concept of using super sparse overlays among plans along with innovative analysis methods and algorithms for stepper modeling. Super sparse sampling plans are defined as where the field by field intrafield sampling is irregular and asymmetric. When compared to typical sampling plans, super sparse plans allow the overlay tool to examine a 2 to 4 times the number of fields on a wafer with the same number of total measurements. In order to support super sparse sampling, it is necessary to employ innovative algorithms, which are capable of performing stepper modeling without losing result integrity. In this paper we report on the relative performance of regular versus super spares sampling plans for quality control, stepper modeling and overlay tool throughput.
Characterizing lens distortion to overlay accuracy by using fine measurement pattern
Ronfu Chu, Chungwei Hsu, Tsu-wen Hwang
Previous work has shown that the lens distortion will contribute significantly to overlay error. Since the resolution has gone below 0.18 micrometers with 70 nm overlay tolerance, the lens aberration plays a more important role in the tolerance budget. Originally, the line size of overlay measurement target is about 3 micrometers , which is much larger than the circuit dimensions. Because the smaller pattern is more sensitive to lens distortion, an overlay difference may exist between real devices and the measurement target. In this study, we compared the overlay measurement result of fine pattern and traditional box in box target. With strong lens distortion effect, a fine measurement target may result in an overlay value which is close to the image shift of real circuit. Several types of target design were examined using overlay measuring signal, and the COMA effect was detected by the method of line with measurement. Experiments showed that lens aberration might cause over 15 nm overlay displacement. Because the lens distortion is a random error, software should subtract it while analyzing measurement data. Finally, the difficulties is using a fine target were also discussed and possible solutions were derived to increase the measurement accuracy.
Focus and edge detection algorithms and their relevance to the development of an optical overlay calibration standard
Stephen H. Fox, Richard M. Silver, Edward Kornegay, et al.
We present results of investigations into optical focus and edge detection algorithms relevant to overlay metrology. We compare gradient energy, standard deviation, contrast and summed intensity of acquired images as focus metrics for bright-field, scanning confocal, and confocal microscopy. For our purposes, gradient energy calculated via Sobel filtering was found to be the best criterion for an autofocus algorithm. We predict, based on theoretical results, that all of the focus algorithm we considered will focus in different heights relative to the object depending on the material properties of the object. Edge detection is accomplished via a window and spline technique for whole image data, and by application of a multiple line regression algorithm for single scan data. Measurements accomplished through these techniques are compared to state of the art scattering and analysis models.
Alignment and overlay metrology issues for copper/low-K dual-damascene interconnect
Kafai Lai, Chris Nelson, Mark R. Breen, et al.
The alignment and overlay metrology issues for various damascene process architectures were studied and optimized. The Buried Hard Mask, Via First and Trench First approaches are studied comparatively for the Via to Metal1 and Metal2 to Via alignments. Alignment capability was studied by the alignment signal strength and alignment repeatability. Overlay metrology capability was studied by the overlay target appearance, static repeatability, target correlation and Tool Induced Shift. Final overlay measurement and long term overlay stability were used as a mean to verify the result. A Design of Experiment was done with splits in the hard mask material/thickness and the degree of copper CMP. It was found that for Metal2 alignment to Via, the Buried Hard Mask approach possess a showstopper unless one align Metal2 to Metal1 instead. The effect of CMP to the alignment to Metal1 level seems to have less trouble than the Tungsten CMP counterpart except the overlay target acquisition might be difficult for underpolish case. The choice of which damascene approach to take depends also on the trade off between overlay and CD control and other process performance and should be customized by individual's requirement.
Algorithm implementation and techniques for providing more-reliable overlay measurements and better tracking of the shallow-trench isolation (STI) process
Doug Schramm, Dale Bowles, Martin E. Mastovich, et al.
This paper presents the result of a new algorithm designed to improve the success rate, precision and accuracy of the measurements for low contrast targets produced by STI. The paper will also review the algorithm and discuss the result of target design optimization. Results will be provided from multiple lots with multiple wafer analysis demonstrating the effectiveness of the algorithm. Measurement yields improve from the 35 percent-50 percent success rate using current algorithms to 99 percent-100 percent success rate using the new algorithm. Precision was improved from 10nm to 3nm, and as low as 1.2 nanometers 3(sigma) . The true success of the algorithm is not just the improved measurement success, precision and accuracy; but it is in the verification that the edges are detected and measured accurately. Many current algorithms are giving estimates.
Two-dimensional calibration artifact and measurement methodology
Richard M. Silver, Theodore D. Doiron, William B. Penzes, et al.
In this paper we describe our design and the manufacturing of a 2D grid artifact of chrome on quartz on a 150 mm X 150 mm X 6.35 mm plate. The design has been agreed upon by a number of Semiconductor Equipment Manufacturers International participants working on a 2D grids calibration task force within the microlithography committee of SEMI. We present the measurement procedures and describe the algorithms used in the measurement process. We have procured a prototype artifact which is expected to be developed into a National Institute of Standards and Technology (NIST) distributed standard reference material once the final design has been agree upon. We will present measurements made at leading industrial sites and develop a traceability chain based on these measurements in combination with NIST based measurements. The artifact has been measured on the NIST linescale interferometer as well as other NIST metrology tools. We will also present the status of the comparisons between these measurements and those performed by the industrial collaborators.
Optical Metrology: Critical Dimension Measurement
icon_mobile_dropdown
Distinguishing dose from defocus for in-line lithography control
Christopher P. Ausschnitt
Manufacturing control of a lithographic process must guarantee that the pattern features on a masking level stay within a common process window, the focus-exposure space over which all pattern tolerances are met. To do so at focus latitudes below 1 micrometers , simultaneous determination and correction of dose and defocus error is required. In-line metrology practice has been to measure a single pattern attribute, usually the dimension of the smallest feature, at each of several locations on a wafer. Since the measurement of one pattern attribute, regardless of its accuracy or precision, cannot distinguish two variables - this approach is inherently inadequate for lithography control. We demonstrate how dose and defocus can be derived from the attributes of dual-tone, optically measurable targets on product wafers. Our method is applied to the in-line control of sub-0.25 micrometers step-and-scan lithography.
Scatterometry for post-etch polysilicon gate metrology
Christopher C. Baum, Robert A. Soper, Stephen W. Farrer, et al.
The use of scatterometry as a rapid and non-destructive technique for the characterization of grating structures has received significant attention in recent years. The problems of mask alignment, overlay, latent image monitoring, and others have been investigate using scatterometry. Research is currently underway at TI which extends the state of the art by using scatterometry for the measurement of very small dimension gate-level polysilicon gratings on a thin oxide under-layer. The measurements were taken after etch and cleanup on typical process development test wafers used at TI and were acquired using a Bio-Rad CDS-2 scatterometer. A variety of gratin pitches and grating line widths were measured and compared to duplicate measurements obtained from top-down SEM. A total of 2,975 non-repeated measurements were collected on each metrology tool. The large range of line width result in overlapping measurements for each pitch, so linearity with pitch can be evaluated. The results from the scatterometer are in excellent agreement with the SEM in nearly all cases. For certain line widths, regardless of the grating pitch, a discrepancy can be seen between the measurement for the scatterometer and the SEM. The reason for this is currently under investigation. If side wall angle is treat as a fixed parameter, the discrepancies are removed. Example measurements and corresponding theoretical traces will ge shown for process development samples measured at TI. Some result from an extended gauge study will also be shown to provide estimates of the precision of the scatterometric measurements.
Specular spectroscopic scatterometry in DUV lithography
Xinhui Niu, Nickhil H. Jakatdar, Junwei Bao, et al.
Scatterometry is a one of the few types of metrology that has true in-situ potential for deep submicron CD and profile analysis. To date, commercial prototypes have been used to establish scatterometry based on single wavelength, multiple incident angle inspection. We extend this idea by deploying specular spectroscopic scatterometry (SSS). Conventional scatterometry is designed to measure either many diffraction orders or variable incident/collection angle at a single wavelength. Specular spectroscopic scatterometry is designed to measure the 0th order diffraction responses at a fixed angle of incidence. Specular spectroscopic scatterometry can make direct use of the existing spectroscopic ellipsometry equipment. We show that SSS provides an accurate, inexpensive, and non-destructive CD metrology solution.
Instrumentation of a deep-UV microscope resolving less than 0.15 um
Katsumi Ogino, Jiro Mizuno, Atushi Takeuchi, et al.
Remarkable improvement in resolution when observing critical dimensions in semiconductor inspection by using a newly- developed deep-UV optical microscope is presented. At present, while scanning electron microscope (SEM) is the only imaging tool for less than 0.20-micron geometry, improvement in resolution of optical microscope has strongly been desired because of its easier operations and less damage including feature. Simulation of resolution to be achieve indicated that deep-UV wavelength only clearly resolve less than 0.15-micron geometry and images acquired at 266nm in the following experiment well agreed with the simulation. An objective lens especially for deep-UV, laser light source, illumination and imaging optics, and image detection device were built on a conventional microscope stand. This high-resolution microscope may extend the field of optical inspection and even open up new inspection applications.
Sensitivity analysis of fitting for scatterometry
The sensitivity analysis of fitting (SAF) is a formalism that determines the type of measurements that yields optimum determination precision. SAF is applied to ellipsometric- scatterometry of surface relief gratings and for the optimum measurement configuration predicts a significant improvement compared to the conventional scatterometry measurement configurations. The SF predictions for precision are compared to actual values obtained experimentally, and a qualitative agreement is obtained. The discrepancies between theory and experiment are likely due to inaccurate modeling of the grating.
Automatic in-situ focus monitor using line-shortening effect
Young-Chang Kim, Gisung Yeo, Jae-Han Lee, et al.
A new focus monitoring method, AIFM has been developed. Specially designed box-in-box was drawn on conventional Cr binary mask and investigate. The box-in-box were drawn to enlarge the line end shortening effect in this new method. There is a lateral shift between inner and outer box in printed feature, and it can be measured quickly at a number of locations across the field and across the wafer with a common overlay measurement system. AIFM provides a means of evaluating focus effects such as field curvature, astigmatism, and field tilt like other focus measurement method. This method has another advantage of in-situ process condition monitoring by drawing focus monitor patterns in real product masks. Experiments were performed to evaluate the effects of pattern geometry and exposure dose on the sensitivity of the focus monitor. AIFM data shows good correlation with conventional focus measurement using SEM line width measurement.
Understanding optical end of line metrology
Optical end of line metrology, OELM, is a new method to measure relative line shortening effects using conventional optical overlay instruments. In this technique, a frame which has two adjacent sides that are constructed of lines and spaces is imaged onto a wafer. Since sub 0.5 micrometers gratings cannot be resolved using conventional optics,the alignment tool sees the sides compared of lines and spaces as solid edges. The purpose of this paper is to characterize errors implicit with this approach. First we show a general error analysis for determining best focus using OELM measurements. From this, we introduce the concept of local image quality as the inverse of the minimum lien shortening, and curvature of line shortening with focus.
Registration and Overlay II
icon_mobile_dropdown
New approach to correlating overlay and yield
Moshe E. Preil, John S. McCormack
Integrated circuit design rules are defined with a given overlay tolerance, but the exact correlation between measured overlay on product wafers and die yield is notoriously difficult to quantify. Interest in better quantifying this relationship is not merely academic. The ability to shrink the overlay design rule by even a few nanometers would allow more good die to be printed on every product wafer, providing a substantial economic benefit. Conversely, if the actual distribution of overlay errors across a wafer is slightly worse than anticipated in the design rules, the resulting shortfall in yield would be difficult to identify and correct.
Characterization and optimization of overlay target design for shallow-trench isolation (STI) process
Stephen Hsu, Jason K. Saw, Daniel R. Busath
This paper reports a systematic approach for characterizing and optimizing overlay target design to minimize the overlay target noise for shallow trench isolation (STI) process. Sixteen overlay targets were designed and evaluated to determine which target result in the most accurate and reproducible overlay measurements for STI process. The experiment conducted consists of two phase: the first phase is target screening for evaluating sixteen different target designs on STI laser. Each overlay target design is characterized by quantifying the mean TIS, TIS variability, target step height variance, dynamic precision , overlay measurement distribution, kernels signal and modeled residuals. Also, four one-layer inner and outer calibration targets were placed next to the sixteen targets to evaluate the CMP distortion effect on the overlay targets. In the second, phase, short and long-term gauge studies were performed. Stepper offset and correction were tested to verify the accuracy of overlay response. A design of experiment was completed to check robustness of overlay target to process variation in nitride thickness and CMP polishing time with and without the stepper alignment mark clear out process. Overlay measurement accuracy is correlated with SEM cross section to complete the evaluation.
Comparison of optical, SEM, and AFM overlay measurement
V.C. Jai Prakash, Christopher J. Gould
Shrinking device geometry places increasing demands on alignment between successive processing levels. In addition to precise measurement of the overlay, accuracy with respect to the product has to be ascertained. In this study we attempt to address the accuracy of overlay measurement in 175 nm ground rule DRAM products. Optical overlay is a precise technique, however, process induced degradation of mark integrity increases the measurement uncertainty. AFM and SEM techniques are explored as alternative techniques for overlay measurement uncertainty. AFM and SEM techniques are explored as an alternative technique for overlay measurement. Comparison of box-in-box and product measurements by Optical, AFM and SEM is presented. Estimation of lens induced aberrations show that their order of magnitude becomes comparable to the total overlay budget as the resolution limits of optical lithography are reached. Lens induced aberrations manifests in the form of across field overlay variation (AFOV) and the measurement of AFOV via SEM and AFM established that the estimation of overlay on BIB structures by optical methods is insufficient in characterizing the product within the exposure field.
Characterization of overlay tolerance requirements for via to metal alignment
John A. Allgair, Mike Schippers, Brad Smith, et al.
Decreasing metal interconnect dimensions have led to tighter overlay tolerance requirements to ensure via to metal contact. These strict requirements often test the alignment capability of a manufacturing line; therefore, careful characterization is required to justify the overlay specification limits. A variety of technique can be combined for overlay process characterization including electrical resistance measurements, optical overlay measurements, CD SEM via misalignments and x-section yield. Available characterization techniques are then used to fully study the process window. Characterization data will be presented for a copper interconnect process.
Process Characterization: Stepper
icon_mobile_dropdown
Wafer mapping for stepper effects characterization
Yuan Zhang, Ronald A. Carpio, Lucian Wagner, et al.
A simple technique is introduced to compare wafer flatness measured on a non-contact capacitative flatness gauge with flatness reported by a photolithography stepper. Because the capacitive gauge measures a wafer in a mechanically free state, the differences between the two types of wafer data maps represent the sets induced by the stepper. For wafers with large Total Thickness Variations (TTV), the effective stepper flatness comes close to the true metrology flatness. However, on ultra-flat wafers with very low TTVs, the stepper signature becomes more visible, which distorts the true flatness reading. Irregular wafer shape may also affect the flatness reading on the stepper due to imperfection of vacuum chucking.
Quantification of wafer printability improvements with scanning steppers using new flatness metrics
Yiorgos Kostoulas, Sahra Berman Tanikawa, David Kallus, et al.
The prevailing models for wafer flatness provide simulation of contact lithography via global flatness parameters such as GBIR, GFLD and GFLR and step-and-repeat lithography. Steppers are modeled as either non-leveling, global leveling or site-by-site leveling. As device critical dimensions shrink and lithographic depth of field is tightened, optical lithography steppers move to new exposure methods. It is imperative, therefore the wafer geometry characterization follows suit in modeling the operation of the new generation steppers. In this work we examine the capabilities of four sets of 200 mm wafers - each from a different manufacturing process - to satisfy the emerging needs of the 180, 150 and 130 nm features. We use both full-site and scanning stepper metrics and our results show increased yield for scanner vs. full site exposure for the same flatness limit. In addition, we show that for full-site exposure, yield is reduced with increasing field length.
Effect of the control of global planarity of intermetal dielectric layers on the lithographic process window
Shani Keysar, Leah Markowitz, Corin Ben-Gigi, et al.
The sensitivity of lithographic process window to global planarity of the inter metal dielectric layers is established in this work. The inter metal dielectric layers, between the metal layers, were prepared by utilizing the H2O2/SiH4 chemistry known as the 'Advanced Planarity Layer (APL)'. Four degrees of global planarity were tested within the APL process window, utilizing different H2O2 stabilization pressures. SEM cross sections were used to determine the degree of planarity in the CMOS product and at lithographic test structures. The lithographic process window and the effect of the stepper leveling system were defined for typical high and low topographies. The results how a strong link between the lithographic process window to degree of global planarity of the APL. Good global planarity enlarged depth of focus and energy latitude, allowing a wider lithographic process window. Also, in cases of improved APL planarity, the stepper leveling system had only a limited contribution to a lithographic process window. This control over the global planarity of the inter metal dielectric layers and the wide lithographic process window that results eliminate the need for CMP at 0.5 (mu) technology.
Scanning Electron Microscopy: CD SEM
icon_mobile_dropdown
CD-SEM precision: improved procedure and analysis
Mina Menaker
Accurate precision assessment becomes increasingly important as we proceed along the SIA road map, in to more advanced processes and smaller critical dimensions. Accurate precision is necessary in order to determine the P/T ratio which is used to decide whether a specific CD-SEM is valid for controlling a specific process. The customer's needs, as been presented by the SEMATECH Advanced Metrology Advisory Group, are to receive a detailed precision report, in the form of a full repeatability and reproducibility (RR) analysis. The 3 sigma single tool RR, of an in-line SEM, are determined in the same operational modes as used in production, and should include the effects of time and process variants on the SEM performance. We hereby present an RR procedure by a modulate approach which enables the user extending the evaluation according to her/his needs. It includes direct assessment of repeatability, reproducibility and stability analysis. It also allows for a study of wafer non homogeneity, induced process variation and a measured feature type effect on precision. The procedure is based on the standard ISO RR procedure, and includes a modification for a correct compensation for bias, or so called measurement turned. A close examination of the repeatability and reproducibility variations, provides insight to the possible sources of those variations, such as S/N ratio, SEM autofocus mechanism, automation etc. For example, poor wafer alignment might not effect the repeatability, but severally reduce reproducibility. Therefore the analysis is a key to better understanding and improving of CD-SEM performance, on production layers. The procedure is fully implemented on an automated CD-SEM, providing on line precision assessment. RR < 1 nm has been demonstrated on well defined resist and etched structures. Examples of the automatic analysis results, using the new procedure are presented.
Multiple CD-SEM matching for 0.18-um lines/spaces at different exposure conditions
Andre Engelen, Ingrid Minnaert-Janssen
CD-SEMs are used within ASML for evaluating the imaging performance of Stepper and Step and Scan systems. This implies measuring a large number of focus exposure matrices and inter/intra-field CD measurements on different CD-SEMs. Therefore the CD-SEMs in ASML are matched through focus. The matching procedure is done on three steps. First, all CD- SEMs are checked for stability. Then the magnification factor for each of the individual CD-SEMs is checked in order to make sure that he tool is set up correctly. Finally, the CD measurements are matched through focus and multiple exposure energies. In this paper, we will show that the CD-SEMs of different vendors can be matched using photoresist features, through focus within 5 nm for 0.18 micrometers features. This matching includes different orientations and densities using only one correction offset.
Characteristics of accuracy for CD metrology
The evaluation of a critical dimension (CD) metrology tool foes beyond determining precision. A year ago at this conference, a paper was presented which described the efforts of the SEMATECH metrology group to describe the characteristics of a CD metrology SEM. In that referenced paper there was a description of accuracy, or characteristics of accuracy, that needed evaluation as well. In this paper these characteristics are further developed. Tool evaluation for accuracy requires well characterized artifacts. Constructing these share many of the same hurdles as constructing true standards. Evaluation artifacts must have properties that vary similarly to the products to be measured in the manufacturing line. They also must be characterized by a reference measurement system (RMS) sufficiently well to make the result of an evaluation reflect upon the tool under investigation and not the RMS. This paper details the construction of such an artifact using SEM measurements of cross sections as part of the RMS and the use of this artifact in the evaluation of several CD SEMs. Application of this project to SEMATECH evaluation artifacts currently under construction is also discussed.
Contact hole characterization by SEM waveform analysis
Douglas G. Sutherland, Andrei Veldman, Zoe A. Osborne
A new algorithm for an Applied Materials CD-SEM metrology tool has been developed which gives a quantitative characterization of the quality of contact holes in photoresist. This is a non-destructive technique that allows users to assess the cross sectional profile of a contact hole from top-down measurements thus avoiding the time- consuming and expensive task of measuring cross sections. This analysis is based on the shape of the SEM waveform that is created when a contact hole is measured. The algorithm generates a numerical grade for the contact profile, which is based on the shape of the waveform. The classification of the contact hole into different Profile Grades can then be determine due to the strong correlation between the shape of the waveform and the cross-section profile of the contact hole. The Profile Grades have been found to be in excellent agreement with SEM cross-sections. When this technique is applied to contact holes across a focus-exposure matrix wafer, the algorithm gives grades which clearly delimit the domain in which the process parameters produce open, sharp- edged contacts. In many cases it was found that the CD measurement alone was insufficient to determine if a given contact hole was actually open. The combination of the CD measurements with the profile grades is a particularly powerful tool to determine ideal process parameters for lithography, and determination of the profile grades becomes essential as tool-sets are pushed toward the limits of their capability. The following paper contrast on 250 nm contact holes but presents data on contact holes ranging in size from 200 nm to 500 nm.
CD-SEM edge width applications and analysis
As groundrules shrink, the need for improved metrology and characterization is essential in maintaining process control. Keeping the process in control relies heavily on the CD SEM providing consistent high quality measurements. Typically the bottom CD is extracted from the signal acquired and this result is then used to determine litho quality for lot dispositioning and stepper feedback. It is also used for determining etch quality in terms of uniformity and bias. But does the bottom CD provide enough information to make intelligent processed decisions. The answer is, in many cases, no. The bottom CD, used in most every fab for determining process latitudes, does not carry with it any information about the profile. It will be shown how a process or tool might be considered 'in control' when in fact there are problems. The key concept is being able to extract more information from the SEM. This has been suggested previously and must be further incorporated in to CD SEM technology in the future. By using edge width measurements in addition to bottom CD measurements, it will be shown that there is more to CD metrology than bottom CDs. Data is presented on how edge width measurements improved the yield in one of our process lines. Combining the additional edge width information derived from the CD SEM signal with the traditional bottom CD will prove to be a valuable addition to the metrology sector, better enabling the sector to provide more accurate and detailed feedback in its role to improve tools and control processes.
Thin Film Metrology
icon_mobile_dropdown
Production metrology and control of color filter array photolithography for CMOS imagers
Arnold W. Yanof, A. Daou, James P. Annand, et al.
The color filter array (CFA) for an image-producing semiconductor device is composed of patterned red-, and green- and blue-colored photoresist structures. CFA photolithography is rather different from that of most semiconductor process levels.
Process Control/Optimization I
icon_mobile_dropdown
Ultrasonic monitoring of photoresist processing
Susan L. Morton, F. Levent Degertekin, Butrus T. Khuri-Yakub
A high frequency ultrasonic technique has been developed to monitor photoresist processing in situ during semiconductor manufacturing. Photoresist pre-exposure bake and development have been monitored using the sensor, and the post-exposure bake has been studies as well. The in-situ glass transition temperature (Tg) was determined during the prebake for I-line films down to 0.6micrometers as well as for chemically- amplified DUV resist of similar thicknesses. Using classical reflection theory, photoresist properties such as the density, thickness and acoustic velocity were determined during processing. This in situ parameter inversion method can be used to determine process endpoint if the optimal density, velocity, and thickness are predetermined. The Tg for post-exposure bake of I-line resists is expected to be the Tg of the novolac resin alone, without solvent present. Measurements using the described sensor have confirmed that the resin Tg during postbake is 118 degrees C, the value of Tg provided by Shipley. This provides a measurement of postbake as well as a confirmation that the sensor is measuring Tg accurately. The development process was also monitored using this sensor. Results prove the usefulness of this sensor for in situ measurements of resist thickness changes during development. This was verified for different exposure doses and for resist coated on a wafer with circuit topography.
Real-time methodologies for monitoring airborne molecular contamination in modern DUV photolithography facilities
Oleg P. Kishkovich, Devon A. Kinkead, John K. Higley, et al.
In this paper, the authors discuss the critical airborne molecular contaminants found in DUV photolithography facilities. They present an overview of real-time monitoring methodologies that can detect and measure these contaminants at low concentrations, enabling users to anticipate and resolve AMC challenges before production problems can result. Citing actual examples of how real-time monitoring is being employe din production fabs, the authors examine single point investigate and multi-point process monitoring strategies, compare the different monitoring strategies, compare the different monitoring technologies now is use, and describe critical points to monitor in tracks, steppers, clean rooms, and air filtration systems. As a separate item, the authors describe an innovative, practical approach to quantifying resist environment sensitivity. It is anticipated this work will result in a standard methodology that can be used at individual process sites to determine the resist sensitivity to airborne molecular contamination under local operating conditions.
Algorithm for controlling objective lens temperature
Weiming Chen, Chao He, Yeyi Liu
An algorithm for controlling DSW objective lens temperature is discussed in this paper. By applying a fuzzy control algorithm on the basis of PID control algorithm, we specify different P, I, and D values according to different ranges of temperature and different temperature tendencies. As a result, we gain high precision of temperature control.
Improved wafer stepper alignment performance using an enhanced phase grating alignment system
Jaap H. M. Neijzen, Robert D. Morton, Peter Dirksen, et al.
Processes such as chemical mechanical polishing and spin coating can result in the asymmetric deformation of alignment marks. In this paper, the effects of such asymmetric mark deformations on the accuracy of the stepper alignment system are investigate. An advanced phase grating alignment system is presented which is more robust against the above mentioned process-induced alignment deviations. The potential of the new alignment system will be illustrated with result of both numerical simulations and experimental measurements. Various process modules that are known to cause mark deformations have been investigated.
CD error budget analysis for 0.18-um inlaid trench lithography
Lithographic patterning of small inlaid trenches is becoming increasingly important for leading edge semiconductor manufacturing. Correct patterning of these small inlaid features is made difficult by inherent difficulties in darkfield pattern imaging, large substrate reflectivity variations, large sensitivity to reticle CD variations and stringent requirements for the photoresist etch mask. Additionally, successful process optimization requires accurate knowledge of the lithographic errors affecting CD control. We present her a CD control error budget analysis for 0.18 um inlaid trench lithography using 0.6 NA 248 nm exposure tools and high resolution chemically amplified resist. Experiment and tuned simulation are used to translate tool, process and reticle variations into wafer CD variations. Results of across-field and across-wafer error analysis for different process choices are presented as are experimental verifications of their accuracy. Conclusions presented include the significant impact of stepper best- focus determination for across-field CD variation and the considerable overall CD control improvements observed with thin photoresist and strong phase shift processes.
Process Control/Optimization II
icon_mobile_dropdown
Lithography performance indicator (LPI) and a new lumped parameter to derive resist images from aerial images
The imaging performance of an optical imaging system is difficult to quantify, even more so, to compare between different situations or systems. Though there exists physical parameters such as the contrast of the aerial image, the log slope of image intensity, the aberration coefficients of the imaging lens, the condenser apertures, the resist dissolution contrast, diffusion constant, resist processing parameters, and many others to define an imaging systems, there are too many of them. It is desirable to have a single figure of merit to compare the performance implication of these parameters, to monitor and document the performance of a manufacturing line. This paper presents the linewidth-derived (gamma) (LWD-(gamma) ) and LWD-(eta) as single-figure lithography performance indicators. In addition to the need to quantify lithography performance universally, there is also a need to quickly convert a given aerial image to its resist image. We introduce LWD-(gamma) to replace the conventional resist-development-based-(gamma) as a better parameter for use in the lumped parameter equations. We also introduce LWD-(beta) to replace LWD-(gamma) in low k1 situations.
Data analysis for photolithography
This paper will propose standard methodologies for analyzing common lithographic data in three areas: photoresist contrast curves, swing curves, and focus-exposure matrices. For most data types, physics-based algebraic equations will be proposed to fit the data. The coefficients of these equation will offer physical insight into the meaning and nature of the data. The equations will be fit to the data using standard non-linear least-squares fitting algorithms with standard statistical test for removing data flyers and options for weighting the data. Analysis of the resulting curve fits will provide important information about the data. For the case of contrast curve data, the curve fits will yield resist contrast and dose-to-clear results. For swing curves, the swing ratio, period and the positions of the minimums and maximums will be provided. For focus- exposure data, process windows will be generated based on resist profile specifications. These process windows will then be analyzed by fitting rectangles or ellipses inside the window and determining the resulting exposure latitude/depth of focus trade-of. By specifying the desired exposure latitude, for example, the depth of focus and the best focus and best exposure to yield this maximum depth of focus will be calculated. Multiple process window overlaps can also be analyzed.
Application of model-based lithographic process control for cost-effective IC manufacturing at 0.13 um and beyond
Kevin M. Monahan, Patrick J. Lord, Clive Hayzelden, et al.
The 0.13 micrometers semiconductor manufacturing generation, shipping as early as 2001, will have transistor gate structures as small as 100 nm, creating a demand for sub- 10nm gate linewidth control. Linewidth variation consists of cross-chip, cross-wafer, cross-lot, and run-to-run components, so we can expect the individual component requirements to be sub-5nm. For model-based, run-to-run control systems to achieve this level of performance, stabilization of lithographic focus will be critical. In this work we show promising results based upon a novel phase-shift focus monitor, optical overlay metrology, and robust analysis software. Extensions of this work explore spatial dependencies across the lithographic field due to reticle error and across the wafer due to wafer nanotopography. Both sources of variation can cause collapse of the focus-exposure process window near the limits of lithographic resolution, particularly for gate structures in high-performance microprocessors. Our work supports the contention that photolithography-induced defects may become the primary source of yield loss for the 0.13 micrometers generation and beyond.
Parameter extraction framework for DUV lithography simulation
Nickhil H. Jakatdar, Junwei Bao, Costas J. Spanos, et al.
As the semiconductor industry moves into the deep submicron range, the costs associated with wafer processing are increasing rapidly. This calls for improved simulation capabilities that provide information for meaningful 'what if' analysis. This work proposes a common methodology for extracting information from FTIR, dissolution rate monitor and ellipsometry measurements, to be ultimately used for the calibration of commercial lithography simulation tools. Using global optimization techniques, this approach uses cross-section CD data available in fabs to tune the simulation engine, thus giving it the predictive capabilities that could potentially improve yield ramp rates and hence reduce development costs. Results of this framework for a commercial Shipley resist are presented.
Antireflective coating optimization techniques for sub-0.2-um geometries
Kevin D. Lucas, C. Cook, K. Lee, et al.
As 248 nm DUV lithography is pushed to the 0.18 micrometers generation with logic features 0.14 micrometers and below, process control requirements become severe. Previously acceptable exposure latitude variations due to substrate reflectivity have become unacceptable. Additionally, next generation 248 nm steppers with extremely narrow band laser illumination cause significant increase in substrate interference effects. These factors create stringent requirements for anti-reflective coating (ARC) optimization. We present result of experimental work to fine tune inorganic ARC thickness and optical properties for subtractive and inlaid feature types at the 0.18 micrometers generation. This work focuses on cost and time effective single wafer ARC optimization methods for extension to 300 nm wafer sizes. The methods include reflectometry, spectroscopic ellipsometry, generation of test wafers with large film thickness uniformity and calibrated simulation.
Factors that determine the optimum reduction factor for wafer steppers
The optimum reduction factor for stepper lenses is determined by trade-offs among several competing constraints and practical limitations. Lens reduction factor were chosen initially on the basis of several factors, including maximum lens element size, usable reticle field, stepper throughput and reticle glass size. These considerations led to the choice of 5x for the reduction factor initially and 4x for the most recent generation of step-and-scan systems. A large reduction factor is beneficial because it reduces the negative impacts of reticle linewidth variations, reticle registration errors, and reticle defects. This is particularly important for optical lithography processes that operate near the diffraction limit, where the mask error factor can be large. For this reason, as well travel down the roadmap, the 4x reduction factor for critical stepper lenses needs to be reconsidered. Before a decision is made, all consequences of a large reduction factor must be taken in to account. For fixed field sizes, reduction factors have been limited to 4x in order to achieve compatibility between 26 mm X 33 mm field sizes and 6 inch reticles, and the assumption of large die size. The reduction factor of 4x can be reconsidered if prior predictions of large die size are not realized of capability for making 230 mm reticles becomes available. The economics of 230 mm reticles changes favorably when the reduction factor is increased. Large reduction factors have relatively neutral effects on lens cost, but will make fast scanning more difficult. A proposal for a possible new optimum reduction is given from the analysis of these critical factors.
Defect I
icon_mobile_dropdown
Productive application of voltage contrast for detection of optically undetectable defects
Christopher G. Talbot, Richard Barnard, John Jamieson, et al.
Open contacts and vias, gate oxide shorts, metal stingers, approximately 0.1 micrometers pattern defects and small particles are widely reported to be optically undetectable using conventional bright-field optical imaging-based inspection system. These critical yield limiting defects often represent > 50 percent of the defect distribution on advanced submicron processes. Many of these defects are optically undetectable because they lie beneath the device surface. Even advanced, high resolution UV inspection tools cannot detect them, primarily because of limited depth of focus. High aspect ratio copper dual damascene structures and opaque low k dielectrics are expected to exacerbate the problem. E-beam-based inspection system have been available for some time but have not so far received widespread adoption because of their relatively slow throughput and high nuisance defect rates. This paper reviews e-beam-based voltage contrast defect detection technology and its limitations. Several new approaches to voltage contrast defect detection are presented that allow practical application to a wide eliminate stage move time as a facto in throughput. An improved technique is presented here that makes use of a very large field of view magnetic objective lens to eliminate stage overhead. This approach allows literally any die on a wafer to be compared to any other die with virtually zero impact on the total area coverage rate, thus allowing extremely flexible, targeted sampling. Voltage contrast defect detection application examples are presented that highlight the advantages of this approach.
Method for enhancing topography and material contrast in automatic SEM review
Noam Dotan
The ability to perform an in line SEM based defect review operation that includes defect detection and classification, is strongly dependent on the quality of the generated defect images. The range of defects has to cover different layers, different process steps as well as different defect types. Traditionally, SeM images are thought of as lacking in 'natural' contrast. The key for a SEM to be able to review a wide range of defects is the ability to generate SEM images with enhanced and varying types of contrast, such as edge, material, topography or voltage contrast. We have developed Multiple Perspective SEM Imaging by employing various electron detectors, having different electron energy and direction response. We have shown that by proper combination of defector array and image processing it is possible to generate images that carry enhanced material, edge and topography contrast simultaneously. We demonstrated that the system can be immune to sample charging and be sensitive to voltage contrast variations at the same demonstrated that the system can be immune to sample charging and be sensitive to voltage contrast variations at the same demonstrated that the system can be immune to sample charging and be sensitive to voltage contrast variations at the same time.
Methodology for yield enhancement based on the analysis of defects at the lithographic step
Manda Kulkarni, Andrew Skumanich
Defect reduction for both process development and process maintenance requires capturing the defects, evaluating the impact of these defects, and identifying and eliminating the key defects. It is necessary to understand the nature of the various lithography defects and their potential impact since only certain effects may have a significant impact on yield. A WF700 series patterned wafer inspection tool was utilized to capture a wide range of defects at the lithography step, and track and progression of these defects through processing to the etch and strip step. The lithography defects were correlated with the final step effects. For instance, specific lithography defects were determined to cause metal bridging defects and un-cleared contacts. By tracking the defects, and by establishing the source of the lithography defects, it was possible to eliminate the final step defects. Some of the lithography defects captured were too subtle for optical imaging and required a SEM for analysis. Further, the unique ability of the WF700 series to segregate defects during the inspection with no loss in throughput allowed for a significant reduction in analysis time and faster defect sourcing. A methodology is presented for defect reduction and yield enhancement based on inspection at the lithographic step.
New patterned wafer inspection system with the function to classify fatal defects
Masami Ikota, Aritoshi Sugimoto, Yuko Inoue, et al.
The effective combination of the conventional in-line wafer inspection technique and yield prediction technique has become an important issue in order to reduce time for the development of LSI and to ramp the yield. At the last SPIE, we presented the change in the defect modes from conventional planarization process to CMP process and proposed the new inspection system for the CMP process. That is, the dark field type with the bright field optics which has the function to measure defect sizes. We now prose a new function to recognize automatically whether defects are either on or off the patterns. By monitoring the intensities of scattered light for the adjacent die at the positions where the defects are detected, the system can recognize that defects are either on or off the patterns. Using this new function, the patterned wafer inspection system can offer information about both the defect size and its fatality. As a result, we can evaluate the yield prediction and analyze the variation in the predicted yield quickly.
Defect reduction methodology in the lithography module
One of the challenges facing the implementation of DUV and advanced in-line lithography processes in production is that of maintaining low defect density in order to minimize the impact on yield. Yield depends on the complex interaction between design, CD and overlay control, films, electrical parameters. As the geometries shrink and the chip size increase, defect reduction becomes increasingly important. Defect density is just as important as critical dimension and overlay metrology in the development and implementation of lithography processes. Achieving and maintaining low- defect density lithography processes necessary for sub- quarter micron technologies requires a defect reduction methodology that quickly detects critical defects, reduces yield-limiting excursions and minimizes cost. This methodology encompasses test and product-wafer inspections combined with a careful selection of the defect inspection tool. Automated Defect Classification cuts the time to results: it facilitates defect source isolation and excursion control enabling an easy implementation of SPC limits by critical defect types. A sampling strategy that balances the cost due to inspection vs. cost due to defect excursions is required.
Defect II
icon_mobile_dropdown
Application of spatial signature analysis to electrical test data: validation study
This paper presents the result of the Spatial Signature Analysis (SSA) ELectrical-test (e-test) validation study that was conducted between February and June,1998. SSA is an automated procedure developed by researchers at the Oak Ridge National Laboratory to address the issue of intelligent data reduction while providing feedback on current manufacturing processes. SSA was initially developed to automate the analysis of optical defect data. Optical defects can form groups, or clusters, which may have a distinct shape. These patterns can reveal information about the manufacturing process. Optical defect SSA uses image processing algorithms and a classifier system to interpret and identify these patterns, or 'signatures'. SSA has been extended to analyze and interpret electrical test data. The algorithms used for optical defect SSA have been adapted and applied to e-test binmaps. An image of the binmap is created, and features such as geometric and invariant moments are extracted and presented to a pair-wise, fuzzy, k-NN classifier. The classifier itself was prepared by manually training, which consists of storing example signatures of interest in a library, then executing an automated process which treats the examples as prototype signatures. The training process include a procedure for automatically determining which features are most relevant to each class. The evaluation was performed by installing the SSA software as a batch process at three SEMATECH member company sites. Feedback from member company representatives was incorporated and classifiers were built to automatically assign label sot he binmap signatures. The three sites produced memory devices and microprocessors in a mature process fabrication environment. For all of these products, 5,620 signatures that encompassed approximately 552 wafers were human-classified and analyzed. The performance of the SSA E-test system indicates that the approach was successful in reliably classifying binmap signatures in a manner similar to the human expert.
Using laser surface scanning and bare wafer review to diagnose photolithography track developer process-induced defect issues
Linda M. Bond, Christine Fischer, Michael J. Satterfield, et al.
With the growing complexity of I-line and DUV photolithography processes, defect monitoring and yield improvement is becoming more critical and challenging. In addition to product wafer scans, reliable unpatterned wafer scans, with their advantage of much quicker feedback time for tool qualification and/or process monitoring must be developed and implemented. Especially with the more wide spread use of the newer chemically amplified resist, a high sensitivity, easy to review and trouble-shoot monitor is essential. A laser scanning unpatterned wafer defect detection tool was used to scan developed unpatterned photoresist coated wafers. Defect recipe creation for detecting these defects involved using the size of a calibration media was not used since it is not at all representative of the way real process defects scatter light. A novel, non-destructive media was not used since it is not at all representative of the way real process defects scatter light. A novel, nondestructive unpatterned wafer review method was used to actually review the defects using darkfield microscopy, and to determine real defects from false calls. From this work we were able to detect defect process signatures and defects that were related to the develop dispense configuration. Darkfield microscopy revealed the defects were indeed developer related since they appeared as liquid spot type defects and/or residue. Subsequent use of this method has been established as an excellent troubleshooting method to finding and fixing photo defect issues. The methods and results of this work will be discussed.
Reduction of postdevelop defects and process times for DUV lithography
Murthy S. Krishna, Emir Gurer, Ed C. Lee, et al.
As the semiconductor industry moves into deeper sub-quarter micro regime, minimization of post develop process defects is of paramount significance in manufacturing environments. Reduce defects levels can significantly increase the yield in production, resulting in substantial cost savings and also reduce time to market of new devices. Typical approaches to reduce defect levels include extension of the DI rinse time immediately after completion of photoresists development, use of multiple rinse steps and variable rinse spin speed. However, many of these penalize the process throughput. The uniqueness of this project was the use of enhanced rinse hardware with a mechanistic understanding and characterization of defect generation for an advanced DUV resist.
Post-pattern-inspection strategy
Mouli Vaidyanathan
Rapid yield ramp up in wafer processing fabs has become the most important task for process and yield engineers. In-line process monitoring using inspection tools are the traditional approaches to monitor excursion within the process line. Minor gains in yield ca increase revenue per wafer significantly, hence defect detection and defect feedback into process line is becoming the corner stone of fab yield success. Number of mask levels are becoming important to process fabs. Monitoring these mask levels so as to detect defects and feed information to the process line has become crucial to process yield. In order for this to happen a good understanding of the defects caused at the mask levels is important. An attempt will be made to discus the various types of defects present in the photomask levels and their relationships to their in-line detection. The discussion deals with scum defects, isolated bridging defects, pattern aspect ratio, and isolated and dense region patterning issues.
Analysis of adhesion behavior of microresist pattern by direct collapse method with atomic force microscope tip
By applying load directly with a micro cantilever tip, a resist micro pattern can be collapsed easily. The load when pattern collapse occurred decreases with increasing line width of resist pattern. Micro resist pattern adhering on a substrate is analyzed directly and quantitatively by using atomic force microscopy (AFM) tip. The collapse properties of KrF resist line patterns ranging from 170 to 730 nm in width were investigated for line width dependency. The load for pattern collapse decreases as narrowing of pattern width, however, it decreases considerably when the line width is approximately 400nm. BY combining with analysis of internal stress distribution by finite element method, line width dependency of resist pattern destruction can be clarified. The i-line resist patterns of 610nm dot shape were investigated for the environmental dependency of pattern collapse. In deionized (DI)-water, the load for pattern collapse is approximately one-thirtieth as large as that in dry air. The balance model among surface energy can be adopted for analyzing intrusion phenomenon of DI-water into resist/substrate interface. It can be explained quantitatively that the intrusion of DI-water acts to weaken the adhesion strength of resist micro pattern to the substrate. The validity and reliability of this technique are discussed.
New Technology/New Approaches to Metrology
icon_mobile_dropdown
2001 and beyond: a challenge for metrology
As the industry moves into the next millennium it faces new challenges that are not only in the fabrication of the sub- 150 nm features but also in the ability to measure those features. The focus of this paper is not as much on the building of machines to measure those small features but rather on the methodologies of interpreting and handling of data and developing intelligence for identifying the edge- positions that have significant contribution on the value of the critical dimensions or CDs.
Intercomparison of SEM, AFM, and electrical linewidths
John S. Villarrubia, Ronald G. Dixson, Samuel N. Jones, et al.
Uncertainty in the locations of line edges dominates the uncertainty budget for high quality sub-micrometer linewidth measurements. For microscopic techniques like scanning electron microscopy (SEM) and atomic force microscopy (AFM), the image of the sharp edge is broadened due to the instrument's non-ideal response. Localizing the true edge position within its broadened image requires a model for the instrument-sample interaction. Ideal left and right edges are mirror images of one another, so any modeling error in the position assignment will have opposite signs for the two types of edges. Linewidth measurements inherently involves such opposite edges and consequent doubling of model errors. Similar considerations apply to electrical critical dimension (ECD) measurement. Although ECD is a non-imaging technique, one must still model the offset between the position of the physical edge and the effective edge of the conducting part of the line. One approach to estimating the reliability of existing models is to compare result when fundamentally different instruments measure the same line. We have begun a project to perform such an intercomparison, and we report here initial results for SEM, AFM, and ECD measurements of sub-micrometer lines in single crystal Si. Edge positions are determined from SEM images using Monte Carlo tracing of electron trajectories to predict the edge shape.In the AFM, we estimate and correct for tip geometry using tools from mathematical morphology. ECD measurements are corrected for band bending in the neighborhood of the edges.
Telepresence: a new paradigm for industrial and scientific collaboration
A portion of the mission of the NIST Manufacturing Engineering Laboratory is to improve and advance length metrology in aid of US industry. The successful development of a 'collaboratory' for telepresence microscopy technology. Telepresence microscopy is an advanced concept in the integration of computers and high-speed networks with scientific instruments for operation. Control, communication and research. NIST and TI, under the auspices of the National Automated Manufacturing Testbed and in collaboration with the University of Illinois and Argonne National Laboratory have developed a collaboratory testbed. The goal of this work is to demonstrate the value of TPM within organization having a large distributed manufacturing facility such as TI and between scientific research organizations such as NIST, ANL and UIC. Large distributed manufacturing sites need rapid response when problems threaten to disrupt multi-million dollar production facilities. This is particularly important when expertise needed to solve the problem or instrumentation is not locally present. The resulting delays are inevitable and often costly. Telepresence minimizes these delays. Once a sample has been received by a research facility, collaborators from multiple remotely located sites can rapidly access the collaboratory from their respective locations and collaborate in real-time to solve the problem using only their desktop computers and connections to the Internet. This presentation demonstrates the power afforded by this technology.
Novel metrology for measuring spectral purity of KrF lasers for deep-UV lithography
Alexander I. Ershov, Gunasiri G. Padmabandu, Jeremy D. Tyler, et al.
The use of higher NA lenses of next generation 248 nm microlithography system sets tight requirements on the spectral purity of the laser, especially because these lenses are not chromatically corrected. Present day KrF excimer lasers are equipped with etalon-based spectrometers that can measure the laser linewidth at full-width-at-half maximum, at nearly every pulse. Both, experience and analysis have shown that the (Delta) (lambda) FWHM may not be the optimum measure of laser spectral purity, and that a better characterization would be the width of the line that contains 95 percent of the laser energy, (Delta) (lambda) 95 percent int. Therefore, the lithography is at risk of losing the image quality if the line shape, characterized by (Delta) (lambda) 95 percent int is outside its limit, even if the laser signals that the (Delta) (lambda) 95 percent measurements of laser line shape. The measurements can be done on a pulse-to-pulse basis or with averaging over an exposure window. Several different configurations and their comparable analysis are presented. These new spectrometers are compact, and can be integrated with a deep UV laser or used as a portable field service tool Despite the small size, the spectrometers have a resolution of about 0.1 pm when measuring FWHM values and about 0.3 pm when measuring 95 percent integral values. The implementation of these new metrology tools provides the lithography with a correct measure of the laser spectral purity during exposure and during process optimization.
Secondary electron spectroscopy for microanalysis and defect review
David C. Joy, Neeraj Khanna, David Braski
Secondary electron spectra have been obtained from a variety of materials under vacuum conditions similar to those encountered in a conventional scanning electron microscope. Although secondary emission is restricted to the near surface region of a sample, and so would be expected to be affected by the presence of a film of contamination, it is shown that the modifications to the secondary electron spectrum are generally minor in nature and do not make it impossible to associate the spectrum with the underlying material. Secondary electron spectroscopy may provide a method to add a materials classification category to defect review.
Modeling: SEM
icon_mobile_dropdown
Inverse scattering approach to SEM linewidth measurements
Mark P. Davidson, Andras E. Vladar
The inverse scattering approach presented here enables the calculation of estimates of top and bottom line width values, sidewall angle, corner rounding, the heights from top-down SEM images or line scans. It also represents a significant step in solving the accuracy problem that plagues CD SEM measurements today. A comprehensive software package has been developed for performing these measurements by comparing a SEM's line scan with a library of pre- calculated Monte Carlo simulations. The Monte Carlo model used was Metrolgia, which has undergone considerable improvement in the past year due to work done at the National Institute of Standards and Technology. A detailed beam shape model is included, which represents the electron density in the cross section of the primary electron beam as a product of a polynomial and a Gaussian function. Automatic alignment of the line scan data is also done. The Monte Carlo model does not include effects due to charging. The inverse scattering software attempts to partially correct for mild charging by applying a multiplicative operation to the Monte Carlo data which results in the average of both the Monte Carlo data and the real data being the same over a programmable range. Thus any signal production differences between the model and the data due to charging, extraction fields, or shadowing will tend to be eliminated. So long as the effects are mild, an inferred measurements will still be possible. This paper presents the first description of this model and the first experimental results using it. The same inverse scattering software can be used with libraries of real, measured data instead of Monte Carlo simulations if a more empirical approach is preferable.
Monte Carlo simulation of charging effects in linewidth metrology: II. On insulator substrate
Yeong-Uk Ko, Myung-Sai Chung
Charging effect have been investigated quantitatively using Monte Carlo simulation when the linewidth of PMMA insulator patterns on SiO2 insulator substrate is measured by scanning electron microscope. We set reference operating and shape conditions for array pattern and calculated the offset on linewidth metrology according to change of each condition. We have used 50 percent threshold algorithm for the edge determination and calculated the offsets in those conditions. And we compare with the result with the case of Si substrate and finally we discussed which facto is most sensitive in linewidth metrology.
Determining measurement variation of lens parameters using Monte Carlo simulations
Wilco C.A. Ligthart
In this paper the strength of Monte Carlo simulations to estimate measurement variation in the outcomes of lens parameters is shown. A standard R and R study approach is compared to one in which Monte Carlo simulations are used. The biggest advantage in the latter case is that one can correct for the destructive kind of the measurement. Further, not only the accuracy improves, but also the time needed to perform the study reduces drastically and qualitative studies can be carried out easily.
Modeling and experimental aspects of apparent beam width as an edge resolution measure
The SEMATECH advanced advisory group recently issued a critical dimension (CD) scanning electron microscope (SEM) specification. One component of this specification was the measurement of the apparent beam width (ABW). This measure is essentially an edge width measurement determined from the video profile of a good quality isolated structure of typical semiconductor material like photoresist on antireflective coating on silicon. The waveform is acquired under typical linewidth measurement conditions. The ABW measurement convolutes all the edge resolution-limiting contributors that are present during the linewidth measurement process because it is an actual measurement on a product wafer structure. These factors include beam size, depth of field, autofocus imprecision, astigmatism and electronic filtering in a single measurement. The choice of the ABW artifact, in itself, is also a potential contributor to the measurement and must be fully understood. Using the NIST Monte Carlo modeling program, MONSEL-II, the ABW measurement technique is examined and many contributing factors to measurement variation are studied. Results are compared with experiments using an existing ABW artifact. Furthermore, the requirements for future ABW artifacts are determined and discussed.
Modeling: Optics
icon_mobile_dropdown
Optical linewidth models: then and now
Robert D. Larrabee, Richard M. Silver, Mark P. Davidson
In the late 1970's Dr. Diane Nyyssonen demonstrated that the NIST could optically calibrate photomask linewidth standards that were narrower than the classical resolution limit of a conventional bright-field microscope. She equated the unknown edge position on the observed image profile to the known edge position on a theoretically calculated image profile of that line. Since at that time, there was no other way to accurately identify the position of the geometrical edge of micrometer-sized lines on their observed optical images, NIST would not have been able to issue accurate photomask linewidth standards without her theoretical model. NIST has initiated a program to re-examine Nyyssonen's model to see how well it meets today's requirements for linewidth standards. Fortunately, one of the authors conferred with Dr. Diana Nyyssonen about her model before her untimely death, and he was able to improve the utility and accuracy of her model. He removed some of her assumptions and improved the efficiency of computations to the point where they could be done on a desktop computer. This paper will detail the result of the initial comparison of the Nyyssonen and Davidson models as applied to photomasks and will identify any significance of the differences as applied to the calibration of NIST photomask standards.
Numerical modeling of the excimer beam
Ying Lin, Jesse D. Buck
Using detailed metrology and modeling,the excimer beam may be completely characterized making it possible to achieve good agreement among various test methods and allowing accurate prediction of the beam performance in photolithographic and other applications. Full characterization consists of determining the intensity envelope, wavefront error, and detailed speckle structure statistics. The numerical model consists of a series of exact 2D instantaneous representations of the complex amplitude propagated through the beam train, with time varying speckled structure and integration to generate the time-averaged beam envelope. The detailed description provides a means to validate simpler, but more appropriate, theories and to determine the limits of accuracy of these simpler methods for various experiment configurations. We also show results of very recent time-resolved experiments of near-field and focal plane profiles.
Wafer printability simulation accuracy based on UV optical inspection images of reticle defects
As semiconductor processes have moved towards lower k1 and mask inspection equipment has moved into the UV range, more subtle reticle defects have been found to cause manufacturing problems. Lower k1 and new lithography processes and reticle technologies, such as OPC and PSM, have made it difficult to determine the significant and these defects. This paper reports on the development of a simulation tool that will improve the yield and productivity of photomask manufacturers and wafer manufacturers by improving reticle defect assessment. This study demonstrates the accuracy of simulation software that predicts resist patterns based on sophisticated modeling software that uses optical images obtained from a state-of-the-art UV optical inspection system. A DUV 4X reduction stepper was used to print a reticle with programmed defects across an exposure/focus matrix, with the minimum feature size being 200 nm. Quantitative comparisons between predicted and measured wafer CDs were made. In summary, it was found that the simulation software based solely on aerial images predicted absolute CDs with limited accuracy, but differential CDs with limited accuracy, but differential CDs, obtained by utilizing both the reference and defect images, were predicted accurately. Comparison of simulations using both reticle SEM images and optical reticle inspection images showed good agreement, demonstrating the accuracy and high resolution of the optical reticle inspection images. Application of differential aerial images to a simple test case showed that it was possible to identify and therefore eliminate a significant number of defects that did not print, thereby improving defect assessment.
Mask Metrology
icon_mobile_dropdown
CD error sensitivity to "sub-killer" defects at k1 near 0.4
J. Fung Chen, Nathan A. Diachun, Kent H. Nakagawa, et al.
Recent observations indicate that CD control for the 0.18 micrometers process generation using KrF exposure could be sensitive to borderline detectable defect sizes on a 4X reticle. It is of interest to determine if these 'sub- killer' defect sizes can become process window limiting. It is also important to determine if CD error is sensitive to the interaction between defects and scattering bar OPC features. The experiment was based on a typical 0.18 micrometers process using the Defect Sensitivity Monitor reticle - designed by MicroUnity and manufactured by Photronics. Only isolated features were investigated in this work. Greater than 10 percent printed CD error was found for defects occurring on the main feature such as a 200 nm bump or a 250 nm divot on a 4X reticle. Greater than 6 percent of the exposure latitude can be lost due to +/- 50 nm mask feature width deviations. A 200 nm-chrome spot 4X-reticle defect located between a main feature and an SB can cause more than a 10 percent printed CD error. Defects occurring on scattering bars such as bump a d break types have less influence on the printed CD. The CD error is negligible for +/- 100 nm SB width variation on a 4X reticle.
Direct interferometric phase measurement using an aerial image measurement system
Accurate phase measurement is required to fabricate manufacturing-quality phase shifting masks. Phase error can severely limit the total process window as the k1 factor becomes smaller and smaller. Existing phase measurement techniques use either a specialized phase interferometer or an aerial image measurement system (AIMS) with image-plane analysis. In this presentation we report a direct interferometric phase measurement technique that can be implemented on an AIMS tool with a few inexpensive accessories. The method is based on analyzing far-field interference patterns of two double-slit features on the mask. In one double-slit feature, the left slit is phase- shifted; in the other feature the right slit is phase- shifted. By measuring a relative shift of position between the interference patterns one can calculate phase shift directly. Advantages of the method over other published methods based on image plane measurements include: 1) significantly better accuracy, and 2) no aerial image simulation is required, thus eliminating a source of measurement error. Compared wit lateral shearing interferometry used in dedicated phase interferometers, the current method can be applied to smaller geometries without adding complexity. In addition, results obtained with current method are effective phase shifts that may correlate directly with lithographic performance of the mask. We will present the experiment setup, phase extracting algorithm, and experimental result on an alternating phase shifting mask. Result will be compared with that of a step height measurement.
Atomic force microscopy: a diagnostic tool (in) for mask making in the coming years
Mask making in the coming years will face major challenges. Feature measurements in the mask will no longer be restricted to 2D but will require information on the third dimension as well. High precision AFMs will be needed not only for measuring feature dimensions but also as a diagnostic tool for the fabrication of high quality masks.
Poster Session
icon_mobile_dropdown
Thermal analysis of hot plate resist baking using a lumped capacitance model
Bo Zhou
Hot plate resist baking is one of the key steps in microlithographic processes. A lumped capacitance (LC) model is introduced to simulate the transient temperature of a substrate after it is thermally coupled with a hot plate. Experiments show that the first-order LC model yields reasonable accuracy except a short time period after coupling. The discrepancy between the model and experimental data is attributed to the dynamics of thermal couple that was omitted in the original model Further simulations using a second-order model that incorporates a first-order thermal couple dynamics with the LC model demonstrate excellent matching with experimental data.
Automatic macroinspection system
Koichiro Komatsu, Takeo Omori, Toshiaki Kitamura, et al.
Macroscopic defects on a wafer are caused by contamination, inhomogeneous resist-thickness, scratches etc. Many of these effects can be observed directly by human eyes rather than through a microscope. In many lithographic processes visual inspection by human operator is performed on all wafers after the developing process. It is called a 'macro inspection'. However a macro inspection takes skill of detecting defects, because defects can be found under some conditions. We have been developing a new inspection system to replace operator-inspection with instrument-inspection for consistency in results. This system is constructed by a defect detecting technology and an image processing technology.
Defect detection difficulties post TEOS (oxide) deposition
Mouli Vaidyanathan
Microscopy has ben well understood as to its theory, advantages and limitations. Applications of microscopy to enable better sample characterization are in continued improvement. Of particular interest to defect characterization engineers is the application of microscopy techniques in the detection of defects during semiconductor wafer processing. The shrinking of feature sizes poses new challenges to yield enhancement engineers who are constantly in the look out for better and faster defect detection. The shrinking of feature sizes causes smaller photolithography margins, thereby making critical the planarization step and the film thickness uniformity across the wafer. In this paper the difficulty in detecting defects after the deposition of TEOS film which is transparent to visible light is discussed. This difficulty would extend to most ILD levels. SOme alternatives to the defect detection are also discussed with particular reference to Nomarski Differential Interference Contrast Imaging. Defects, which have different refractive index than the bulk oxide but are transparent to visible light can cause a decrease in reliability. Present day bright field inspection tools do not easily detect defects such as these. Reliability and parasitic capacitance have become important tools do not easily detect defects such as these. Reliability and parasitic capacitance have become important as lower power consumption is targeted. Detecting these defects which have different refractive indices and characterizing the source could lead to lower parasitic capacitance and higher reliability. Discussion as to the detection of different refractive index materials embedded within the oxide layer will be discussed in this paper.
Defect inspection on CMP process and its application
Minori N. Noguchi, Yoshimasa Oshima, Hidetoshi Nishiyama, et al.
A high-throughput high-sensitivity defect-detection technique has been developed for manufacturing 0.15-0.25- micrometers LSI devices. It incorporates a high-resolution detection systems using multi-channel detectors and a high- resolution imaging system using spatial filtering and collimated focused-beam illumination. A new algorithm called correlated local area statistical threshold enables this technique to achieve a sensitivity of 0.15 micrometers on front- end processes and 0.3 micrometers on back-end processes and a high throughput.
Automated high-precision measurement of critical dimensions using the scanning probe microscope
Donald A. Chernoff, David L. Burkhead
We describe a computerized method to measure the geometry of regular, nanometer-scale structures. By compiling measurements of hundreds of features, we obtain statistically robust results, not only for mean values of structural parameters, but also for the standard deviations, so that process windows can be determined. On DVDs, the smallest feature are pits or bumps about 400 nm long, 320 nm wide, 120 nm high, with a track pitch of 740 nm. We measured the following parameters: track pitch, bump height, bump width and length, bump length, and four sidewall slope angles, in each case reporting mean, standard deviation and other statistics. For each 10 by 10 micron image of a DVD stamper, containing about 100 bumps, we tabulated about 1000 values. In a plot of bump width versus bump length, we found that width at half height increased from 328 nm for the shortest bumps to about 385 nm for bumps longer than 800 nm; this matches the increase seen for corresponding optical signals produced when a finished disc is played. Where sidewall angle deviated from the norm, we were able to review the image data to identify the specific nature of the defect. Thus, feature geometry will no longer be a hidden variable in the path between controlling production equipment and observing the good or bad electrical performance of a finished disc.
Image processing for SEMs: is this the way to go for CD metrology?
Image processing (IP) techniques are growing increasingly powerful. IP has been used extensively in the military field and is finding increased use in semiconductor manufacturing, specifically CD SEM metrology. The IP techniques discussed in this paper address methods that tend to sharpen and smooth the image coming from the scanning electron microscope. One such technique involves deconvolving the effect of a non-ideal electron beam spot. As an example, a vendor supplied IP technique is used to define a methodology to judge the merits of such techniques for critical dimension metrology. Many images were analyzed with and without processing to assess the effects on resolution, accuracy and precision.
Optimization of advanced design rule processes utilizing postdevelop patterned-wafer inspection
Andrew Skumanich, John Boyle, Gary Snyder, et al.
The fast advance in small design rule processes has necessitated the ability to quickly identify defect sources and eliminate the causes. The development cycle can be shortened if defect sources are identified prior to key processing steps such as metal and contact etch. In addition, valuable products can be saved because the inspection is done at a reworkable step. This paper presents results for advanced process development which addresses the issues of throughput and defect identification. A methodology was established for defect monitoring and defect reduction at the after-develop step which allows for optimization of an advanced lithographic process. The WF- 736Duo wafer inspection system with real-time defect classification was used to perform post-development inspection studies. The primary conclusions were than (1) manual post-development inspection is inadequate, (2) the WF-736Duo patterned wafer inspection system could capture most defect types with high throughput, and (3) the methodology based on automated defect capture provided enhanced defect reduction capability. The defects identified included both macro- and micro-defects. Examples of defects detected included standard lithography defects as well as defects associated with the advanced process development. The turn-around-time of process development was improved with the defect capture and classification from the inspection at the photo-lithographic step.
Application of resolution enhancement techniques in thin film head processing
Lijun Tong, Joyce Hsiang, Johnny Gossett, et al.
As thin film head (TFH) processing advances with magneto resistive (MR) and giant magneto resistive (GMR) designs, the photolithographic requirements for the write portion of the device grow increasingly challenging. Specifically, the resolution of submicron isolated features is required in thick photoresist films; resulting in aspect ratios of nearly 10 to 1. To satisfy the imaging requirements of critical read and write-layers, the use of i-line reduction lithography tools with variable numerical aperture (NA) and partial coherence are necessary. This study examines the influence of NA, (sigma) , and reticle bias on critical feature in typical TFH write-layer processes. Optimal reticle bias was estimated through simulation and confirmed experimentally. Combinations of NA and (sigma) were investigated for their impact on minimum feature size, process latitude, and sidewall angle for multiple resist thicknesses. Process latitude was quantified for each illumination condition over a range of focus and exposure conditions with the use of a low-voltage, automated TFH CD- SEM. A focused ion beam tool and SEM are used to examine wall angels at each of the illumination conditions.
Role of LV-SEM reticle CD measurements on DUV lithography
Thomas Marschner, Ivan K.A. Pollentier, Goedele Potoms, et al.
In this work, we investigate the role of low voltage reticle CD-SEM measurements on DUV lithography. We compare reticle measurements carried out on tow different CD-SEMs and optical measurements as typically carried out at mask shops. CD-SEM measurements using the 50 percent derivative algorithm on the KLA 8100ER CD-SEM and the 50 percent threshold algorithm on the Hitachi 6100 CD-SEM show good correlation with the optical measurements. As examples for the importance of LV CD-SEM reticle measurements we show the influence of proximity effects during reticle printing on CD variations on reticle level by comparing the values obtained on the reticle and on the wafer. Finally, we determine mask error factors. The MEF has to be taken into account to compare wafer and reticle CDs. We show that it does not change for wafer measurement after lithography and after poly-etch. The use of different metrology tools or electrical linewidth measurements does not influence the MEF.
High-resolution UV wavelength reticle contamination inspection
A new reticle inspection system with laser UV imaging for contamination inspection has been developed to detect contamination defects on advanced reticles for DUV steppers and low k1 lithography. The extension to UV wavelength improves the resolution of the imaging optics while maintaining compatibility with current STARlight inspection algorithms, thus improving both sensitivity and minimum linewidth capability. This enables inspection of reticles for 4X lithography design rules at 0.18 micrometers , 0.15 micrometers and 0.13 micrometers . The system also is capable of inspecting Tri-Tone PSM and reticles with OPC assist bars.
High-accuracy characterization of antireflective coatings and photoresists by spectroscopic ellipsometry: a new tool for 300-mm wafer technology
Pierre Boher, Christophe Defranoux, Sophie Bourtault, et al.
In order to characterize 300mm wafers at different stages to the IC manufacturing, a new tool based on spectroscopic ellipsometry has been recently developed at SOPRA. This new instrument called SE-300 has some important new features compared to the other ellipsometers of SOPRA or of the competition. First the optical setup allows to obtained very small measurement spots down to 35 X 45 micrometers in polychromatic light to be able to work from deep UV 190nm to near IR; second the combined monochromator/spectrometer is directly setup on the analyzer arm and allows both multichannel and scanning measurements on the same spot. Scanning measurement made with a real double monochromator including prism and grating allows very accurate measurement that can be used to extract optical indices and solve complex multilayer structures. Multichannel measurements are made through a prism/grating spectrometer with quasi-linear dispersion in wavelength. All the elements are fully compatible with the new generation of 300mm wafers. Practical results obtained on antireflective coatings and photoresist films are presented.
Accelerated testing technique for evaluating performance of chemical air filters for DUV photolithographic equipment
Oleg P. Kishkovich, Dennis Bolgov, William Goodwin
In this paper, the authors discuss the requirements for chemical air filtration system used in conjunction with modern DUV photolithography equipment. Among the topics addressed are the scope of pollutants, their respective internal and external sources, and an overview of different types of filtration technologies currently in use. Key filtration parameters, including removal efficiency, service life, and spill protection capacity, are discussed and supported by actual data, reflection the total molecular base concentration in operational IC manufacturing facilities. The authors also describe a time-accelerated testing procedure for comparing and evaluating different filtration technologies and designs, and demonstrate how this three-day test procedure can reliably predict an effective filter service life up to ten years.
Developments in optical modeling methods for metrology
Despite the fact that in recent years the scanning electron microscope has come to dominate the linewidth measurement application for wafer manufacturing, there are still many applications for optical metrology and alignment. These include mask metrology, stepper alignment, and overlay metrology. Most advanced non-optical lithographic technologies are also considering using topics for alignment. In addition, there have been a number of in-situ technologies proposed which use optical measurements to control one aspect or another of the semiconductor process. So optics is definitely not dying out in the semiconductor industry. In this paper a description of recent advances in optical metrology and alignment modeling is presented. The theory of high numerical aperture image simulation for partially coherent illumination is discussed. The implications of telecentric optics on the image simulation is also presented. Reciprocity tests are proposed as an important measure of numerical accuracy. Diffraction efficiencies for chrome gratings on reticles are one good way to test Kirchoff's approximation as compared to rigorous calculations. We find significant differences between the predictions of Kirchoff's approximation and rigorous methods. The methods for simulating brightfield, confocal, and coherence probe microscope imags are outlined, as are methods for describing aberrations such as coma, spherical aberration, and illumination aperture decentering.
Efficiency improvements of offline metrology job creation
Victor J. Zuniga, Alan Carlson, John C. Podlesny, et al.
Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.
Broadband planarizing antireflective coating for i-line, DUV, and 193-nm microlithographic applications
A fast-etching broad band bottom anti-reflective coating (BARC) for photoresist applications at the wavelength of 365nm, 248nm and 193nm was developed. The new BARC formulated in safe solvents such as ethyl lactate and PGME exhibits wide spin bowl compatibility with various photoresists, and can be processed with common edge bead removal solvents. The optical properties of the new BARC are tailored for high contrast resist systems, with film optical density exceeding 4.2 micrometers at 365 nm, 7.5 micrometers at 248 nm and 8.5 micrometers at 193 nm. Most importantly, we have demonstrated plasma etch rates of the new coating in excess of 1.5-2.0 times that of conventional i-line and DUV photoresist. The compatibility of this material with multi resists at all three wavelengths will be discussed as well as trade-offs versus dedicated single wavelength BARC systems.
New optimization concepts for photolithography production processes
Dumitru Gh. Ulieru
This paper examines the theory, methodology and benefits of using CD distribution as a metric for determining the quality of a lithography process. A method is presented for predicting the CD limited yield of a photolithographic process using a combination of RoCD and either RoLith/2 and RoLith/3D. Several examples are used in order to show the major benefits of this method.
Evaluation of impurity migration and microwave digestion methods for lithographic materials
Fu-Hsiang Ko, Li-Tung Hsiao, Cheng-Tung Chou, et al.
In the section of incoming quality or quality reliability analysis of advanced semiconductor fabrication company, it is inevitable to regulate the strict standard for the incoming materials to ensure the reliability. In our radioactive tracer study, it is interestingly found the various amounts of metal and trace element impurities in the lithographic materials may migrate into the substrate. Based on the complex organic matrix in lithographic materials such as bottom anti-reflective coating, I-line resist and DUV resist, it is not easy to direct determine the multi- elements by the instrumentation. In this work, the lithographic materials are first composed by the close- vessel and open-focused microwave oven, and the digest is evaporated to incipient dryness. After adding water, the sample solutions are used either for evaluating the completeness of the digestion process by UV-VIS spectrometer, or for the determination of eleven elements using inductively coupled plasma mass spectrometry. In addition, the digestion efficiency is also evaluated by the limits for analytes can be achieved at lower than ng/g level. For evaluation of data accuracy, the result obtained by the two 130 percent. According to the microcontamination control limit predicted by the SIA roadmap, the established method can meet the requirements for the quality control of lithographic materials in the future ten years.
Mask error factor and critical dimension budgets for sub-half-micron CMOS processes
Graham G. Arthur, Brian Martin
The effect known as mask error factor is investigated using the optical lithography simulation tool PROLITH/2 with a well-tried and tuned set of simulation parameters. These investigations are extended to include the effect of pitch, linewidth, optical proximity correction, focus, lens aberrations, partial coherence, resist contrast, resist thickness and exposure. Through the use of focus-exposure matrices, process windows and manufacturing critical dimension budgets, the impact on reticle procurement specifications is also examined.
Improved CD-SEM optics with retarding and boosting electric fields
Yoichi Ose, Makoto Ezumi, Hideo Todokoro
Because of rapidly decreasing line-width of integrated circuits, it is necessary to measure and control their critical dimensions with high accuracy. Hitachi has developed a new critical-dimension-measurement scanning electron microscope S-9000 series, which has a new electron optics with retarding and boosting electric fields. The upper pole piece of the objective lens is kept at a high positive voltage with respect to the ground so as to reduce aberration of the objective lens drastically. To optimize the boosting voltage we have developed optics simulators that is capable of computing aberration coefficients in electric and magnetic mixed fields. At the optimized boosting voltage of around 5kV, 3nm resolution is achieved for a final accelerating voltage of 800V. The high boosting voltage is effective in imaging bottoms of contact holes having high aspect ratios.
Model considerations, calibration issues, and metrology methods for resist-bias models
Edward W. Conrad, Daniel C. Cole, David P. Paul, et al.
This paper explores the application of phenomenological models that take into account photoresist processing effects when simulating the predicted shapes of small structures in state-of-the-art microelectronic fabrication. This work extends some of the 1D model development reported in recent years to 2D structures. A brief overview of the assumptions and background of the relatively simple energy threshold model is given, followed by a more extensive discussion on proceeding beyond this point with more advanced resists 'bias' models. A number of subtle, but critical methodology and calibration issues are also discussed including (1) use of SEM top-down micrographs for extraction of measured shape information, (2) calibration of the pixel size associated with the SEM data, (3) use of the measured mask shapes for input to the simulator, (4) evaluation of the projection system focus offset and inclusion of it in the calibration of the model, and (5) the optimization of parameters in the resist-bias model. Typically we have found that after proper calibration of the resist bias model, agreement between predicted and measured shapes for full 2D structures of 3- (sigma) variation equal to 15 nm can be realized for 248-nm photolithography, for nominal 0.25 micrometers critical dimensions. Increasing to 0.5 micrometers defocus typically increases this 3-(sigma) variation to about 40 nm. Further improvements can certainly be expected. Clearly, such predictability can greatly aid in improving the process window for chip design. Some discussion is given on the anticipated pitfalls when extending this approach beyond its region of applicability.
Improving the accuracy of overlay measurement through wafer sampling map rearrangement
Chungwei Hsu, Ronfu Chu, Jen Ho Chen
In this study, different sampling methods for alignment and overlay measurement were compared. Several types of wafer map, such as symmetry, asymmetry, and random were tested. In order to characterize the performance of each sampling plan, criteria including the alignment error, overlay measurement data and the final registration result after adjusting stepper parameters were examined. The compensation value for exposure systems control was calculated from measurement data by using overlay software. The distribution and numbers of sampling sites have crucial effect to the accuracy of measurement and the alignment site should be uniform for better exposure tool control. Also the measurement sites should be selected to match with stepper alignment mark location so that a more accurate result could be acquired. By using a well arranged sampling sites, systematic error result from the matching between production tool and measurement tool could be further reduced. After rearrangement, the closely matched sampling map could increase accuracy for production line with overlay feedback control system.
Application of top-down CD-SEM metrology in measuring wafers with resist film thickness of 24 um with various sidewall profiles
Sunit S. Dixit, Ying Liu, Amir R. Azordegan
An automated top-down CD-SEM technique, complimenting the existing high angle tilt SEM method has been developed for measuring features printed at a film thickness of 24 microns. Measurements of resist linewidth versus exposure dose were made using a KLA 8100 top-down CD-SEM. The advantage of this CD-SEM is the automated collection of a large statistical pool of data and the ability to determine the linewidth independently of operator, accurately and consistently. The technique has been applied to AZ P4000 and AZ 9000 photoresist thick films through and beyond their exposure latitude. Maintaining a sharp sidewall profile of photoresist while supporting high aspect ratios is ideal for magneto-resistive and inductive thin film recording head coil plating and many other mission-critical applications. Also a fast and non-destructive thin film recording head coil plating and many other mission-critical applications. Also a fast and non-destructive metrology technique is desired and preferred to support future more costly and larger substrates. Results are reported for 10 and 6 micron reticle linesizes, respectively, at a film thickness of 24 microns using the AZ P4620 and AZ 9262 positive photoresist over bare silicon wafers. Wafers were exposed using an Ultratech Ultrastep Stepper model 1500 system and then developed by AZ 400 K inorganic developer. Exposure dose- array fields were measured first on the top-down KLA CD-SEM and then coated and re-measured on a Hitachi scanning electron microscope with 60 degrees-tilt capability. The importance of knowledge of resist profile and choosing the proper metrology tool is discussed. Linewidth values were compared with result from the standard tilt microscope reading method. Agreement to within 10 percent is noted for profiles corresponding to most exposure doses. Profiles for higher, over-exposed fields resemble a 'coke bottle' and linewidth for bottom of resist is inferred by extrapolation based on the prior correlation found between the two SEMs. The AZ 9262 resist line features showed a smaller 'coke bottle' profile at higher doses than AZ P4620 line features. Further work is planned for other positive resists with non- traditional profiles on unconventional substrates.
Resist-profile-dependent photobias and in-line DICD control strategy
Chung Yih Lee, Thian Teck Ong, Ma Wei Wen, et al.
In-line DICD control is universally used in wafer fabs to ensure on-target FICD and to monitor process fluctuation. However, how to set up an optimal DICD target sometimes becomes ambiguous as the device dimension shrinks and various photo- and etch-bias begin to emerge. In this paper, we have investigated the root cause of photo-bias and found that for i-line resist with pattern dimension smaller than 0.45 micrometers , the so-called photo bias is largely caused by resist profile change. From resist cross section pictures we verify that the in-line DICD measurement usually deviates from the resist bottom CD and the deviation is resist profile dependent. Based on this understanding, we present an in-line DICD control strategy that is more efficient in terms of resist process characterization and requires no CD- SEM measurement algorithm change.
Improve the accuracy and sensitivity of CD-SEM linewidth measurement for deep-submicron polygate pattern transfer
Xin Mei, Ming Hui Fan, Alex Tsun-Lung Cheng
As the feature sizes continue to shrink, the requirements for precision and accuracy of CD-SEM metrology become more and more critical. For line width measurements, the uncertainty in edge position determination due to measurement algorithms is an important source of error in CD metrology. To improve the accuracy of CD-SEM system, an appropriate algorithm for a specified type of feature must be determined by comparison to a suitable reference tool. A good algorithm should also be sensitive to process variation and therefore characterize the process drift accurately. In this report we present a systematic method to optimize linear approximation for monitoring 0.25 micrometers polygate pattern linewidth measurement on Hitachi high resolution CD- SEM.
Pattern measurements of reticles with optical proximity correction assist features using the atomic force microscope
Kuo-Jen Chao, Robert J. Plano, Jeffrey R. Kingsley, et al.
A 4X, 6 inch reticle with optical proximity correction assist feature intended for deep UV (DUV) exposure was investigated. A set of chrome lines with designed CD from 0.24 to 2.00 microns was profiled by AFM. The goal of this work is to present a method to ensure consistent measurement of chrome lines widths on the reticle. This is done by consistently measuring the line width at the half-height position on the line cross-section, i.e., full width at half maximum. Defining the boundaries of the line width at the half height locations of the edge steps. Using this method, the CD linearity is found to be within +/- 20 nm over a range of line width form 2.00 micrometers to 0.4 micrometers . Additionally, the CD uniformity is found to be worse when the widths of the lines are nominally less than 0.4 micrometers .
Precision improvement in diffraction measurement for the one-dimensional grating period
Beomhoan O, Won Young Song, Byong Chon Park, et al.
The precision laser diffractometer of the conventional-type has been developed for the 1D grating pitch measurement, and successful precision improvement has been made. At the first stage of this improvement, the rotary arm was set to be as long as 1.2 meter, and the precision goniometer has been used. Furthermore, a focusing lens has been inserted between the grating samples and the detection plane in order to prevent the measurement error from the beam alignment. The system has been more improved to meet the need for higher accuracy can precision so far. One of the two important modifications is the use of CCD camera-based detection system instead of visual evaluation. And, the precise value of the laser wavelength, with one more digit than before, is used. These two modifications contribute considerably in improving the accuracy of the measurement comparing with the previous one. The correction of axis wearing shift has been accomplished additionally to improve the accuracy at the current stage of the development. The measured values for the grating whose pitch is certified as 288 nm and 700 nm by the manufacturer, are 287.593 and 700.762 nm. The expanded uncertainties with a coverage factor of 2, is now improved to 0.005 and 0.014 nm for the 288 and 700 nm-grating, respectively. They are significantly less, about 1.10, than previous ones.
Interferometrical profilometry at surfaces with varying materials
Holger Jennewein, Harald Gottschling, Thomas Ganz, et al.
One problem interferometric profilers have in common, is that the phase change of the light which is reflected at the probe, depends on the complex refractive index of the probe's material and influences the profilers results. This article describes the principle of an optical profilometer which is a combination between an interferometric profiler and a micro-ellipsometer. This combination allows us to determine the geometrical height of a surface profile, with an accuracy of a few nanometers, even when the material of the probe varies laterally. The interferometric profiler uses an acousto-optical deflector in such a way, that it produces two first orders of diffraction, beside the zero order. Due to the principle of the acousto-optical deflector, these two first orders wave slightly different frequencies. This makes it possible to apply the principle of heterodyne detection to measure the difference in phase of these two beams. From this, the phase profile of the scanned surface can be calculated. With the help of the micro-ellipsometer the material dependency the phase of the reflected light can be determined with a high lateral resolution. Therefore the probe beam is focused on the probe with a microscope objective to reach a lateral resolution which is the same as that for the profilometer. Due to the principle of this micro-ellipsometer the probe is analyzed for several angles of incidence simultaneously. With the data of the micro-ellipsometer it is possible to compensate the errors of the profiler's data caused by varying materials.
Toward nanometer accuracy measurements
John Kramar, Edward Amatucci, David E. Gilsinn, et al.
We at NIST are building a metrology instrument called the Molecular Measuring Machine (MMM) with the goal of performing 2D point-to-point measurements with one nanometer accuracy cover a 50 mm by 50 mm area. The instrument combines a scanning tunneling microscope (STM) to probe the surface and a Michelson interferometer system to measure the probe movement, both with sub-nanometer resolution. The instrument also feature millidegree temperature control at 20 degrees C, an ultra-high vacuum environment with a base pressure below 10-5 Pa, and seismic and acoustic vibration isolation. High-accuracy pitch measurements have been performed on 1D gratings. In one experiment, the MMM STM probe imaged an array of laser-focused, atomically deposited chromium lines over an entire 5 micrometers by 1 mm area. Analysis of the data yielded an average line spacing of 212.69 nm with a 5 pm standard uncertainty. The uncertainty estimate is derived for an analysis of the sources of uncertainty for a 1 mm point-to-point measurement, including the effects of alignment, Abbe offset, motion cross-coupling, and temperature variations. In another measurement, the STM probe continuously tracked a holographically-produced grating surface for 10 mm, counting out 49,996 lines and measuring an average line spacing of 200.011 nm with a 5 pm standard uncertainty.
Alignment strategy for metal layers after W-CMP
Sajan R. Marokkey, Juan Boon Tan, Yan Tse Tak, et al.
CMP of Tungsten (W) in the wafer process flow pose a major challenge for robust stepper alignment, particularly at tighter design rules for 0.25 micron and beyond. Traditional alignment strategies based on optical diffraction often fail to provide alignment accuracy and consistency, as dictated by the tighter overlay budgets. Intensity based image analysis methods prove to be more successful in the alignment of metal CMP layers. In addition the noise reduction and signal processing capabilities of alignment sensors, the condition of the mark after W-CMP is vital for achieving good alignment. In this paper we report results from various alignment mark designs. The marks are designed to reduce the impact of CMP process variations on stepper alignment. Three types of alignment methods using laser diffraction, bright field imaging and laser interferometry techniques were investigated. The brightfield imaging alignment schemes provide the best results using a narrow trench mark separated by wider islands. Details of stepper alignment signals and overlay measurement results corresponding to some of the relevant mark design sand process variations are included in the paper.
Photoresist removal using gaseous sulfur trioxide cleaning technology
Helene Del Puppo, Paul B. Bocian, Ahmad Waleh
A novel cleaning method for removing photoresists and organic polymers from semiconductor wafers is described. This non-plasma method uses anhydrous sulfur trioxide gas in a two-step process, during which, the substrate is first exposed to SO3 vapor at relatively low temperatures and then is rinsed with de-ionized water. The process is radically different from conventional plasma-ashing methods in that the photoresist is not etched or removed during the exposure to SO3. Rather, the removal of the modified photoresist takes place during the subsequent DI-water rinse step. The SO3 process completely removes photoresist and polymer residues in many post-etch applications. Additional advantages of the process are absence of halogen gases and elimination of the need for other solvents and wet chemicals. The process also enjoys a very low cost of ownership and has minimal environmental impact. The SEM and SIMS surface analysis results are presented to show the effectiveness of gaseous SO3 process after polysilicon, metal an oxide etch applications. The effects of both chlorine- and fluorine-based plasma chemistries on resist removal are described.
New Technology/New Approaches to Metrology
icon_mobile_dropdown
Matching of different pattern placement metrology systems: an example for practical use of different LMS systems in the inspection process for photomasks
One condition for efficient work with more than one metrology system, in one or several facilities, is the matching of the pattern placement metrology tools. The Siemens mask house uses tow pattern placement metrology systems, an LMS 2000 and an LMS IPRO system. Both system are correlated to the PTB standard and match in between. The different measurement performance of the two systems leads to different applications of their use. Both metrology system have ben matched with external facilities. Data will be presented on metrology correlation with Siemens' two 'second source' sites.
Regional contrast enhancement of SEM images
Yaron I. Gold
LUT-based image manipulations are global in the following sense: all pixels with the same value, regardless of their location in the image are mapped to a given new value. It is also 'context-free' in the sense that the mapping is independent of neighboring pixels' values. While LUT-based image manipulation is efficient, it has its limitations. For example, SEM images often have both very bright areas and very dark areas, and both may contain important details. LUT-based manipulation if often insufficient for easy perception of all details. In this paper we describe a method that was developed for the VeraSEM, Applied Material's new wafer metrology tool. THe method performs regional, rather than global contrast enhancement, while maintaining brightness relationship between regions. The method succeeds in bringing out much detail in regions of low and high brightness in the same image. However, since it is both local, and content sensitive, it is more expensive computationally than LUT-based manipulation. To obtain regional stretching, the image is divided into blocks, and the parameters for stretching are extracted separately per block. To avoid 'blockiness' of the final result, the parameter values are modified to provide a smooth transition between adjacent blocks. After this modification, each pixel has its own set of parameters, which are eventually used to compute its new value.