Proceedings Volume 3665

15th European Conference on Mask Technology for Integrated Circuits and Microcomponents '98

cover
Proceedings Volume 3665

15th European Conference on Mask Technology for Integrated Circuits and Microcomponents '98

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 23 April 1999
Contents: 1 Sessions, 24 Papers, 0 Presentations
Conference: 15th European Conference on Mask Technology for Integrated Circuits and Micro-Components 1998
Volume Number: 3665

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Session 1
Session 1
icon_mobile_dropdown
Future reticle demand and next-generation lithography technologies
Mask technology has often been considered an enabling for semiconductor fabrication. But today photomasks have evolved to a bottle neck in the every increasing integration process of semiconductor circuits. Regarding to the 1997 SIA roadmap there are very stringent requirements for mask making. Even with the momentary weak Asian market the worldwide demand for reticles will continue to grow. The anticipation of larger reticles has been discussed over years. What ever the reason for the need of larger reticles, the move to the 230 mm X 230 mm reticle size will provide size will provide unique challenges to both the mask equipment manufacturers and mask fabricator. Next Generation Lithography together with their mask techniques are in development and try to come into the market.
HOYA deep-UV EAPSM blanks development status
Masao Ushida, Hideo Kobayashi
Embedded attenuated phase-shift mask (EAPSM) is a feasible one as resolution enhancement technique (RET) for its simple structure and fabrication process. Several properties for the shifter film are required, such as adequate optical constants, a properly low transmittance at defect inspection wavelengths, chemical cleaning durability, DUV exposure durability naturally, as well as film pinhole and particle defect quality level. Several materials had been examined for EAPSM, and some of them are being practically utilized in the industry. We at HOYA also have been researching and developing an optimal material, and have been supplying MoSi-based EAPSM blanks for both i-line and deep UV application. This paper describes EAPSM blanks development history in the industry and the present status of HOYA deep UV EAPSM blanks functionality and quality as well as future improvement and development plan.
Overview of SCALPEL mask technology
Gregory R. Bogart, Anthony E. Novembre, Avi Kornblit, et al.
Scattering with angular limitation projection electron beam lithography is a true 4X reduction technology that capitalizes on high resolution capabilities from electron beam exposure and high throughput capability from projection.
PN and SOI wafer flow process for stencil mask fabrication
Joerg Butschke, Albrecht Ehrmann, Ernst Haugeneder, et al.
Two process flows for the fabrication of stencil masks have been developed. The PN Wafer Flow- and the SOI Wafer Flow Process. Membranes and stencil masks out of different 6 inch Si base wafers with 3 micrometers membrane thickness and a membrane diameter between 120 mm and 126 mm were fabricated. The membrane stress depending on the material property and doping level has been determined. First metrology measurements have been carried out.
Mask technology for EUV lithography
M. Bujak, Scott C. Burkhart, Charles J. Cerjan, et al.
Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.
Use of KLA-Tencor STARlight SL 300 for in-process contamination inspection to control reticle defect densities
Duane Dutton, Wayne P. Shen, Richard Yee, et al.
Control of defects on photomasks is becoming increasingly critical with smaller geometries, tighter specifications and optical proximity control (OPC). Additionally there are new phase shift (PSM) mask materials which are difficult, if not impossible to repair wit today's equipment. This paper reports on using the KLA-Tencor STARlight SL 300 in several applications to improve first pass defect levels on photomasks. Two tests were run in which fully exposed reticles were inspected at several points in the PBS process to determine which process caused hard chrome defects at final inspection. In the first test, of 23 final defects, 9 were first seen after exposure, 2 after develop and 12 after bake. In the second, of 9 final defects, 6 were seen on raw blank, 1 after exposure and 2 after develop. Also reported is the use of the SL 300 to assist in an iterative process/equipment improvement for a strip cycle, resulting in 0 post strip defects in clear areas >= 0.5 micrometers . Finally, raw mask blank inspection prior to writing is discussed. Data is presented on a lot of 10 plates in which 50 percent of the pates inspected did not mete the specification of 0 defects >= 1.0 micrometers . The use of the SL 300 to 'cherrypick' blanks for difficult layers is discussed.
Development of a new defect sensitivity monitor for advanced OPC reticle technology
Latest trends in optical lithography will dramatically change the way we need to look at defect printability and the impact that defects have on the performance of our devices. The prediction is, that linewidth variations will have the most severe impact, causing devices to perform under specification, or at least costing the manufacturers substantial photo limited yield by having to bin die in lower speed performance bins. A mask error enhancement factor may actually make defect print more severe in certain pattern, context, and linewidth variations across the pate will cause severe problems in the device manufacturing process to maintain ACLV at an acceptable level. Having to use RET technologies, such as OPC and PSM, may actually aggravate the printability problems. In this study, a special reticle design was used to investigate defect sizes, location and permutation, to evaluate: (i) defect sensitivity and capture in an advanced reticle inspection system, (ii) printability prediction using a sophisticated wafer image simulation software package, (iii) printability of 'traditional' vs. hidden linewidth error defects, (iv) the true CD impact of a given defect on LW performance using an advanced CD-SEM.
Inspecting the new generation of reticles using UV imaging
The manufacturing of advanced reticles for deep UV steppers has stressed the mask industry's writing, processing, inspection and repair capabilities. Meeting technology demands has been especially arduous for reticle defect inspection with the rapid evolution of both novel PSM materials and OPC geometries. Also, the switch to a 4x- reduction ratio and every-lower k1 wafer lithography has resulted in increased overall defect printability. To respond to these challenges, a new reticle inspection system with laser UV imaging has been evaluated and shown to achieve mask defect sensitivity of 150 nm and below on DUV EA-PSM and OPC masks.
Initial results from a Leica ZBA31H+ shaped E-beam mask writer located at the Photronics Advanced Mask Shop in Manchester, England
Stephen Johnson, Paul Marshall, Peter Osborne, et al.
Since production started at the Photronics site in Manchester, England, mask writing capability had been centered on laser based technology. The Manchester site has now taken delivery of its first e-beam system: the ZBA 31H+, manufactured by Leica Microsystems Lithography GMBH. The ZBA 31H+) system was designed for the production of reticles utilizing 250 nanometer design technology and is expected to play a key role in Photronics' future reticle development. The addition of an e-beam system to the current laser based technology, in this instance, has been driven by increasing customer demand and the requirement for reticles containing high resolution OPC structures. The ZBA 31H+) is a variable shaped spot, vector scan electron beam lithography system operating at 20 keV. Enhancements from the previous generation system include improved deflection systems, stage metrology, pattern data handling, and an address grid down to 10 nanometers. This system's specified performance enablers it to produce reticles designed to support semiconductor fabrication utilizing 250 nanometer design rules, and beyond, with high accuracy and productivity.
Ion projection lithography for IC manufacturing
Albrecht Ehrmann, Rainer Kaesmaier, Hans Loeschner
Since 1997, a MEDEA project funded by European governments has started which aims to proof the feasibility of ion projection lithography for IC production. An ion 4x reduction stepper is built within the project. The system consists of a multicusp ion source producing He ions, electrostatic lens electrodes, the mask unit, an in-situ beam adjustment unit, an off-axis wafer alignment system and a wafer stage. Before the tool is built, results about ion source capabilities and stochastic space charge have to be obtained. In parallel, a stencil mask technology fulfilling the requirements for the use in the ion projection tool is development.
Results from submicron CD metrology obtained with new I-line tools
Hans-Juergen Brueck, Sebastian Birkenmayer, Guenther Falk, et al.
It will be reported on technical details, present typical measurement results and the performance of new I-line Linewidth Metrology Systems. The tools represent the latest results of the recently existing cooperation between Leica Microsystems, Wetzlar and MueTec, Munich on the area of High Performance Measurement Tools for CD measurement on photomasks. Up to now only Tools for measurements in the region of visible light were available. In contrast to systems with visible illumination, I-line CD tools basically have a clearly raised optical resolution power. They show essentially better linearity of the calibration curves and considerably better repeatability of measurements on structures in the submicron area, compared with system which only work in the region of visible light. So now CD measurements on submicron structures can be carried out in a completely new quality. I-line measurement results will be conformed with some results in the region of visible light.
Pattern placement metrology tool matching within DPI's sites
Norbert Talene, Klaus-Dieter Roeth
The requirements of the 0.18 micrometers and 0.25 micrometers technologies lead to advanced specifications for the mask making technology in terms of pattern placement metrology, tighter than 52nm for the 0.25 micrometers generation and around 35nm for the next 0.18 micrometers generation. In addition, the tremendous demand regarding cycle time reduction, performance to delivery schedule, and technical complexity on products impose to the mask manufacturer like Dupont Photomasks Inc. (DPI) the necessity to mix and match products between all the DPI sites. From this perspective, the matching of all the pattern placement metrology tools of all DPI production sites is mandatory. In order to control the tight specifications, the strategy for metrology is to implement a unique grid for registration on a world wide base at DPI and to calibrate all metrology tools and all writing tools to this standard grid. All investigations were performed on the LEICA LMS IPRO tools using the new correction software form Leica Microsystems.
Development of an algorithm for monitoring pattern fidelity on photomasks for 0.2-um technology and beyond based on light optical CD metrology tools
Thomas Schaetz, Bernd Hay, Lars Walden, et al.
With the ongoing shrinking of design rules, the complexity of photomasks does increase continuously. Features are getting smaller and denser, their characterization requires sophisticated procedures. Looking for the deviation from their target value and their linewidth variation is not sufficient any more. In addition, measurements of corner rounding and line end shortening are necessary to define the pattern fidelity on the mask. Otherwise printing results will not be satisfying. Contacts and small features are suffering mainly from imaging inaccuracies. The size of the contacts as an example may come out too small on the photomask and therefore reduces the process window in lithography. In order to meet customer requirements for pattern fidelity, a measurement algorithm and a measurement procedure needs to be introduced and specifications to be defined. In this paper different approaches are compared, allowing an automatic qualification of photomask by optical light microscopy based on a MueTec CD-metrology system, the newly developed MueTec 2030UV, provided with a 365 nm light source. The i-line illumination allows to resolve features down to 0.2 micrometers size with good repeatability.
Effective multisite CD correlation to maximize high-end tool utilization
John W. Duff, John Allsop
The semiconductor industry continues to accelerate its pace following the SIA roadmap. Many technical and business issues are exacerbated by this acceleration. One such obstacle that faces the mask making community touches on both the business and the technical aspects of the industry's desire to pull in the target dates for each roadmap node. In order to provide the industry with adequate high end capacity on a global basis, the multi-site global photomask company must routinely exercise inter-site transfer for the purpose of leveling the manufacturing loads during periods of peak regional demand. A crucial part of inter-site transfer is to ensure that common standards are used, the deviation from those standards are understood, and a statistics based methodology for correlating metrology equipment is developed. Minimizing critical dimension correlation deltas between sites is critical to successful load leveling in an era of ever shrinking error budgets. This paper will explore the methods and practices used by Photronics to achieve routine inter-site measurements correlations whose precision far exceeds those of the best available standards. Both the statistical methods employed and the results from a large sample of production plates will be reported.
Overlay mapping of microlithographic pattern generators by means of grouped structures
Michael Arnz, Joachim Heppner, Werner Lessle
In accordance with the SA roadmap the overlay requirements for 'state of the art' DUV stepper systems had to be pushed down to 60 nm, which represents one third of the resolution. As a consequence, the mask contribution to this total budget can be estimated to fall below 15 nm on wafer level. Hence, there is a tremendous need for both enhanced mask-writing accuracy and appropriate overlay qualification tools. To qualify the stitching accuracy of e-beam mask-writers e.g., we apply a special mask design, composed by a defined ensemble of dense lines. The overlay information about the pattern generator frozen within such test-masks, will be obtained by measuring the phase alteration effect each 1st order diffracted beam suffers from translation of the grating structure. The overlay reproducibility 3(sigma) is smaller than 5 nm on wafer level. The absolute accuracy of this technique reaches the same order of magnitude. This will be checked by cross-correlating our results with corresponding scanning-based overlay measurements. With this method we take advantage of the fact, that one CCD-snapshot instantaneously delivers the full-field overlay information. Without scanning, i.e. without any stage oscillation or temporal drift effects, we measure the global overlay field. Line-edge roughness effects are averaged out by integration across the pixel area. The paper will present some of our test-results. The demand for 15 nm overlay accuracy could be really critical. For some different mask designs as well as mask-writing systems our investigations indicate the specific necessity for future improvements with respect to stages, temperature drift sensitivity, and possibly local heating effects.
Determination of residual stress and elastic constants of silicon open stencil masks for ion projection lithography
Artur Degen, Feng Shi, Eva Sossna, et al.
The Ion Projection Lithography is one challenge for a semiconductor technology, starting with sub micron structures, which are beyond the facilities of conventional UV lithography. Within this field of research one of the most critical aspects is the development of stencil mask, because the stress formation during the various process steps affects the critical dimensions of the structures to be written. In this paper different methods for the determination of residual stress and elastic constants of thin membranes of doped silicon are reviewed and additionally, a novel technique is presented. First experimental result show, that they are quite different from the values of the bulk material.
Pellicle-induced reticle distortion: an experimental investigation
Wen Chen, James A. Carroll, Glenn Storm, et al.
As semiconductor design rules decrease in size, total overlay performance requires a higher standard of the stepper and the photomask which affords a smaller error budget to each. Currently, photomask overlay assessment is done prior to pellicle attachment. However, the physical act of attaching a pellicle to a photomask imparts mechanical stress that distorts the reticle plane and changes the actual pattern placement from the design intent. With the advent of metrology tools capable of through-pellicle registration measurement, we are now able to assess and better characterize the effect pellicalization has on reticle distortion. The focus of this experimental investigation has been to quantify the incremental reticle distortion attributed to attaching the pellicle. To assess pellicle-induced distortion, both pattern registration and reticle flatness were evaluated. Two pellicle gasket materials were evaluated and one of the two materials was found to produce less reticle distortion. Relaxation of pellicle-induced reticle distortion after the pellicle is attached is also discussed.
Manufacturing an advanced process characterization reticle incorporating halftone biasing
As the semiconductor roadmap continues to require imaging of smaller feature son wafers, we continue to explore new approaches in OPC strategies to extend the lifespan of existing technology. In this paper, we study a new OPC technology, called halftone biasing, and its application on an OPC characterization reticle, designed by MicroUnity Systems Engineering, Inc. The RTP9 test reticle is the latest in a series of 'LineSweeper' characterization reticles. Each reticle contains a wide range of line width sand pitches, each with several alternative OPC treatments, including references cases, scattering bars, and fine biasing. One of RTP9's design requirements was to support very fine, incremental biases for densely-pitched lines. Ordinarily, this would dictate a reduced address unit and with it the costly penalty of a square-law increase in e- beam write time. RTP9 incorporates a new OPC strategy, called halftone biasing, which has been proposed to address this problem. Taking advantage of optical reduction printing, this technique applies a sub-resolution halftone screen to the edges of figures to accomplish fine biasing equivalent to using an address unit one-fourth of the size of the actual e-beam writing grid. The resulting edge structure has some of the characteristics of aggressive OPC structures, but can be used in areas where traditional scattering bars cannot be placed. The trade-off between the faster write times achieved and the inflation of pattern file size is examined. The manufacturability and inspectability of halftone-biased lines on the RTP9 test reticle are explored. Pattern fidelity is examined using both optical and SEM tools. Printed 0.18 micrometers DUV resist line edge profiles are compared for both halftone and non- halftone feature edges. The CD uniformity of the OPC features, and result of die-to-database inspection are reported. The application of halftone biasing to real circuits, including the impact of data volume and saved write time, is also discussed.
Hierarchical mask data preparation and special fracturing techniques in MGS
B. Buerger, Uwe Baetz, Klaus-Dietmar Kunze, et al.
MGS is a layout postprocessor software including a fracturing engine for Leica's ZBA e-beam writers. With the ZBA series including the new ZBA300 and its ability to write submicrometer masks for advanced technologies two main tasks have to be mastered in data preparation: (1) Processing of large and dense layouts. (2) Contribution to increased mask quality by optimized fracturing. These challenges are not restricted to the ZBA tools only; on the contrary they are of general interest for data preparation.
Geometrical E-beam proximity correction for raster scan systems
Nikola Belic, Hans Eisenmann, Hans Hartmann, et al.
High pattern fidelity is a basic requirement for the generation of masks containing sub micro structures and for direct writing. Increasing needs mainly emerging from OPC at mask level and x-ray lithography require a correction of the e-beam proximity effect. The most part of e-beam writers are raster scan system. This paper describes a new method for geometrical pattern correction in order to provide a correction solution for e-beam system that are not able to apply variable doses.
Characterization of inspection sensitivity on advanced OPC reticles
The inspectability of advanced OPC plates has been verified by successfully completing inspections of photomasks that have OPC throughout the design. The photomasks tested have varying OPC design strategies and degrees of OPC complexity. The defect capture ability has been characterized with classical verification masks like the DuPont Verithoro, and OPC programmed defect test reticle called OPC3, and defect capture occurrences on actual design with advanced OPC features. Printability simulations and test have indicated that mis-sized serifs can be a critical, printable defect class. Inspection results from the 750 nm primary feature size section of the OPC3 test reticle with 0.25 micrometers pixel indicate better than 0.25 micrometers sensitivity to oversized serif defects, better than 0.30 micrometers sensitivity to undersized serifs, and better than 0.21 micrometers sensitivity to misplaced serif line ends. Historically, small defects on OPC structures such as mis-sized serifs could be easily misclassified as a false defect by inspection operators. Defect review software was upgraded to improve the visualization and sizing of defects on OPC structures.
Development of an inductively coupled plasma etching system for 230-mm reticles
David A. Klein, John Donohue
The improved economics of larger chip/die sizes combined with the transition to 300 nm wafers will drive the photomask industry into the use of 230 mm reticles. This conversion is also anticipated to occur simultaneously with the introduction of automated mask handling and at the 0.15 micrometers to 0.13 micrometers technology generations requiring improved CD uniformities and smaller defect sizes. This paper will describe the development of a large area inductively coupled plasma source capable of processing 230 mm reticles. The use of Langmuir probe measurements performed on a fully adjustable prototype source with the intent to arrive at an optimum design for generation of a high density and uniform plasma will be discussed. An overview of an automated front end capable of handling both 6 inch and 230 mm reticles will be reviewed. The high plasma density along with independent ion energy control and low- pressure operation make inductively coupled plasma technology well suited to met the requirements of future wafer level generations. The use of a custom configurable cluster tool with an automated front end enables this system to adapt to the individual requirements of each photomask manufacturability facility.
Experience with EFQM assessment at Siemens Mask Shop
Werner Reindl, Siegfried Steuber
The EFQM Management Self-Assessment was applied to mask manufacturing and was found to be the driving process for business improvements at the mask shop. It offered an opportunity to learn about the organisation's strengths and especially the areas where improvement was necessary.
Investigation of lithography performance using multipass gray (MPG) with MEBES 5000
Robert L. Dean, David W. Alexander, Jan M. Chabala, et al.
Leading edge technologies require continually shrinking design grids due to the demands of decreasing minimum feature size and higher resolution. Using conventional raster-scanned exposure tools to place these patterns on photomasks result in longer write times, because linear decreases in address result in exponential increases in write time. This phenomenon can be compensated for by changes in writing strategies. Multipass gray is one method of drastically improving throughput at small addresses while retaining lithographic quality.