Proceedings Volume 3334

Optical Microlithography XI

Luc Van den Hove
cover
Proceedings Volume 3334

Optical Microlithography XI

Luc Van den Hove
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 29 June 1998
Contents: 19 Sessions, 107 Papers, 0 Presentations
Conference: 23rd Annual International Symposium on Microlithography 1998
Volume Number: 3334

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Phase-Shifting Masks
  • CD Control Scanners
  • Mask CD Effects and OPC I
  • Lithography Modeling
  • OPC II
  • Image Quality
  • Antireflective Layers
  • Process Optimization and Enhancement Techniques
  • Advanced Exposure Tools
  • 193-nm Issues and Alignment
  • Poster Session I: Enhancement Techniques
  • Poster Session II: CD Control
  • CD Control Scanners
  • Poster Session III: Advanced Masks
  • Poster Session IV: Process Optimization
  • Poster Session V: Reflection Effects
  • Poster Session VI: Simulation
  • Poster Session VII: Image Quality
  • Poster Session VIII: OPC
  • Poster Session IX: Exposure Tools and Subsystems
  • Process Optimization and Enhancement Techniques
  • Poster Session IX: Exposure Tools and Subsystems
Phase-Shifting Masks
icon_mobile_dropdown
Application of alternating phase-shifting masks to 140-nm gate patterning: II. Mask design and manufacturing tolerances
Hua-Yu Liu, Linard Karklin, Yao-Ting Wang, et al.
In this paper we present the results of experimental patterning 140 nm poly gates with double-exposure alternating phase-shifting masks (PSM) using a Nikon EX-1 (KrF, 0.42NA) stepper. We show that: systematic intrafield line width variations can be controlled within 10 nm (3(sigma) ), interfield variations across the wafer to within 6 nm (3(sigma) ), and total variation across the wafer held to within 15 nm (3(sigma) ), with a target k1 factor of k1 equals 0.237 (140 nm target gate lengths). We also present the results of studies addressing several issues related to the production application of alternating PSM's, including mask manufacturing tolerances and full chip PSM design capabilities. We show that, in comparison to conventional binary masks, alternating PSM's reduce the criticality of mask line width control and reduce the sensitivity to mask defects. Furthermore tolerance to PSM phase errors can be significantly improved by placing a chrome regulator between phase-shifters. Automatic, high-speed full chip design of alternating strong PSM is now possible.
Impact of coma on CD control for multiphase PSM designs
Regina T. Schmidt, Chris A. Spence, Luigi Capodieci, et al.
Alternating PSM applied selectively to transistor regions on the poly gate mask is one way to achieve smaller gate CDs and tighter CD control. When using multiphase PSMs we have observed, experimentally, a difference between the CDs of isolated lines when the phase shifter is on the right side compared to the left side (we have called this effect the PSM right-left effect). The effect is shown to correlate with lens coma and the magnitude of the effect is also a strong function of defocus. In this paper we present experimental data showing the magnitude of the effect and how it can be minimized by choosing optimum values of numerical aperture (NA) and partial coherence ((sigma) ). The magnitude of the effect within the stepper field is shown to correlate with measured coma values. The sensitivity of the effect to defocus was calculated. Aerial Image simulation was performed and found to predict the experimental behavior to within a factor of two. Variations in PSM design were explored using simulation. In general, the effect is reduced if the PSM layout is symmetrical. By comparing the sensitivity to coma of various PSM designs with the sensitivity of line pair structures on binary masks we were able to determine which designs had acceptable coma sensitivity.
0.18-um optical lithography performances using an alternating DUV phase-shift mask
Yorick Trouiller, N. Buffet, Thierry Mourier, et al.
The phase shift mask (PSM) is a key emerging technology thought to be extending 248 nm lithography. In this paper, we describe the lithographic performances of Shipley UV5 photoresist on SiOxNy Bottom Anti Reflective coating (BARC), using alternating PSM and ASM/90 Deep-UV stepper. Results on 0.18 micrometer design rules are presented: lithographic performances, comparison between PSM and binary mask, sub 0.18 micrometer performances ({1}) and the ultimate resolution of this technology are reported. To conclude we demonstrated the 0.18 micrometer lithography feasibility with alternating mask and KrF stepper, and showed that all the necessary tools are today available to achieve such goals.
Narrow-pitch contact array patterning technique for Gb DRAM using multi-phase-shifting mask
Takashi Nakabayashi, Koji Matsuoka, Shigeo Irie, et al.
Application of a multi-phase-shifting mask to hole arrays for giga-bit DRAM has been studied. Self-aligned contact plugs for a cell pitch of 0.38 micrometer under the bit-line contacts and the storage-node contacts have been formed at the same time by using a multi-phase-shifting mask with two different phase-shifters. Sufficient depth of focus (DOF) of 0.8 micrometer has been obtained. Furthermore, hole-shape distortion caused by focus offset can be suppressed under the off-axis illumination condition with a quadrupole aperture
Evaluation of phase-edge phase-shifting mask for sub-0.18-um gate patterns in logic devices
DongHo Cha, Jongwook Kye, Nakgeuon Seong, et al.
The speed of logic device is mainly dependent on gate length. To achieve process margin (DOF, E/T, etc.) and minimize On Chip Variation (OCV) of logic gate with sub 0.18 mm design rule, the need for phase-edge PSM technology that has the advantages of minimum resolution and Critical Dimension (CD) control has been increased. In this paper, performance and feasibility of phase-edge PSM technology were investigated. Using phase-edge PSM and positive resist process at DUV wavelength ((lambda) equals 248 nm), the possibility of 0.10 micrometer logic gate patterning was confirmed and 0.18 micrometer gate lines with DOF larger than 1.0 micrometer and plus or minus 6% CD variation were obtained. And design rules for phase-edge layout generation were extracted. Then the possibility of layout generation by the extracted design rules and layout conversion tool was confirmed. Also, the feasibility of mask CD uniformity and phase uniformity, and alignment between phase-edge mask and normal chrome (Cr) mask was investigated and confirmed. Considering lithographic performance and process feasibility, phase-edge PSM technology is a very promising method for patterning sub 0.18 micrometer gate in logic devices.
CD Control Scanners
icon_mobile_dropdown
CD control comparison of step-and-repeat versus step-and-scan DUV lithography for sub-0.25-um gate printing
In this paper, the intra-field critical dimension (CD) control of a KrF step&scan and step&repeat system are investigated and compared. The scanners are expected to replace the conventional steppers in the manufacturing of integrated circuit generation of 0.18 micrometer and beyond, because of the larger field size and the intrinsic improvement in intra- field CD and overlay control using comparable lens design, complexity and cost. The work has been focused on sub-0.25 micrometer critical dimensions. A reticle design for both top- down CD measurements and electrical linewidth probing has allowed massive data collection and investigation of the impact of the metrology technique in CD control studies. From this study, it can be concluded that the stepper and scanner exhibit similar CD control at best focus, but the scanner improves the CD control of the stepper if the considered focus range increases. The CD control is governed by the reticle CD non-uniformity. Focus budget calculations indicate that reticle CD ranges of 40 nm (4x) are needed to bring the CD control of 0.2 micrometer grouped lines within acceptable ranges for realistic gate levels. For isolated lines, dedicated deep-UV resists and resolution enhancement techniques will be needed on top of this to obtain similar CD control.
Effect of stage synchronization error of KrF scan on 0.18-um patterning
Takayuki Uchiyama, Takeo Hashimoto, Masashi Fujimoto, et al.
The stage vibration effect on imaging performance, such as DOF and CD uniformity is evaluated experimentally and compared with simulation analysis. Various kinds of 0.25 - 0.18 micrometer patterns are investigated by using KrF excimer scanner with 0.6 NA and 0.75 partial coherency and two types of chemically amplified positive resists. In the case of a standard resist for 0.25 micrometer level patterning, the CD at the best focus changed and the DOF decreased rapidly with increasing moving standard deviation (MSD) in 0.18 micrometer level pattern formation. Allowable MSD value of L&S pattern was estimated to be around 25 nm. To improve the stage synchronous error margin, the application of a high resolution resist was effective on L&S and isolated space patterns (about 40 nm), but showed little improvement for isolated line and hole patterns. Therefore, totally allowable MSD value was still about 30 nm. In particular it was found that both isolated line and hole patterns were very sensitive to stage vibration effect. Strict stage control has to be required for 0.18 micrometer patterns even if the high resolution resist is used.
Intrafield critical dimension variation using KrF scanner system for 0.18-um lithography
Causes of intra field critical dimension variation using KrF scanner for 0.18 micrometer lithography have been investigated. Scanner is different from traditional stepper system. Scanner might have synchronization error, which results in degrading contrast over full intra field. Aberration of illumination optics brings about coherence difference between field center and edge. In a scanner system, vertical patterns (cross scan direction) are geometrically tangential, and horizontal patterns are sagittal. Such a H/V different ray tracing results in horizontal pattern having better contrast than vertical one under diffraction limited design rule patterning. Mask error can be a very critical issue in 4X system. Focus drift and exposure dose change during scan exposing result in intra field CD variation, and some stray light is a cause of intra field CD variation also.
Mask CD Effects and OPC I
icon_mobile_dropdown
Lithographic effects of mask critical dimension error
Alfred K. K. Wong, Richard A. Ferguson, Lars W. Liebmann, et al.
Magnification of mask dimensional error is examined and quantified in terms of the mask error factor (MEF) for line and hole patterns on three types of masks: chrome-on-glass (COG), attenuated phase-shifting mask (PSM) and alternating PSM. The MEF is unity for large features, but increases rapidly when the critical dimension (CD) is less than 0.5 (lambda) /NA for line-space patterns and 0.75 (lambda) /NA for contacts. In general dark-field spaces exhibit higher sensitivity to mask dimensional error than light-field lines. Sensitivity of attenuated PSMs is similar to COG masks, even for applications in which attenuated PSMs provide benefits in process latitude. Alternating PSMs have the lowest MEF values. Although the MEF has only a slight dependence on feature nesting for contacts, dense lines and spaces exhibit markedly higher MEF values than isolated features. The MEF of a 0.35 (lambda) /NA isolated line is 1.6 whereas that of a dense line of the same dimension is 4.3 illumination is effective in reducing the mask error sensitivity of dense lines. Dose variation causes changes in the MEF of contacts but has little effect on line-space features; focus error degrades (increases the value of) the MEF of both pattern types. A high diffusion and low contrast photoresist process also worsens the MEF. Consequences of mask CD error amplification include tightening of mask specification, design grid reduction, shift in optimal mask bias and enhanced defect printability.
Lithography of 180-nm design rule for 1-Gb DRAM
Dongseok Nam, Junghyun Lee, Chang-Hwan Kim, et al.
Optical lithography is the most fundamental technology for the development of 1 Gbit DRAM device. As a current status, KrF lithography is a powerful candidate for 180 nm generation because of relatively high cost of ArF lithography and its untimely applicability to mass production. In this paper, we showed that the optimized OAI system with large quadrupole offset and small opening could improve the resolution and process margin in the photo process of 180 nm level DRAM devices. We also demonstrated what the effect of CD amplification factor ((alpha) ) was related to the mask CD control and resist tone under the optimized OAI system. The result shows that the combination of the optimized OAI system and positive tone resist can give rise to the reduction of (alpha) from 4.5 to almost 1 and provide a reasonable margin.
Reduction of mask-induced CD errors by optical proximity correction
The critical dimension (CD) tolerance specifications for masks have not only been required to keep up with the unrelenting drive of downscaling and a shift from 5X to 4X reticles, but will soon have to deal with lithographic magnification of mask CD errors. Nonlinearities in both the imaging system and resist response will exacerbate CD errors in the mask. A pupil filtering technique has been proposed to reduce the optical component of mask error magnification, but this is only effective for dense features. This paper describes a possible method of reducing the effect of mask CD errors for isolated features. Sub-resolution assisting features or outriggers are used to reduce proximity effects and to improve the depth of focus of isolated lines. We have demonstrated that correlated errors in lines and associated outriggers can reduce the impact of mask CD errors. The experiments used to verify this effect in 248 nm lithography also demonstrated nonlinearity in the resist that increased the mask error magnification.
Subresolution assist feature and off-axis illumination optimization for 200- and 240-nm contact windows using 248-nm lithography
Pat G. Watson, Raymond A. Cirelli, Allen G. Timko, et al.
Sub-resolution assist features, coupled with appropriate off- axis illumination conditions, have been studied with the goal of fabricating 200 and 240 nm contact windows with uniform critical dimensions over a range of pitches and with large depths of focus (DOF). Results show that 240 nm isolated contacts without assist features possessed a useful DOF of less than 0.4 microns. The same features with 140 nm assist slots on each window edge, located 190 nm away, possessed a DOF of over 0.8 microns, using quadrupole illumination. Soft quadrupole illumination, where a mixture of quadrupole and conventional illumination is employed, yielded nearly the same DOF as quadrupole and printed both semi-dense and isolated contact windows near their optimum size as well. Contact holes, 200 nm wide, have been printed with smaller sub- resolution features, soft quadrupole illumination, and higher performance resists with a DOF of over 0.6 microns using a stepper with a numerical aperture of 0.53.
Lithography Modeling
icon_mobile_dropdown
Revalidation of the Rayleigh resolution and DOF limits
Currently, the fundamental relationships which establish the limits to optical imaging appear useful only as comparative metrics for microlithographic applications. Furthermore, with the continual advances in optical lithography, the validity of such 'rules-of-thumb' become suspect. A set of universal equations would be useful but additional description and expansion beyond the Rayleigh definitions is needed for application to current technologies. Relationships which govern the limits of resolution and focal depth are presented here in order to develop a more complete description for various lithographic imaging situations. Expanded Rayleigh definitions can then allow for insight into fundamental limitations and scaling for conventional partially coherent imaging, imaging with off-axis illumination, and phase-shift masking. Depth of focus relationships are also expanded to describe the distribution of diffraction orders over the lens pupil and are modified to accommodate feature pitch and partial coherence. Such analysis can allow for a more thorough understanding and prediction of performance for a given lithographic technology.
Quasi-physical model for fast resist contour simulation: importance of lens aberrations and acid diffusion in LSI pattern design
Hiroshi Fukuda, Keiko T. Hattori
The aberration in optics and acid diffusion in resist films have a great influence on proximity effects in optical lithography. Our analysis clarified that (1) a local (random) pupil-phase variation (higher-order aberration) degrades imaging performance under highly coherent illumination often used with periodic phase-shifting masks, and (2) in some positive-tone chemically amplified resists, the non-Fickean diffusion process changes effective image distributions, depending on the patterns features and mask tonality. Although the latter has a potential to achieve high resolution capability for isolated bright features, these effects generally pronounce proximity effects and make their correction difficult. Simple modeling of these effects and their simulation implementation are also discussed.
Lithographic process simulation for scanners
Andreas Erdmann, Michael Arnz, Mireille Maenhoudt, et al.
In scanner systems wafer and reticle move continuously with respect to the projection optics. This movement across the image field results in varying lateral shift and focus positions and in an averaging of aberrations from different field positions of the projection system. Several approaches for the effective simulation of these effects are discussed. Based on simulated and experimental data, scanner effect are quantified and compared to results of static stepper exposure.
Lithography simulation employing rigorous solutions to Maxwell's equations
A method of obtaining rigorous solutions to Maxwell's equations for the transmission of light through a photomask, both chrome-based and phase-shifting, is presented. The electromagnetic simulator will predict the transmission of light through the mask taking into account material properties, width, and thickness of the structures on the mask. This electromagnetic simulation will then be incorporated into the software package PROLITH/2 for complete simulation down to the resist level. Examples of lithography simulation using these rigorous solutions will be presented.
LAVA: lithography analysis using virtual access
Chang Hsu, Rona Yang, Jeffery Cheng, et al.
A web site allowing remote operation of the SPLAT, SAMPLE, TEMPEST and SIMPL simulators has been developed to promote collaborative work on lithography and in particular on EUV technology. Based on the extensive use of platform independent programming languages, LAVA is accessible from all modern computing platforms. The software supporting the web site is available to others in creating similar web site sites and in making simulators such as those from other universities 'play' together. The web site explores new paradigms in remote operation of lithography simulators and introduces more application-oriented modes of interaction with technologists. The LAVA web site URL is http://cuervo.eecs.berkeley.edu/Volcano/
OPC II
icon_mobile_dropdown
0.25-um logic manufacturability using practical 2D optical proximity correction
Simplified 2-D Optical Proximity Correction (OPC) algorithms have been devised, calibrated and implemented on a state-of- the-art 0.25 micrometer random logic process in order to reduce metal line pullback on critical layers. The techniques used are rules-based, but are characterized by fast and robust data conversion algorithms, calibrations based on actual process data improvements in reticle manufacturability, and inspectability of the resultant OPC corrected reticles. Application to local interconnect and metal patterning has corrected fundamental yield-limiting mechanisms in these levels.
Practical approach to control the full-chip-level gate CD in DUV lithography
Chul-Hong Park, Yoo-Hyon Kim, Hoong-Joo Lee, et al.
A practical method to control the full chip level gate CD of a logic device with a 0.28 micrometer minimum design rule in DUV lithography is evaluated using an automatic optical proximity correction (OPC) software with empirical modeling. The CD variation on a chip results from the proximity and uniformity CD errors. The proximity error occupying more than 40% of total CD variation is caused by the pattern geometry, resist process, and mask CD error. In this paper, the OPC has been applied to line width narrowing and line-end shortening. The line-end shortening has been corrected by only the line- end extension instead of adding serifs which can be mistaken for defects during mask inspection. From this work, 43% reduction of the CD variation induced by proximity in the 3(sigma) standard deviation has been achieved at the 14 nm correction unit. Furthermore, the focus margin of 1.2 micrometer after OPC has been guaranteed. The results of line- end correction show that the line-end extension correction is sufficient to correct the overlap mismatching between the active and gate layers.
Accurate proximity correction method with total-process proximity-based correction factor (TCF)
Kohji Hashimoto, Satoshi Usui, Shigeru Hasebe, et al.
A novel, accurate, one-dimensional process proximity correction method is proposed. The method is based on the relationship between a line width variation and the bias which should be corrected. This relationship is characterized by the Total process proximity-based Correction Factor (TCF) which is defined as the slope of the wafer CD variation curve to the mask design CD under a constant pattern pitch condition. At a TCF greater than 1, patterns should be corrected with values less than the line width deviation. By applying the new PPC method to 0.25 micrometer logic gate patterns, a correction rule table was experimentally obtained. The new PPC mask fabricated with the correction rule exhibited a significant improvement over the conventional correction technique in the logic device.
Applications of enhanced optical proximity correction models
Jack Q. Zhao, Joseph G. Garofalo, James W. Blatchford, et al.
The accurate prediction of relevant optical and other processing effects is the essential first element of optical proximity effect (OPC) methodologies. A quasi-empirical modeling technique has been devised. Starting from standard aerial-image energy deposition, an exponential transfer function is employed to account for saturation effects. This is then followed by a double-Gaussian diffusion convolution. Finally, a novel 2-dimensional log-slope model was devised to better predict some DUV processes. The model parameters are derived from a few empirical measurements and a fitting process. The calibrated model is then used by a rule-based OPC package to correct a variety of structures. Efficient verification techniques suitable for large area designs are introduced.
Process proximity correction using an automated software tool
The pattern transfer process from the chip layout data to the structures on the finished wafer consists of many process steps. Although desired, none of these steps is linear in all aspects of the pattern transfer. Approaching the process limits due to the ever-shrinking linewidth, the non- linearities of the pattern transfer clearly show up. This means, that one cannot continue the practice to summarize all process influences into one bias between the data used for mask making and the final chip structure. The correction of process non-linearities is a necessity. This correction is usually called optical proximity correction (OPC), although not all effects intended for correction are of optical origin and/or not all these are effects of the neighborhood. We therefore propose to use the term PPC (process proximity correction). This paper reports our experiences with the application of OPTISSIMO, a software tool developed to perform automatically OPC/PPC for full chip designs. First, we provide a definition of PPC, which in our view has to correct all non- linearities of the pattern transfer process from layout data to the final electrically measured structures. Then, the strategy of the OPC/PPC tool OPTISSIMO, a software package to perform PPC based on process simulation, is discussed. We focus on the data handling strategy and on the process modeling of the tool under evaluation. It is shown, that full chip OPC/PPC is practicable using a well-designed hierarchy management system combined with a pattern library. Finally, it is demonstrated, that a model-based OPC/PPC tool is by definition a process simulation tool, that is able to perform all simulation tasks (like defect printability) at reasonable accuracy.
Image Quality
icon_mobile_dropdown
Optical lens specifications from the user's perspective
Christopher J. Progler, Donald C. Wheeler
We develop three main topics in support of further understanding and specifying wavefront aberrations from the lithographer's point of view. The concept of the Magnitude Weighted Aberration is introduced providing a convenient and rapid numerical method for assessing the interaction of wavefront aberrations with reticle pattern and illumination mode. This analysis suggests that the advanced lithographic lens user will require unprecedented correction on the total wavefront aberration to realize the full potential of the imaging system in high yielding integrated circuit fabrication. Specific details on the required aberration control are provided with a Monte Carlo tolerancing analysis of the RMS wavefront error using lithographic CD control and pattern placement as quality metrics. Patten placement proves to be as sensitive to wavefront aberrations as CD control forcing a tight specification on the asymmetric aberration components even when a large focus and exposure latitude is available. Based on the wavefront specifications generated it is imperative that the lithographic lens user be able to independently de-couple and quantify the state of certain aberration coefficients. Toward this goal, we demonstrate an aberration reverse engineering procedure using experimental pattern placement error as the input response.
Aberration evaluation and tolerancing of 193-nm lithographic objective lenses
Described here is an approach to aberration tolerancing utilizing aerial image parameterization based on photoresist capability. A minimum aerial image metric is determined from either a characterized resist process or desirable resist attributes and includes definition of resist exposure, diffusion, and development properties. Minimum aerial image requirements can then be correlated to resist performance to allow for the evaluation of various feature sizes and types. This allows, for example, the prediction of lens performance through focus, across the field, and in the presence of small levels of internal flare. Results can then be compared with more conventional optical metrics such as Strehl ratio, partial coherence contrast, or image threshold CD. Results are presented for three commercial small field catadioptric 193 nm lithographic lenses. Aberration levels for each lens at several field positions and at several wavelengths has been described using 37. Zernike polynomial coefficients. Minimum aerial image requirements have been correlated to resist performance to allow the evaluation of various feature types, a unique situation when no mature 193 nm resist process existed. Additionally, the impact of modified illumination on aberrations is presented.
Pupil illumination: in-situ measurement of partial coherence
Joseph P. Kirk, Christopher J. Progler
Lithographic tool performance depends in part on the partial coherence (how the lens pupil is illuminated) during photoresist exposure. The partial coherence dial is set prior to exposure and it is usually assumed it correctly indicates actual pupil illumination. The validity of this assumption is tested in situ by utilizing a 'negative pinhole,' an occluding spot on the back side of a clear reticule, that forms a negative image of the pupil illumination. A quantitative sequence of dose contours is obtained from the resist boundaries of images formed by exposing positive photoresist through a clearing dose sequence. These contours are pieced together and generate a source file describing the illumination distribution that is used as input to a lithography simulator to determine tool performance under actual operating conditions.
Characterization of spatial coherence uniformity in exposure tools
Ilya M. Grodnensky, Etsuya Morita, Kyoichi Suwa, et al.
A novel technique to characterize variations of the spatial (partial) coherence (sigma) across the image field in modern steppers and scanners has been developed and experimentally tested. It is based on the high sensitivity of the length L of macroscopically large diamond-shaped marks printed in photoresist to (sigma) variation. Variations in the (sigma) value across the image field lead to variations in the length of marks printed at different image field locations. The mark lengths are measured rapidly with high accuracy by a built-in optical system and then converted into (sigma) values using the calibration dependence L((sigma) ) measured in the same exposure tool. Simulation and experimental studies show that the level of projection lens aberrations in modern Nikon tools have practically no effect on (sigma) measurements obtained with this technique. Our results demonstrate that in the conventional illumination scheme, (sigma) distribution can be measured with an accuracy of 2.5%. The main advantage of the presented method is that (sigma) variation over the image field is characterized by the exposure tool itself, avoiding expensive and time-consuming SEM measurements. Moreover, since the measurement procedure is based on the wedge-shaped marks and laser scanning system currently used in Nikon tools for automated focus detection, implementation of the technique does not require any hardware or software modification.
Evaluation of coma aberration in projection lens by various measurements
Takashi Saito, Hisashi Watanabe, Yoshimitsu Okuda
In this paper, evaluation of lens coma aberration in projection lens of a stepper by various measurement methods is described. The measurement methods were based on asymmetry of the printed images caused by coma aberration. We used three measurement methods. They are conventionally used method and two new methods. The three methods are: (a) measuring the CD difference between both ends of line-and-space, (b) observing the side lobe patterns using an attenuated phase shifting mask (a-PSM), and (c) measuring the registration error using overlay patterns that have assist patterns. These measurement patterns are printed on Si wafers by a KrF stepper (NA equals 0.55) with various (sigma) . The dependence of the feature size and (sigma) on the influence of coma aberration was easily measured by these methods. As each method has merits and demerits, proper use of each method is necessary. Then the influence of coma aberration was evaluated by method (a). The influence of coma aberration had two components and they were due to primary coma aberration and de-centering coma aberration respectively. We estimated the influence of coma aberration by simulation and got good agrement with the experimental results. The measurement methods we demonstrated here are applicable for lens evaluation of steppers by the users.
Antireflective Layers
icon_mobile_dropdown
ArF excimer laser lithography with bottom antireflective coating
Shinji Kishimura, Makoto Takahashi, Keisuke Nakazawa, et al.
In ArF excimer laser lithography, the bottom antireflective coating (BARC) technique is essential in inhibiting the effect of interference and reflective notching. We investigated the antireflective effect of commercially available organic BARCs, that had originally been designed for KrF and i-line lithography, and also the patterning characteristics of ArF resists with BARCs. The refractive indices of various materials were measured with a spectroscopic ellipsometer. The real part (n) and the imaginary part (k) of the complex refractive index at 193 nm were 1.4 to 1.7 and 0.1 to 0.8 respectively. Almost all the materials had sufficient antireflectivity at 193 nm. We investigated the patterning characteristics of chemically amplified ArF positive resists with suitable BARC materials. The resolution, the depth-of- focus of patterns below 0.16-micrometer lines and spaces, and the exposure latitude were improved and good critical dimensional control over topography was achieved by using BARC. An acceptable profile after gate structure (BARC, W-Si, and Poly-Si) etching could be obtained under the typical etching conditions used for KrF resists.
Bottom-ARC optimization methodology for 0.25-um lithography and beyond
Maaike Op de Beeck, Geert Vandenberghe, Patrick Jaenen, et al.
This paper reports on an optimization methodology for BARC/resist processes in order to obtain best CD-control on various substrate topographies. A selection of resist and BARC materials is studied by means of simulations and experiments. Two BARC properties, turned out to be of major importance: planarization effects on topography and etch behavior. The topography itself is very important too: step height and lateral dimensions have a severe influence on CD control. Based on a new evaluation technique, the use of topographical swing curves, the optimum thickness of the BARC layer and of the resist layer are determined.
Inorganic antireflective coating process for deep-UV lithography
Qizhi He, Wei W. Lee, Maureen A. Hanratty, et al.
Antireflective coatings (ARCs) have been used to enhance IC lithography for years, however, many conventional bottom ARCs can no longer maintain acceptable linewidth control, cannot meet stringent deep-UV (DUV) photoresist processing requirements, and increase the etch complexity. In this paper, we report the development of an inorganic ARC for DUV lithography in sub-0.25 micrometer advanced device applications. Plasma-enhanced chemical vapor deposition (PECVD) is employed to deposit a dielectric film silicon oxynitride (SixOyNz) with specific optical properties. The three optical parameters of the SixOyNz film: refractive index n, extinction coefficient k, and thickness d are specifically designed to ensure that the reflection light that passes through the ARC/substrate is equal in amplitude and opposite in phase to the reflected light from the resist/ARC interface. The reflection light is canceled by destructive interference and therefore photoresist receives the minimum substrate reflection wave. Using this technique, we have successfully patterned features at 0.25 micrometer and below. The dielectric film can not only function as an ARC layer, but also serve as a hardmask for the pattern transfer etch process. With an aggressive etch bias process, linewidths down to 0.60 micrometer poly-Si gate are achieved with good linewidth control (3(sigma) less than 12 nm) and a near perfect linearity. For the marginal metal etch resistance of DUV photoresist, the designed SixOyNz is effective in imparting more etch resistance and suppressing metal substrate reflection. Excellent optical uniformity of the n, k and thickness d of the SixOyNz ARC is obtained with a manufacturable PECVD deposition process.
Influence of underlayer reflection on optical proximity effects in sub-quarter-micron lithography
Atsushi Sekiguchi, Fumikatsu Uesawa, Koichi Takeuchi, et al.
The relationship between the optical proximity effect (OPE) and the underlayer reflection has been investigated by using negative and positive resists in sub-quarter-micrometer lithography. A new evaluation method that uses the center exposure dose of the ED-window (1-2) is been proposed. This technique takes the manufacturing margin into consideration and can be used to obtain the common ED-window of isolated and density patterns. The negative resists show a small critical dimension variation between isolated and density lines (CD bias) with the most suitable exposure dose and best focus conditions. However, the common ED-window of the isolated and density patterns is poor in terms of the manufacturing margin. Furthermore, the (sigma) dependence of the negative resist is too weak to improve the manufacturing margin. The effect of the underlayer reflection on the CD bias of the negative resist is significant in our experiment. On the other hand, the positive resist shows strong (sigma) dependence. Because the influence of the underlayer reflection on the positive resist is small, it is important to optimize (sigma) when improving the CD bias for the positive resist. In order to compare the negative and positive resists under equivalent conditions, a resist development simulation was used. The simulation results show the negative resist could be capable of high performance.
Deep-UV reflection control for patterning dielectric layers
Ramkumar Subramanian, Gurjeet S. Bains, Christopher F. Lyons, et al.
This paper describes the results of CD control studies on a dielectric layer that has both dense & isolated trenches and dense contact holes. Both top and bottom anti-reflective coatings were explored as well as the standard process without ARC. All wafers had a standard logic technology process flow and had been through Chemical-Mechanical Planarization prior to patterning. Stepper exposure conditions were varied in the form of partial coherence to obtain maximum depth of focus and exposure latitude. The results of this study were characterized in the form of a CD process window in which Exposure Dose was plotted vs. Defocus for all the 3 patterns i.e. dense & isolated trenches and dense contact holes. The effect of BARC etch was also explored.
Process Optimization and Enhancement Techniques
icon_mobile_dropdown
Simulation and experimental evaluation of double-exposure techniques
Mark O. Neisser, Antoinette F. Molless
The process windows and capabilities of double exposure techniques with binary and attenuated masks are explored using simulation and experiment, including the effects of resist properties, illumination conditions and overlay error. Here it is shown that by using a low partial coherence factor (sigma) for the two exposures, the total window is considerably improved over that obtained using higher partial coherence illumination. We call this process ORAMEX, which stands for Ordinary Resist And Multiple EXposure. It was found that the process window for nested lines and spaces using ORAMEX is considerably better than that for conventional illumination. This is shown for aerial images and for aerial images plus a resist model with contrast and diffusion length similar to that of state of the art Deep UV resists. In fact, the total process windows found for ORAMEX show good process latitudes for both dense and isolated features, with ORAMEX usually enhancing dose latitude more than single exposure off axis illumination does. Overlay errors are found not to affect the process window for individual features. However, they do affect the common window for every other line (in positive resist) but not spaces. It was also found that using attenuated masks instead of binary masks further improves the process window and resolution of ORAMEX. Experimental results agree with simulation and show a process window for 150 nm lines and spaces with over 0.4 micrometer depth of focus and 15% dose latitude in 0.6 micrometer of resist using ORAMEX and chrome on glass masks. Using attenuated masks and ORAMEX a similar process window (0.4 micrometer DOF and 16% dose latitude) was obtained for 125 nm lines and spaces. Both results were obtained on a 0.6 NA Deep UV stepper using commercial positive resist.
Illumination pupil filtering using modified quadrupole apertures
Off-axis illumination schemes have been developed that can enhance both the resolution and focal depth performance for an optical exposure tool. One approach introduced modifies the illumination profile, filling the condenser lens pupil with weak Gaussian quadrupoles where energy is distributed within and between poles. This method has demonstrated better control of DOF and proximity effect for a variety of feature types. Other possibilities also exist. Presented here are approaches to illumination modification through use of condenser lens masking apertures, fabricated as attenuating fused silica reticles which are inserted at the lens pupil plane. Application of this technique for use in high NA 248 nm and 193 nm exposure tools is shown. For each case, optimization of illumination profiles has been conducted. Optimized source files have been converted to halftone (dithered) masking files for electron beam patterning on fused silica with chromium and anti-reflective (AR) films. Analysis of these modified illumination techniques in terms of resolution, focal depth, throughput, and aberration performance is also presented.
DUV stability of carbon films for attenuated phase-shift mask applications
Alessandro Callegari, Katherina Babich, Fuad Doany, et al.
The UV stability of a-C:H films was investigated by irradiating them with a 248 nm excimer laser or a DUV lamp with a 248 nm filter. Both transmittance and phase angle of the film at 248 nm were measured at different UV dose intervals up to a cumulative dose of 10,000 J/cm2. We found that film stability was dependent on processing conditions. Excellent stability was achieved when the a-C:H films were sputtered from a graphite target in a Ar/hydrocarbon process gas mixture with the substrate held at an rf bias. If no substrate bias was used, films were stable only up to a maximum of 1,000 J/cm2 for a nominal transmittance of about 6%. These instabilities were attributed to adsorption of oxygen on the film and its subsequent diffusion into the films. X-ray photoelectron spectroscopy (XPS) shows that under DUV irradiation the oxygen content increases from 13 to 29 at.% on the carbon surface. Secondary ion mass spectrometry (SIMS) shows also oxygen diffusion into the carbon film. It appears that the oxygen first removes the graphitic content since film transmittance was found to increase. It is believed that rf bias sputtering results in denser films that are more impervious to oxygen penetration and hence more durable to this UV assisted oxidative degradation process. The sputtered films with no substrate bias were found stable when irradiated in a nitrogen atmosphere.
Advanced Exposure Tools
icon_mobile_dropdown
New projection optical system for beyond 150-nm patterning with KrF and ArF sources
Two types of new optical system for 150 nm lithography are studied. One is the system with KrF source and high numerical aperture (NA), the other is the system with ArF source. By aerial image simulation, the adequate NA of each projection lens is searched, and the value was 0.68 for KrF source and 0.60 for ArF source. Then the projection lens is fabricated and evaluated. The results are almost same as those of simulation.
Performance of an i-line step-and-scan system for sub-0.25-um mix-and-match applications
Peter van Oorschot, Bert Koek, Jeroen van der Spek, et al.
Step & Scan technology will be used for the next generation of semiconductor lithography tools. This technology has matured over the last year, and results from several DUV tools have been reported. For economical mass production in sub 0.25 micrometer applications, DUV and i-line lithography have to be combined (mix and match). This paper reports on the performance of a new high throughput, high resolution i-line Step & Scan system. The system has a 0.65 NA, 4X projection lens which, together with the AERIALTM illuminator, provides a resolution of at least 0.28 micrometer. The identical field sizes and the Step & Scan principle, result in a matched machine overlay, which is comparable to matching only DUV Step & Scan systems.
Imaging performance of scanning exposure systems
Ryuichi Ebinuma, Kazunori Iwamoto, Hiroaki Takeishi, et al.
Relative position between the projected image on the wafer and the wafer itself changes during exposure. Factors of change are, for example, stage control error, difference of scanning direction between wafer stage and reticle stage (skew) and distortion of projection optics. We can define a kind of probability density function (PDF) concerning these changes of relative position. Fourier transform of this PDF is the transfer function of image transformation by relative motion. In this paper, we call this transfer function MoTF. The modulation of MoTF becomes a barometer of image contrast and the phase of MoTF gives position deviation (distortion). By analytical study of MoTF, standard deviation and expected value of said PDF are found to be the key parameters. Derived approximate equation in this paper agree with a computer simulation result of image contrast deterioration by vibration. With these studies, we can establish adequate specifications of scanning stage control demanded by imaging performance. Canon has developed a new stage structure for scanning exposures. By this structure the wafer stage is separated from main body on which projection optics and measurement systems are mounted so that reaction forces of stage acceleration can not be transferred directly to the maim body. With this structure we achieved excellent stage performance which has achieved imaging performance below 0.18 micrometer with high speed scanning.
Characterization of a next-generation step-and-scan system
Timothy J. Wiltshire, Joseph P. Kirk, Donald C. Wheeler, et al.
Deep-ultaviolet (DUV) step-and-scan projection systems have been increasing in semiconductor manufacturing importance in recent years. IBM and other semiconductor manufacturers have made substantial use of 0.50 numerical aperture (NA) step-and- scan systems for production resolutions down to approximately 250 nm resolution. This paper describes the initial system characterization and product performance of a next generation, 0.60 NA scanner system in early semiconductor production.
Laser pattern-generation technology below 0.25 um
The projected rapid reduction in mask minimum feature size from the 250 nm to the 100 nm wafer generation will drive laser pattern generators to deep UV wavelengths. Commercially available sources exist in the 250 nm wavelength region using nonlinear optical materials to frequency double longer wavelength laser lines. Nonlinear optical materials, such as SBBO and KBBF, exist for wavelengths below 200 nm but they have not been commercialized. Second harmonic generation in intracavity or mode-locked, external resonant-ring laser configurations can be used to produce sub-200 nm radiation. Existing system architectures are shown to be easily modified to use pulsed sources with a high repetition rate. Advances in nonlinear optical materials, laser design, and system architecture will ensure that laser pattern generation will be an effective maskmaking technology for the next decade.
193-nm Issues and Alignment
icon_mobile_dropdown
Assessment of optical coatings for 193-nm lithography
We present an assessment of antireflective coatings for 193-nm lithography. Coatings from nine suppliers were exposed in a nitrogen ambient for up to 1.5 billion pulses at 15 mJ/cm2/pulse at 400 Hz. Sensitive metrology, developed for this study, included reflectance/transmittance measurements, in-situ ratiometric transmission measurements, and interferometric calorimetry for absorption measurements. The coatings from at least two suppliers withstood greater than 1 billion pulses with no observable degradation. Catastrophic damage observed on some samples included blistering and a dramatic transmission drop. Such damage occurred rather early (less than 100 million pulses).
Damage testing of pellicles for 193-nm lithography
We investigated laser-induced damage of pellicles for 193-nm lithography. We surveyed 193-nm-optimized material from three pellicle suppliers. Pellicles were irradiated under realistic reticle plane conditions (0.04 mJ/cm2/pulse - 0.12 mJ/cm2/pulse for up to 100 million pulses). Pellicles from two suppliers were found to meet lifetime requirements of the industry. Pellicles from the third supplier do not appear to meet the lifetime requirements. We present fluence scaling of pellicle damage and discuss effects of the ambient on pellicle degradation rates. We present results of the outgassing studies of pellicle material under irradiation using a separate gas chromatograph-mass spectrometer-based detection apparatus. From the results of these studies, we suggest possible photochemical pathways for pellicle degradation as a function of ambient.
Alignment performance versus mark quality
Joseph P. Kirk, Jung H. Yoon, Timothy J. Wiltshire
A procedure is described for preparing relief alignment marks with precisely degraded quality that are then used to calibrate alignment performance. Alignment degrades with mark quality, eventually failing when the marks are no longer found. Using conventional processes it is difficult to accurately find this threshold and virtually impossible to experimentally establish the functional relationship between alignment mark quality and alignment precision. Marks that simulate a full range of process conditions, including planarization and granularity, are formed utilizing the continuous tone relief response of I-line photoresist to (lambda) equals 248 nm dose, thereby avoiding the complication of fabricating wafers through selective steps of the actual semiconductor manufacturing process. The usual box in box overlay measurement problem, caused by boxes formed by different processes, is avoided by printing high contrast overlay evaluation structures regardless of the alignment mark quality. Overlay is measured and plotted as a function of mark quality and the lithography engineer knows precisely the condition of the alignment system. For example; it is easily established by direct measurement the alignment system's ability to control magnification as the relief of the alignment marks change.
High-accuracy alignment based on subspace decomposition
Amir Aalam Ghazanfarian, Xun Chen, Mark A. McCord, et al.
One of the most crucial emerging challenges in Lithography is achieving rapid and accurate alignment under a wide variety of conditions brought about by different overlying films occluding the marks. The problem is exacerbated by planarizing processes such as Chemical Mechanical Polishing (CMP) and asymmetric processes such as metal deposition and photoresist coating. These processes give rise to displacement of the perceived position of the alignment mark. Thus, any effective algorithm must be based on the history of such displacements. A new approach based on subspace decomposition of the alignment signals is described. The method only applies to imaging and/or scanning based alignment signals. The main assumption is that the process-induced asymmetries are small enough such that only linear effects need to be considered. We first find the subspace of alignment signals using a set of alignment signals with pre-known positions. The positions of the new signals are measured based on the fact that, if shifted correctly, they will lie in the same subspace as the previous signals. Current alignment algorithms assume symmetric alignment signals. Since this method exploits the structure of the signals, it results in more accurate measurement of the position than the current algorithms. Simulation results show that the alignment error is about an order of magnitude smaller than that achieved with conventional Maximum Likelihood or phase-fitting approaches. The computational complexity also increases linearly with the dimension of the subspace and is linearly proportional to signal bandwidth.
Impacts of reticle and wafer elasticity control on overall alignment management strategy
Etsuya Morita, Masaharu Kawakubo, Frank C. Leung, et al.
Maintaining projection-aligners' stage grids-is critical for maximum overlay performance of production lithography. It seems that, particularly in the U.S., the industry has chosen the 'artifact-wafer' strategy as the standard technique to achieve this goal. This paper is intended to identify problems in overlay management using artifact wafers and to provide solutions to address the issues. One of the major sources that degrade accuracy in overlay management is the expansion/shrinkage of wafers and reticles. Both wafers and reticles expand during printing due to the heat delivered from the illumination source. The amount of the expansion tends to increase as the power of the illumination source increases per industry's demand on higher throughput. Wafers and reticles expand/shrink also due to environmental temperature change. The significance of wafer expansion/shrinkage in this mode has tended to be neglected. This is probably because, since it is measured and compensated by the 2nd print alignment, wafer scaling in the first print does not impact overlay performance evaluated at the shot center. Wafer expansion/shrinkage, however, does cause intra-shot scaling errors in overlay. And more importantly, since artifact wafers serve as absolute stage-grid-references, their expansion/shrinkage directly impact accuracy of overlay management. Reticle expansion/shrinkage due to temperature difference between where the reticles were created and where they are used along with reticle manufacturing errors causes inaccuracy in intra- shot performance evaluation. As product design rules continues to tighten, the intra-shot overlay performance can no longer be neglected. The impacts of reticle- and wafer-elasticity on total overlay management will be discussed. Multiple techniques to address the elasticity issues will be demonstrated. The discussion will conclude with recommendations for generation and usage of the artifact wafers.
Poster Session I: Enhancement Techniques
icon_mobile_dropdown
Proposal of a composite phase-shifting mask for 0.15-um hole-pattern delineation using KrF exposure
Norio Hasegawa, Katsuya Hayano, Akira Imai, et al.
We propose a new pattern delineation technology where composite phase-shifting masks are used for KrF exposure. Half-tone phase-shifting masks (HTPSMs) are widely used for delineating 0.20- to 0.25-micrometer hole patterns. However, the process latitude of the pattern transfer for 0.18- micrometer hole patterns or smaller is very tight, and it is quite difficult to delineate such small patterns using conventional HTPSMs with KrF exposure for mass production. However, by using a newly developed composite phase-shifting mask, we have been able to simultaneously delineate 0.18- micrometer or smaller isolated hole patterns and dense hole patterns with sufficient process latitude. Two types of phase- shifting technology were integrated in a single phase-shifting mask that had both a Cr region and a halftone region. For the delineation of isolated hole patterns, eight outrigger sub- slots were octagonally arrayed around each isolated hole pattern (00-HTPSM: octagonal outrigger HTPSM). For the delineation of dense hole patterns, an alternating phase assignment was applied (alternating PSM). We found through both simulation and experiment that the focus latitude could be expanded by the use of this composite phase-shifting mask.
Optimization of stepper parameters and its design rule for an attenuated phase-shifting mask
An attenuated phase-shifting mask is favorable lithography technique for enhancing the depth-of-focus for isolated hole. However, it is restricted by sidelobe printing at dense array holes. To reduce the sidelobe printing, various methods such as surface insoluble layer, add an auxiliary hole, and optimization of NA and sigma were investigated. The method of surface insoluble layer was not effective for the dense array holes and CD uniformity was not improved. The method that adds an auxiliary hole at sidelobe position of highly dense array pattern can reduce the sidelobe printing completely, but mask CD and mask defect inspection as well as automatic layout of auxiliary holes for nonrepeating patterns in periphery area will be issued. In order to optimize the NA and sigma value, DOF and sidelobe printing were considered. Also CD control is studied by considering the CD linearity and optical proximity correction (OPC) as mask print bias is applied. Design rule for attPSM was suggested at optimized and fixed conditions.
Preventing sidelobe printing in applying attenuated phase-shift reticles
Z. Mark Ma, Andrew Andersson
One major limitation of applying attenuated phase shift mask (PSM) is sidelobe printing. The sidelobe is caused by constructive interference of the first order of diffraction maximum from nearby features, plus the electrical fields from semi-transparent materials in the surrounding area. The impact of defocus, lens aberration, and layout design on sidelobe printing are discussed. A detailed comparison between printed wafers and aerial image simulations shows how these factors affect sidelobe printing. Data show tight control on both the third and the fifth order aberrations is critical in PSM application. Since the degree of coherence and the stepper's response to coherence transfer function will significantly affect the performance of PSM, tests on phase shift mask are necessary to qualify a stepper. An alternative approach that uses attenuated rim shifter PSM to prevent sidelobe printing is presented and discussed.
Resist and oxide thickness effect on process window for 0.2-um contact patterns with off-axis illumination and attenuated phase-shift mask
Chuen-Huei Yang, Chang-Ming Dai
The resist dimples caused by the sidelobe effect are the unexpected by-products at printing 0.2-micrometer dense contact holes with attenuated phase-shift mask (PSM) and KrF laser stepper. We found that not only the printing bias and duty ratio but also the film thickness of the resist and the oxide layer underneath the resist affect the generation of the dimples. The 0.2-micrometer contact holes on 0.52-micrometer pitch were printed successfully without resist dimples by controlling the mentioned factors. Furthermore, the depth margin of the dimples was accessed in real etching process. The residual resist at dimples was 3150 Angstrom at least after development in order to against the etching process.
Optimization of DUV photolithography for sub-250-nm technology: contact patterning with attenuated phase-shift mask
Lay Cheng Choo, Siu Chung Tam, Alex Cheng, et al.
Attenuated phase shift mask (APSM) has been considered a viable technique for contact patterning. For 250 nm lithography technology, 300 nm contact patterns are to be defined. In this paper, Solid-C has been used for aerial image simulations. Conventional and annular illumination settings are optimized for better focus latitudes, that is, large depth-of-focus (DOF), for sufficient throughput. In addition, mask transmission is optimized for different illumination settings. From our simulations of aerial images, it has been shown that a DOF of 1.35 micrometer is achieved when conventional illumination is combined with APSM at high mask transmission (approximately 8 - 10%). However a larger DOF of 1.55 micrometer can be obtained when annular illumination is used with APSM at low mask transmission (approximately 3 - 4%).
New method for improving the practical resolution of complex patterns in sub-half-micron lithography
Xunan Chen, Xiangang Luo, HanMin Yao, et al.
A new approach, based on the optimization of illumination light by micro-optical element, is applied to improve the practical resolution of complex pattern in sub-half micron lithography. Several micro optical plates are devised to optimize the illumination light. Through the detailed theoretical expansion of the optics for the new structure, simulation of the aerial image is carried out. And the experiments verify the results of simulation.
Enhanced microlithography using coated objectives and image duplication
Miklos Erdelyi, Zsolt Bor, Gabor Szabo, et al.
Two processes were investigated theoretically using both a scalar wave optics model and a microlithography simulation tool (Solid-C). The first method introduces a phase- transmission filter into the exit pupil plane. The results of both the scalar optics calculation (aerial image) and the Solid-C simulation (resist image) show that the final image profile is optimum, when the exit pupil plane filter is divided into two zones with the inner zone having a phase retardation of (pi) rad with respect to the outer one and the ratio of the radii of the zones is 0.3. Using this optimized filter for the fabrication of isolated contact holes, the focus-exposure process window increases significantly, and the depth of focus (DOF) can be enhanced by a factor of 1.5 to 2. The second technique enhances the DOF of the aerial image by means of a birefringent plate inserted between the projection lens and the wafer. As the shift in focus introduced by the plate strongly depends on the refractive index, two focal points will appear when using a birefringent plate instead of an isotropic plate: the first one is created by the ordinary, and the second one is created by the extraordinary ray. The distance between these images can be controlled by the thickness of the plate. The results of the calculations show that application of a thin but strongly birefringent material is a better candidate than using a slightly birefringent but thick plate, since aberrations proportional to the thickness can cause undesirable effects.
Printing sub-100-nm random logic patterns using binary masks and synthetic-aperture lithography (SAL)
Synthetic-aperture lithography (SAL) is proposed as a means for reaching design-rules of 0.1 micrometer and below. By a combination of oblique illumination and pupil filtering the relation between high and low spatial frequencies in the image is altered. Simulations indicate that random-logic patterns can be printed at k1 equals 0.40 with only modest OPC. With some design constraints and/or a corrective auxiliary exposure k1 equals 0.32 is possible. The main disadvantages are a complex stepper design and low light transmission, the advantages are the use of standard binary masks and good useful resolution.
Poster Session II: CD Control
icon_mobile_dropdown
Optimization of exposure procedures for sub-quarter-micron CMOS applications
Shoji Hotta, Toshihiko Onozuka, Keiko Fukumoto, et al.
We investigated various exposure procedures to minimize the Critical Dimension (CD) variation for the patterning of sub- quarter micron gates. To examine dependence of the CD variation on the pattern pitch and defocus conditions, the light intensity profiles of four different mask structures: (1) a binary mask with clear field, (2) a binary mask with dark field, (3) a phase-edge type phase-shifting mask (a phase-edge PSM) with clear field, and (4) a halftone phase- shifting mask (a halftone PSM) were compared, where exposure wavelength was 248 nm and numerical aperture (NA) of KrF stepper was 0.55. For 200-nm gate patterns, dependence of the CD variation on the pattern pitch and defocus conditions was minimized by a phase-edge PSM with clear field. By optimizing the illumination condition for a phase-edge PSM exposure, we obtained the CD variation of 10 nm at the minimum gate pitch of 0.8 micrometer and the defocus condition of plus or minus 0.4 micrometer. Applying the optimized exposure procedure to the device fabrication process, we obtained the total CD variation of plus or minus 27 nm.
CD control of ASIC polysilicon gate level
Jacek K. Tyminski, Sean J. McNamara, Stephen A. Meisner, et al.
As ASIC manufacture continues to evolve towards 0.35 micrometer, photolithography optimization becomes increasingly complex. I-line photolithography at these feature sizes results in proximity effects contributing to CD budgets and dominating the CD control. One of the critical levels of the current generation ASIC devices is the polysilicon gate level containing a set of lines in nesting configurations ranging from dense to isolated. The optical proximity effects of such geometries are pitch-dependent. Thus the key challenge of the gate level exposure is CD control of the features nested on a wide range of pitches. The state-of-the-art photolithography tools used for critical level manufacture are equipped with a wide range of illumination options including conventional, small-sigma, and off-axis. These options expand the exposure capabilities of steppers and complicate the optimization of the photolithography. The complexity of the image formation, coupled with the number of stepper exposure options, vastly expands the parameter space of photolithography optimization. The optimization of the photolithography process has to take into consideration the requirements of IC manufacture. These requirements include the CD tolerance, the depth of focus and the exposure latitude. The numeric value of each represents statistical and systematic factors influencing the yield of manufacture as well as the CD tolerance reflecting the IC performance goals. Our goal was to optimize the CD performance of critical level i-line photolithography. Our strategy combined resist model simulation and proof-of-principle testing. We analyzed a set of features with the nominal, pitch-independent CDs. We analyzed the CD range of variation for different pitches characteristic for the polysilicon gate level. The analysis was performed for a wide range of illumination/exposure conditions representing capabilities of the state-of-the-art, commercial i-line steppers. To qualify the exposure options, we have developed a metric taking into consideration the requirements of IC manufacture. We conducted systematic studies of the CD range versus illumination and exposure conditions. As a result, we identified the exposure strategies leading to the range of CD variation meeting the tolerance requirements of the ASIC manufacture. A methodology combining the resist image simulation and limited resist testing allowed us to find quickly the optimum exposure strategy supportive of manufacturing requirements. It also resulted in a great reduction of resources required to conduct the process characterization and the CD metrology. We applied this methodology to optimize the exposure condition of a current generation ASIC polysilicon gate level. The optimization methodology was verified experimentally. This discussion presents examples of optimization solutions. The report reviews the results of the resist modeling simulation, and reviews the results of the proof-of-principle metrology. We compare the modeling and the metrology and draw conclusions on the quality of the models' predictions. We interpret the model results in terms of CD characteristics of the critical level features exposed and developed in the resist. Finally, we assess the value of anchored resist simulation as a predictor of the CD characteristics.
Reticle contributions to CD uniformity for 0.25-um DUV lithography
Jan Pieter Kuijten, Frank Duray, Ted der Kinderen
The trend in the semiconductor industry is towards superior imaging performance requiring fundamentally tighter control of device Critical Dimensions (CD) and yield. This paper focuses on the analysis of reticle contributions to intrafield CD Uniformity for step and repeat 0.25 micrometer DUV Lithography. A method is described to subtract the reticle fingerprint contribution from the CD measurement data. The method demonstrated that CD Uniformity, in terms of 3(sigma) , is perhaps only a valid statically allowed estimate if it is used after reticle correction. The extensive intrafield CD uniformity evaluation was performed on a typical ASML PAS 5500/300 DUV stepper to determine the impact of various illumination conditions. For APEX-E2408 photoresist, the actual intrafield CD uniformity was 13 nm (3(sigma) ) at best focus and 14 nm (3(sigma) ) over a 0.6 micrometer focus range for 0.25 micrometer dense lines and annular illumination with a NA equals 0.54. Subtracting the reticle fingerprint yields the exposure tool CD component of 8 nm (3(sigma) ) at best focus and 8 nm (3(sigma) ) over a 0.6 micrometer focus range. This is smaller than the reticle CD error component of 10 nm (3(sigma) ) which results from 32 nm (3(sigma) ) mask CD uniformity and a reticle sensitivity factor of 1.3. It is, therefore, imperative to reduce the reticle CD influence to realize further resolution reductions in manufacturing. Subtracting the reticle CD non-uniformity contribution allows us more accurately to determine the lithographic tool contribution to the CD uniformity budget.
CD control for quarter-micron logic device gates using iso-pitch bias
Young-Chang Kim, Gisung Yeo, Hye-soo Shin, et al.
The process optimization and optical proximity effect for 0.25 micrometer gates of logic device were studied to minimize line width variation. The total line width variation was found to be composed of optical proximity effect and process uniformity. Process optimization was preceded to minimize the contribution of process uniformity, and the quantitative analysis could be done by measuring some patterns that were intentionally chosen for evaluating the optical proximity effect and other factors. It was found that the line width variation due to optical proximity effect was closely related to mask bias through this quantitative analysis. Simulations and experimental results give an important conclusion that there is a special mask bias where the degree of optical proximity effect among the different pitched patterns could be nearly neglected under certain process condition. This new condition was defined as 'Iso-Pitch Bias' and applied to decrease the optical proximity effect. Finally, CD variation as small as 20 nm, was obtained at real logic device gate patterns with optimized condition.
CD Control Scanners
icon_mobile_dropdown
Contributors to focal plane nonuniformity and their impact on linewidth control in DUV step-and-scan system
Pradeep K. Govil, James G. Tsacoyeanes, Randell P. Eron, et al.
A significant contributor to linewidth control in a step and scan system is the focal plane nonuniformity. The various sources contributing to this focus nonuniformity such as wafer and reticle flatness will be discussed. Effective wafer flatness presented in the form of flatness variation histograms, as a function of focus sensor fill factor will be presented. A clear understanding of the effective wafer plane flatness variation is important in predicting lithographic tool linewidth control performance. Statistical approaches using joint probability distributions to combine various error sources will be developed. Data will be presented to show that the systematic error sources can be represented reasonably well by uniform distributions, and random error sources by Gaussian distributions. It will also be shown that the focal system performance estimated using this approach could accurately predict system performance and its impact on linewidth control. This allows determination of significant contributors to the focal plane nonuniformity, which is important in establishing the lithographic tool areas of improvements. Data illustrating the influence of contributors such as wafer and reticle flatness, and optical field curvature on linewidth control will presented together with a statistical metrology for incorporating them into a meaningful focal plane error budget.
Poster Session III: Advanced Masks
icon_mobile_dropdown
Killer defects caused by localized sub-100-nm critical dimension reticle errors
For obvious cost reasons, semiconductor manufacturers are constantly striving to produce ever smaller wafer geometries with the current installed base of wafer steppers. Many techniques (phase shifting, optical proximity correction, etc.) have been used successfully to 'squeeze' more resolution from these steppers than was once thought possible. Wafers processed using non-aggressive k1 factors provided a linear correlation between mask and wafer feature sizes. However, it has been shown that pushing k1 factors to very low levels causes a nonlinear response between changes in photomask and wafer critical dimension. This non-linearity demands extremely tight photomask CD control specifications. Total CD errors 50 nm and smaller can cause unacceptable wafer CD variation. Current high end reticle manufacturers are capable of meeting a total CD uniformity specification of approximately 40 nm as measured by sampling strategies using optical metrology tools. These tools are very useful for detecting macro changes in CD; however, they will only detect a localized error if it happens to occur precisely at the point of measurement. In contrast, a pattern inspection system employing a linewidth measurement algorithm can ensure detection of all localized errors within the detection and review capability of the system. The problem with reticle CD error detection capability is that there is a large discrepancy between currently available detection of greater than or equal to 150 nm and required detection of less than or equal to 50 nm necessary for proper wafer functionality at low k1 lithography. In this paper, defect sensitivity and false detection performance of a new advanced line measurement algorithm was tested. The test vehicles included both an industry standard and a custom designed programmed defect test mask. In addition, production masks with naturally occurring localized CD errors that caused wafer pattern bridging were analyzed. This new experimental algorithm has shown localized CD error detection of less than or equal to 100 nm reticle defects.
Overlay accuracy of reticles
Hisatsugu Shirai, Kanji Takeuchi, Kazumasa Shigematsu
This paper describes the study on overlay accuracy of reticles, using a reticle set for DRAM. It is found that single reticle pattern placement has to be higher accuracy than overlay of reticles, which may be a majority in the total overlay accuracy. Concerning some points of a reticle set, we found that there is a very large value. To match overlay accuracy of reticles with the demand of devices, we have shown that the suitable reticle exposure system has to be used and managed exactly. In order to assure overlay accuracy of a reticle set, it was proposed that single reticle pattern placement accuracy must be higher than overlay accuracy demand and the overlay accuracy yield also should be shown in some cases.
Poster Session IV: Process Optimization
icon_mobile_dropdown
Challenge of 0.3-k1 lithography by optimizing NA/sigma, OAI, biasing, and BARC: practical approach to quarter-micron i-line process
KeunYoung Kim, Stanley Barnett, James Shih
One more study for pushing resolution limit down below industry agreed optical limit was performed. It was pursued for practical application, not limited to experimental purpose only. The first work was concentrated on studying how much we could lower the resolution and improve the process latitude of dense lines, which was thought as the most critical one. OAI and BARC played a role for that purpose, were expected to improve DOF as much as 105% and 15%, compared to conventional illumination and non-BARC process, respectively. It was also known from simulation that mask biasing was important to maximize DOF for dense and isolated line. It could be used to reduce CD and resist profile difference of dense and isolated features as well. Ultimate resolution was only possible by very high contrast resist. All the efforts in this work achieved quarter micron i-line process which has 1.2 micrometer DOF for dense lines, 0.8 micrometer overlap DOF and 10% exposure latitude both for dense and isolated features.
NA optimization of 360-nm and 300-nm pitch devices
Hyoungjoon Kim, Sunggi Kim, Chang-Hwan Kim, et al.
KrF extendibility to 180 nm and 150 nm L/S patterns and optimized NA were investigated by simulation. Mask CD error and exposure dose error are very important factor in photo process of device manufacture. We took 2 level of expected mask quality and dose control. The mask CD error of plus or minus 15 nm and dose error of plus or minus 4% are very tight but possible level in near future, and plus or minus 10 nm and 3% as extremely tight level but expected to be achieved in sometime. 0.6 NA and quadrupole illumination (pole offset 0.75, diameter 0.1) shows 0.8 micrometer depth of focus (DOF) with mask CD error of plus or minus 15 nm and dose error of plus or minus 4% for 180 nm patterns and bigger in our simulation. This shows that the 0.6 NA KrF exposure tool could be applied to 180 nm devices with acceptable mask and dose errors, but there are still problems of illumination uniformity and throughput caused by extreme off axis condition. Including 150 nm pattern, only 0.7 NA shows 0.6 micrometer DOF with mask CD error of plus or minus 10 nm and dose error of plus or minus 3% which is extremely tight condition.
Poster Session V: Reflection Effects
icon_mobile_dropdown
Conformality of photoresist and antireflective coatings over topography
James A. Bruce, Ellen Wallander
Scanned probe microscopy (SPM) and optical thickness measurements were used to study conformality of a 0.5 micrometer-thick photoresist and two different ARCs (75 nm thick). One ARC (ARC A) was a thermally stable system as applied. (The molecular weight did not change with the normal post-apply bake.) The other ARC (ARC B) was a thermally cross- linking system. (Cross-linking occurs on the wafer during post-apply bake, thus increasing molecular weight.) Three different step heights, ranging from 44 to 150 nm, were studied. Two measures of conformality were used: the 'planarization length' or distance from an edge for which the material reaches nominal thickness, and the film thickness loss over a given feature width. For the photoresist, the planarization length was 30 - 50 micrometer, and a 1 micrometer-wide ridge was almost completely planarized. (Resist thickness loss was 70 - 80% of the step height, vs 100% for complete planarization.) As expected, the much thinner ARC films were more conformal than the resist film; however, each behaved quite differently: the thermally stable system (ARC A) was more conformal than the thermally cross- linking system (ARC B). The planarization length for ARC A was 5 - 10 micrometer while, for ARC B, it was 20 - 40 micrometer. ARC A also showed less thickness loss for 1 to 10 micrometer- wide ridges. For a 1 micrometer-wide ridge, ARC A showed a thickness loss of 40% of the step height; for ARC B, the loss was 50%. For a 10 micrometer-wide ridge, the thickness losses were 5% and 15% for ARCs A and B, respectively.
Thin-film interference effects for thin resist films on a broadband scanner
James A. Bruce, Michael D. Caterer, Dianne L. Sundling
The relative benefit of using a broadband illumination system to reduce thin-film interference is impacted when using thinner resist films. For example, with a 1 micrometer resist film, a 43% reduction in swing curve is predicted for broadband vs. monochromatic illumination, while for a 0.5 micrometer film the reduction is only 25%. For a 0.5 micrometer resist film over an organic ARC exposed on a broadband system, such as a SVGL Micrascan-2, both simulations and experimental data show a 10 - 16% swing curve. These are results for an ARC with a relatively low absorbance (k equals .22). Results are given for several approaches that were investigated to reduce this swing curve, including improved ARC materials and resist thickness optimization; e.g., with a CVD ARC, the swing curve can be reduced to less than 10 nm. Resist and ARC thickness optimizations are normally done independently; however, resist and ARC thicknesses may not be independent. Much of the thickness variation is caused by the topography, with the resist and ARC behaving in the same qualitative fashion (e.g., both the ARC and resist tend to planarize over a ridge; thus, both will be thinner over a ridge). A method for optimizing interdependent resist and ARC thicknesses is also presented; it couples modeled linewidth data with ARC and resist planarization data to predict the optimum resist and ARC thickness.
Optimization of ARC process in DUV lithography
Kyung-Jin Shim, Byoung-Il Choi, Ki-Yeop Park, et al.
Inorganic Anti Reflective Coating (ARC) improves Critical Dimension (CD) uniformity over an exposing field by reducing the reflectivity of the ARC/substrate system in photoresist. A key parameter of the lithographic performance of an inorganic ARC is therefore the reflectivity of the ARC/substrate system in photoresist. But it isn't a directly measurable quantity. In this paper we estimate the reflectivity of the ARC/substrate system in photoresist by measuring the reflectivity swing of the photoresist/ARC/substrate system in air. We also derive a useful relation connecting the reflectivity of the ARC/substrate system in photoresist to that in air. In the case of organic ARC, due to the planarization of the organic ARC on topography, optimization is achieved not by minimizing the reflectivity of the ARC/substrate system in photoresist but by minimizing the variation of the light intensity within the photoresist. The performance of the inorganic and organic ARC for DUV lithography is evaluated, and their differences are investigated.
Poster Session VI: Simulation
icon_mobile_dropdown
Three-dimensional mask transmission simulation using a single integral equation method
A single integral equation formulation for electromagnetic scattering from three-dimensional dielectric objects is discussed. The new formulation converges significantly faster than the traditional, coupled integral equation formulation. The new formulation is extended to incorporate the exact boundary conditions for isolated mask features by using dyadic Green's functions for the stratified medium background. Results of three-dimensional phase-shifting mask simulation are presented.
Use of melting inorganic photoresist for microlens array fabrication
Changtai Yu, Fengzhen Guo, Ying Chen, et al.
In this paper, we will show that it is possible to generate very small lenses by melting islands of inorganic photoresist on a glass substrate. The inorganic photoresist composited by us is suitable to be exposed by Electron Beam (EBE) or X-Ray. We have obtained the lithophotography pattern with 0.6 micrometer line width by EBE exposure. Because the resist pattern will not swell and distort in the developing solution, so there is no problem of shelf-life. We have made lenses with diameter ranging from 0.8 mm to 1.0 mm in the form of spheres and also have studied their optical properties.
Metropole-3D: a rigorous 3D topography simulator
Xiaolei Li, Kevin D. Lucas, Aaron L. Swecker, et al.
We have extended the capability of a vector 3D lithography simulator METROPOLE-3D from a photomask simulator to become a full 3D photolithography simulator. It is designed to run moderately fast on conventional engineering workstations. METROPOLE-3D solves Maxwell's equations rigorously in three dimensions to model how non-vertically incident light is scattered and transmitted in non-planar structures. METROPOLE- 3D consists of several simulation modules: photomask simulator which models the aerial image of any mask pattern (including phase-shifting masks); exposure simulator which models light intensity distribution within the photoresist and arbitrary underlying non-planar substrate structures; post-exposure baking module which models the photo-active compound diffusion, chemically amplified (CA) photoresist cross-linking and de-protection processes; and finally, 3D development module which models the photoresist development process using the level-set algorithm. This simulator has a wide range of applications in studying the pressing engineering problems encountered in state-of-the-art VLSI fabrication processes. The simulator has been applied to the layout printability/manufacturability analysis to study the dominant physical phenomena in lithography, deposition, CMP and etching processes that affect the transfer of mask patterns to the final etched structures on the wafers. Using this new 3D rigorous photolithography simulator, optical proximity effects have been studied. A reflective notching problem caused by the reflective substrate structure has been thoroughly studied, and an anti-reflective coating (ARC) solution to this notching problem has been optimized by the simulations. Finally, a 3D contamination to defect transformation study was successfully performed using our rigorous simulator.
Cross-sectional critical shape error: a novel methodology for quantifying process simulation accuracy
Mark E. Mason, Robert A. Soper
In an effort to quantify simulator performance, we propose a new metric -- the cross-sectional critical shape error (CCSE). This tool allows quantified comparison of actual resist patterns to simulator predictions, condensing two- and three- dimensional simulation errors into a scalar error value. This value can be used as a figure of merit to ascertain optimum simulator settings for matching actual experimental output. This effort extends previous work by Mack on the so-called 'critical shape error' (CSE) metric for evaluating differences between the mask and simulated resist patterns. While the work by Mack was directed primarily at OPC, CCSE is appropriate for quantitative simulator evaluations, simulator anchoring, head- to-head simulator evaluations, and use in optimizing cost functions (e.g. for use in Genetic Algorithms, etc.) since it compares the simulator output directly to the resist cross section.
High-NA illumination: a simulation study
Leonhard Mader, Christoph M. Friedrich
Lithography simulation was used to calculate the influence of high-NA illumination on resolution, depth-of-focus, and exposure latitude evaluating the aerial image. Contour plots of exposure latitude versus NA & (sigma) at constant depth-of- focus values were calculated for dense lines, single contacts and isolated lines. All features were investigated using standard illumination and enhancement techniques (PSMs and/or annular illumination). For standard illumination the maximum exposure latitude is achieved for the highest NA possible if only small depth-of-focus is required e.g. thin resist layers over nearly plain or planarized substrates. In a production environment higher depth-of-focus values are necessary. In this case the optimum NA moves to lower values even for feature sizes near the resolution limit. However, in combination with PSMs (and/or annular illumination) the best conditions move to higher NA with decreasing feature sizes.
Novel approximate model for resist process
Optical proximity correction technique has been studied. The occurrence of proximity effect in the optical lithography is composed of an element caused by diffraction of light, which can be explained by aerial image simulation, and an element caused by resist process, in which acid diffusion is a major factor causing non-linearity. In the case of very thin resist, absorbed energy that generates the acid can be described by two-dimensional instead of three-dimensional distribution. Under this simple assumption, acid diffusion by post exposure bake is equivalent to the diffusion of aerial image, and chemical amplification can be analytically described also. Modified aerial image including diffusion and chemical amplification, we call it diffused aerial image, can give the information for patterning status directly. Therefore, diffused aerial image model can explain experimental results very well compared to the expectation by using aerial image only without loss of simplicity and calculation speed.
Three-dimensional photolithography simulator including rigorous nonplanar exposure simulation for off-axis illumination
Heinrich Kirchauer, Siegfried Selberherr
Progress in today's semiconductor industry has been mainly achieved by decreasing the minimal feature size and increasing the complexity and thus the nonplanarity of the devices. Therefore lithography tools have to provide high resolution with a reasonably large depth of focus. Well-established methods to achieve both requirements are off-axis illumination techniques. As topography effects such as nonplanar electromagnetic scattering and notching are critical for line- width control, a rigorous three-dimensional exposure simulation considering both nonplanar surfaces as well as off- axis illumination is of utmost interest. We propose a rigorous method that meets the two challenges of nonplanar substrates and off-axis illumination. Our approach is based on a novel extension of the differential method to the third dimension. It is based on a Fourier expansion of the electromagnetic field in the lateral coordinates and thus belongs to the category of frequency-domain solvers. Due to the moderate computational costs nonplanar topography simulations including off-axis illumination can be performed on common engineering workstations. We will give a survey over the numerical algorithm of the differential method, describe the interface to the imaging and development module, and demonstrate the ability of the overall simulator by comparing simulation results for contact-hole printing over a dielectric and reflective substrates for various illumination apertures.
Development of an integrated 3D lithography simulator
Choong-Ki Seo, Seung-Gol Lee, Jong-Ung Lee
We have developed our own three-dimensional optical lithography simulator, LG-OLiS (LG-Optical Lithography Simulator), which can be applied to both the 2-D and the 3-D non-planar cases. It includes with all processes of optical lithography such as the formation of an aerial image, the exposure, the post-exposure bake (PEB), and the development processes. Several kinds of numerical methods are adopted for numerical implementation and the simulation of an aerial image and the exposure process are based on both the approximate theory and the rigorous theory. Therefore, our LG-OLiS can simulate the topological case efficiently nd exactly.
Chip-scale 3D topography synthesis
Mariusz Niewczas, Xiaolei Li, Andrzej J. Strojwas, et al.
We propose a novel approach to perform the chip scale mask to topography mapping by building a library of repetitive mask patterns. We call them vicinity patterns. They describe a collection of mask features in close proximity. This pattern library is used to synthesize 3-D topography of an arbitrary part of the chip topography. We define some process-related parameters, which we call critical interaction lengths, as a basis for mask decomposition into the vicinity patterns.
Application of substructuring method to three-dimensional optical lithography simulation
Seung-Gol Lee, Choong-Ki Seo, Dong-Hoon Lee, et al.
The three-dimensional optical lithography simulator on the basis of finite element method is newly introduced. Contrary to the conventional direct finite element method, the problem of huge memory requirement can be resolved by applying the substructuring method to finite element method. In our scheme, the global domain, which consists of photoresist, interlayers, and the substrate, is divided into several subdomains. After each subdomain is treated locally, the calculation results are integrated altogether. Since the consuming memory is tremendously reduced by the substructuring method, the three- dimensional case can be successfully simulated at the engineering workstation. We have compared our scheme with the conventional direct finite element, and investigated the reduction of computational memory by the substructuring method.
Net-Faim: distributed computation of aerial images
Uwe Hollerbach
Simulation of aerial images is an important part of modern microchip manufacturing, but computation of the image of an entire mask is a challenging problem requiring a large amount of memory and CPU time. Fortunately, it is possible to decompose the large problem of computing the full image into many smaller, mostly independent, sub-problems. In this paper, one particular decomposition is described and implemented. The target platform is a heterogeneous group of networked workstations. The program, net-faim, was designed to be robust, to scale well with available resources, and to place modest demands on participating workstations. All of these design criteria have been realized. The overall performance of the distributed computation is linearly proportional to the sum of the performances of the individual processors, up to a rather high level of parallelism. Robustness is achieved by not relying on any one server to complete a given task; instead, if an idle server is available, the task is sent out to the idle server even if it has previously been sent to another server. The task is only retired when a server returns the completed answer. This 'paranoid' method of processing tasks has the pleasant side effect of doing automatic dynamic load balancing. The results of runs with several different configurations, both of participating workstations and of sub- domain sizes, are displayed.
Exposure effects on deep-ultraviolet resist thickness
Pary Baluswamy, Thomas R. Glass
Most deep ultraviolet (DUV) resist models available today utilize the Dill parameters to characterize resist exposure. These models assume that the thickness of the resist remains constant through exposure and post-exposure bake (PEB). The thickness is only affected by development in the models when resist is removed from the exposed or unexposed regions, depending on whether it is a positive or negative resist. It has been observed that a number of DUV resists change thickness upon exposure. This effect is expected to have an impact on the post-exposure acid profile calculated for modeling purposes. In this paper, we present data on the thickness changes for different resists and the effect of exposure to PEB delay on the change.
Application of artificial neural networks (ANN) and response surface model (RSM) in optical microlithographic process modeling
Bo Zhou, Barry A. McPherron
Optical microlithography represents one of the most sophisticated processes in the manufacturing of microelectronics devices. Accurate process models are highly desirable for process control, process optimization, yield improvement, and cost reduction. Design of experiments (DOE) and response surface model (RSM) are traditional tools for empirical modeling. This paper presents an alternative by using artificial neural networks (ANNs) to model the intricate relationship between the critical dimension (CD) and three key lithographic process variables, soft bake time, exposure stage speed, and develop time. A set of data obtained from a designed experiment is used to train a three-layer neural network. A comparison of the ANN model with the RSM model shows that ANN model provides higher accuracy and greater capability of generalization.
Poster Session VII: Image Quality
icon_mobile_dropdown
Coping with the impact of lens aberrations in the context of wavefront engineering
Variations of lens aberrations of optical projection systems can have undesirable effects on critical dimension (CD) uniformity and depth of focus (DOF) of printed microelectronic circuit patterns. The principal objective of this paper is to investigate how lens aberrations along with variations of partial coherence of the illumination source of an optical stepper affect critical dimensions of dark gate lines when using conventional and phase-shifting masks (PSMs) with and without optical proximity corrections (OPC). The investigations are performed using lithography simulation software tools which help to evaluate different optical projection systems and diverse types of masks. For the purpose of accurate evaluation of the effects of different types of aberrations on printed patterns, 37 Zernike polynomial coefficients representing lens aberrations were normalized using the Strehl test. The impact of aberrations on 0.25 micrometer and 0.18 micrometer dark gate lines is studied by analyzing data obtained from simulations using four different optical projection system set-ups. The results show that lens aberrations do not significantly reduce CD uniformity and DOF or destroy the process window if we use an optimal numerical aperture (NA) and high resist contrast. It was observed that high resist contrast is more important than NA in terms of dealing with the impact of lens aberrations. The effectiveness of masks with OPC for aberrated images was analyzed, and we have been able to show that OPC does not always improve CD uniformity and DOF. This paper describes methods for maintaining tighter control of CD errors in the manufacturing process of integrated circuits using optical lithography.
Effect of lens aberrations as a function of illumination condition on full-field process windows
Audrey M. Davis, Andrew E. Bair, Bradley D. Lantz, et al.
The effect of lens aberrations on the process windows of a 248 nm stepper is presented for multiple locations within the exposure field and for various illumination conditions. It is shown that the effect on the process window depends on the field location and the illumination condition. The common process window for multiple field locations is significantly reduced from the single location result. Process window data obtained with one illumination condition is shown to be useful in predicting results with other illumination conditions.
Measurment of astigmatism in microlithography lenses
Joseph P. Kirk
The direction, (phi) , and magnitude, A1, of residual astigmatism in microlithography lenses used for semiconductor circuit fabrication is determined by measuring the focal position, F, of lines orientated at four values of (Theta) equals 0 degrees, 45 degrees, 90 degrees, 135 degrees. These parameters are related by F equals A0 plus A1 cos2((Theta) + (phi) ) which is solved for the four measured values of F. If the lens is axially symmetric the angle (phi) will be that of the field diameter, but real lenses have fabrication errors that may introduce non-classical astigmatism, so (phi) may have values 0 less than or equal to (phi) less than or equal to (pi) at any point in the field. It is for this reason that conventional resolution reticles with perpendicular resolution targets are inadequate to accurately measure residual astigmatism. Using such a reticle will result in under estimation of the actual astigmatism. Wafers are exposed through focus using a reticle having an array of resolution targets, each having the four orientations. Measuring the focal position of each of the four orientations by examination of their photoresist images with a dark field optical microscope enables determination of astigmatism with a standard deviation 7 nm. Application of this procedure used to evaluate the residual astigmatism in high quality lithography lens is reported.
Influence of aberration on performance during use of resolution enhancement technology
Kouichirou Tsujita, Junjiro Sakai, Akihiro Nakae, et al.
We have investigated the influence of a spherical aberration on the printing characteristics with modified illumination. At first, we have developed a simple method for measuring the aberration function with an alternating phase shift mask (PSM), and have measured that in the projection optics of a commercially available KrF stepper. Then the anomalous phenomena observed in the printing with modified illumination are examined with the simulated aerial images with the measured spherical aberration. As a result, we found good coincidence between the simulated images and the anomalies. In conclusion, the origin of the anomalies is ascribed to the spherical aberration in the projection optics.
Differences in pattern displacement error under different illumination conditions
Nakgeuon Seong, Jongwook Kye, Hoyoung Kang, et al.
Off-axis illumination (OAI) technique is one of the most widely used resolution enhancement methods for sub 0.2 micrometer resolution in KrF lithography. Repeated patterns in DRAM drove many applications of OAI technique, such as annular, quadruple. There are optimum illumination shapes depending on pattern shapes and pitches. We measured pattern displacement error differences under two types of illumination shapes using box-and-box type overlay keys and real patterns to which we optimized illumination shapes. We focused on the differences of pattern displacement error between two pattern sets rather than pattern displacement error itself. The results show huge differences of overlay readings under various strong OAI settings. Finally, we suggested applying correction tables calculated by simulation with aberration data.
Poster Session VIII: OPC
icon_mobile_dropdown
Optimal proximity correction: application for flash memory design
Y. O. Chen, D. L. Huang, K. T. Sung, et al.
Proximity Correction is the technology for which the most of IC manufacturers are committed already. The final intended result of correction is affected by many factors other than the optical characteristics of the mask-stepper system, such as photoresist exposure, post-exposure bake and development parameters, etch selectivity and anisotropy, and underlying topography. The most advanced industry and research groups already reported immediate need to consider wafer topography as one of the major components during a Proximity Correction procedure. In the present work we are discussing the corners rounding effect (which eventually cause electrical leakage) observed for the elements of Poly2 layer for a Flash Memory Design. It was found that the rounding originated by three- dimensional effects due to variation of photoresist thickness resulting from the non-planar substrate. Our major goal was to understand the reasons and correct corner rounding. As a result of this work highly effective layout correction methodology was demonstrated and manufacturable Depth Of Focus was achieved. Another purpose of the work was to demonstrate complete integration flow for a Flash Memory Design based on photolithography; deposition/etch; ion implantation/oxidation/diffusion; and device simulators.
Strategy for manipulating the optical proximity effect by postexposure bake processing
Tsai-Sheng Gau, Chien-Ming Wang, Chang-Ming Dai
It is very easy to manipulate the optical proximity effect (OPE), when the new concept of the post-exposure bake (PEB) processing is suggested. By changing the temperature of the PEB, the bias of the line width between the packed lines and the isolated line varies drastically. The OPE is thus faithfully controllable through the PEB processing. On the other hand, by putting the experimental data in contrast with the theoretical simulation, the OPE is resolved into the resist effect and the optical effect. The resist effect could be eliminated by lowering the PEB temperature, while the optical effect is reduced from choosing a proper stepper illumination setting, NA & (sigma) . Moreover, the linearity and the line-end shortening also get benefits from this new process.
SEMATECH J111 project: OPC validation
Franklin M. Schellenberg, Hua Zhang, Jim Morrow
OPC (Optimized Process Correction) Technology is an approach for improving lithographic performance that has received much attention recently. The core of OPC technology is the modification of IC pattern layouts to compensate or 'correct' for IC manufacturing process distortions. This presentation summarizes the results of the SEMATECH project in OPC Validation, designated as project J111. The goal of the project was to examine the present status of OPC technology, to determine some measure of the efficacy of OPC technology, and determine which components (if any) require additional development to be suitable for manufacturing. To this end, an elaborate set of test patterns was created and provided to several commercially viable OPC suppliers. These suppliers converted these using their OPC software for 6 degrees of OPC 'aggressiveness' and returned the converted files to SEMATECH. A jobdeck containing all the converted patterns were created, and reticles were fabricated from this jobdeck using 6 different maskmaking processes. Each reticle was then exposed onto standard wafers using plan-of record processes at SEMATECH member companies. The efficacy of the various OPC approaches was then determined by measuring and comparing the patterns produced on these wafers after processing and etching. This protocol was followed for both an I-line process and a DUV process. Significant improvements in lithographic performance were observed in many cases, for both I-line and DUV processes. In the best cases, the data suggested that OPC can improve lithographic performance by 1/2 a generation. The degree of success, however, depended heavily on the choice of maskmaking technique and OPC software supplier, with some combinations significantly better at addressing 1-D bias problems, while others made dramatic improvements for 1.5-D or 2-D problems.
Reducing or eliminating line-end shortening and iso/dense bias by tuning NA and sigma
As the critical dimension is reduced, the most severe Optical Proximity Effect (OPE) are the Iso/Dense Bias (IDB) and the Line-End Shortening (LES). Before using an automatic software to correct such effects, it can be interesting to find the Numerical Aperture (NA), Filling Factor ((sigma) ) couple which gives the best result in term of reduction of IDB and LES. This study focuses on the behavior of LES and IDB as a function of NA and (sigma) on 0.35 micrometer/I-line and 0.25 micrometer/DUV design rules. On both IDB, and LES, interesting results have been obtained. Results obtained for IDB confirm previously published data and show that it is possible to reduce, in a significant manor, the IDB in conventional illumination mode, by taking a suitable NA, (sigma) couple. Moreover we emphasize in this paper that (sigma) has no significant effect on LES unlike previously published data, and that it is possible to reduce LES to an acceptable level by tuning NA. Regarding the results obtained in this study, it appears to be possible to reduce both IDB and LES by fine tuning NA and (sigma) . Effectiveness of serifs and hammerheads in reducing LES is also discussed.
Benchmarking of software tools for optical proximity correction
Angelika Jungmann, Joerg Thiele, Christoph M. Friedrich, et al.
The point when optical proximity correction (OPC) will become a routine procedure for every design is not far away. For such a daily use the requirements for an OPC tool go far beyond the principal functionality of OPC that was proven by a number of approaches and is documented well in literature. In this paper we first discuss the requirements for a productive OPC tool. Against these requirements a benchmarking was performed with three different OPC tools available on market (OPRX from TVT, OPTISSIMO from aiss and PROTEUS from TMA). Each of these tools uses a different approach to perform the correction (rules, simulation or model). To assess the accuracy of the correction, a test chip was fabricated, which contains corrections done by each software tool. The advantages and weakness of the several solutions are discussed.
New method for optical proximity correction with gray-level serifs
Jinglei Du, Qizhong Huang, Yongkang Guo, et al.
Based on analysis of physical mechanics on optical proximity effect, we present a new method for fine correction of optical proximity effect and point out that the optimum of amplitude distribution on mask can improve distribution of spatial frequency spectrum, so intensity distribution of printed image near ideal distribution can be obtained. The simulation results show that deviation between contour of image after OPC and contour of ideal image is less than 0.009.
Poster Session IX: Exposure Tools and Subsystems
icon_mobile_dropdown
New distortion metrology using reticle coordinate error
Izumi Tsukamoto, Hirohiko Shinonaga
There are several ways to measure distortion of lithography exposure equipment, and with each of the distortion metrology technique, coordinate errors of distortion measurement pattern on the reticle affect distortion measurements. The most common way to remove the impact of the reticle coordinate error is to measure such errors in advance using a pattern placement metrology tool and correct distortion measurements based on the coordinate error. We have found, however, that when measuring distortion using two different reticles on the same exposure tool, the two measurement results did not agree with each other with a difference at a 20 nm level (3 sigma) even after being corrected according to the measured reticle coordinate error. After studying this problem, we successfully had distortion measurements on the wafer using two different reticles agree with each other at a 10 nm level (3 sigma) by introducing a technique called STofs., system offset, method. This paper reports that exposure tool distortion can be extracted with more precision by applying the new reticle coordinate error measurement technique.
Application of the Brewster angle illumination technique to eliminate resist-induced alignment errors
Xun Chen, Amir Aalam Ghazanfarian, Mark A. McCord, et al.
Resist coating on alignment marks can be asymmetrical due to the spinning motion. Alignment errors may result from this asymmetrical coating because the alignment signal can contain asymmetry when the optics detects the mark through the resist. The amount of signal asymmetry depends on the mark topography, resist thickness, spin speed, location on the wafer and the alignment technique. We have proposed a Brewster angle illumination technique (BAIT) as an effective means to greatly reduce the resist-induced alignment error by reducing alignment signal reflections from the surface of the resist. In this paper, we present the experimental results. We built an interferometric alignment system to implement BAIT. By scanning a resist-coated mark in close proximity to an exposed reference mark, drift and other system offsets are minimized, allowing an offset detectability of 6 nm. Resist-induced alignment errors with a radial dependence on mark position and magnitudes up to 25 nm have been measured when BAIT is not used. However, when BAIT is employed the resist-induced errors at all positions on the wafer are reduced to less than 10 nm and are close to the resolution limit of the alignment setup. The validity of the data was established by changing the polarization of the light from TM to TE, thereby destroying the BAIT condition while holding all other parameters constant. A study of the dependence of resist-induced alignment error on spin speed reveals that the amount of asymmetry in the resist profile increases monotonically as the spin speed increases. This effect is due to two factors: that the resist is thinner and that the spin speed is also faster. Further study using a thinner to dilute the resist isolated these factors and showed that the resist-induced alignment errors depend more sensitively on spin speed than the resist thickness. In most of the cases, the BAIT alignment system is immune to resist coating asymmetry and the error magnitude stays below 10 nm. However, the BAIT cannot work effectively when resist thickness becomes thinner than 0.6 micrometer. This limitation of BAIT technique was attributed to the ripples in the resist profile causing local impinging angles to deviate significantly from the Brewster angle.
Alignment system for ArF excimer-laser-based step-and-scan system
Dohoon Kim, Jong-Soo Kim, Yeung Joon Sohn, et al.
ArF excimer laser exposure tool is expected as a workhorse in gigabit DRAM mass production era. It can resolve 0.18 micrometer or finer patterns due to its short wavelength of illumination light. Also, the step-and-repeat photolithography system is changing to more complicated step-and-scan system. On the other hand, this in turn requires alignment system to work to tighter budgets. In the 0.18 micrometer optical lithography performance level, overlay error should be maximum 40 nm. In this paper, we report the theory and design parameters of the alignment system for home made ArF excimer laser based step & scan system. We have examined the advantages of our alignment system, and have implemented a trade-off strategy. Our discussion includes an overview of the alignment system which composed of reticle alignment system, wafer alignment system (off-axis and TTL) and auto focus/leveling system.
Laser alignment strictness for optical diffraction effect in lithography processes
Hsun-Peng Lin, Chih-Hsiung Lee, Yi-Chyuan Lo, et al.
In the photo process, the product wafers' overlay accuracy mostly depends on the global alignment and final alignment result. Therefore, the key parameter of product wafer will be calculated via the laser onto the grating mark resulted in moire signal. The key parameter includes x, y coordinate, wafer rotation data, wafer orthogonal data, step scaling data. The alignment failed issues always suffer from the various films and thickness by laser alignment. Such as figure 1 is the power IC device for failed laser alignment. Figure 2 is the compared laser alignment issue about the WGA and LSA multiple grating mark, figure 3 is the compared laser alignment issue about the moire signal. In this paper, we provide several methods to improve the laser alignment issue. Specially, according to experimental results, we find out the improved direction for the laser alignment. The improved direction is that changed grating mark width, using the convex grating mark, using the higher viscosity photo resist and using the dry etching grating mark in etching process.
Design of illumination system for ArF excimer laser step-and-scanner
Kag Hyeon Lee, Dohoon Kim, Jong-Soo Kim, et al.
In a lithography tool, illumination uniformity on the wafer surface is important, because the variance of intensity on the wafer surface makes it hard to control the line width of the pattern. An illuminating system for ArF excimer laser step- and-scanner has been designed and assessed. The system showed good illumination homogeneity in both of the reticle and pupil plane with a reasonable light transmission efficiency. The goal of design is the uniformity within plus or minus 1% on the reticle surface. In order to achieve the goal, the output beam of the excimer laser with nonuniform intensity distribution was re-shaped by using a beam expander which is composed of 4 cylindrical lenses, and the zoom lens varying the beam size according to the aperture of fly's eye lens integrator. The fly's eye lens integrator consists of 208 lenses and generates the good homogeneity in the reticle plane. The effective light sources, i.e. the images made by fly's eye lenses, were projected onto the entrance pupil of the combined system of projection and relay lenses. The exposure field at reticle plane is 104 X 20 mm2, and is defined as the image of reticle blinder by the 1x relay optics. The designed illumination system showed good performance by simulation and it will be adequate to the ArF excimer laser step-and-scanner under development.
DUV synchrotron exposure station at CAMD
Chantal G. Khan Malek, Volker Saile, J. Michael Klopf, et al.
A new synchrotron radiation exposure station dedicated to deep-UV exposures has been installed at the synchrotron light source at the Center for Advanced Microstructures and Devices (CAMD). It complements the activities in synchrotron-based lithography including X-ray lithography, deep X-ray lithography, and under way, ultra-deep X-ray lithography. The UV station branches out of the X-ray lithography beamline. A retractable Si mirror reflects the incoming synchrotron radiation beam by 90 deg through a CaF2 window. Three insertable bandpass filters allow the selection of broad-band transmission spectra around the wavelengths of two excimer lasers at 248 nm (KrF) and 193 nm (ArF), and at a shorter wavelength of 187 nm. The station allows for exposures under vacuum or in an inert gas atmosphere.
ArF excimer laser for 193-nm lithography
Uwe Stamm, Rainer Paetzel, Juergen Kleinschmidt, et al.
Considerable progress has been made in the development of the major components for 193 nm lithography tools. Here we describe the parameters of a line-narrowed ArF excimer laser for microlithography. With a specified FWHM bandwidth of less than 0.7 pm, the laser is applicable for refractive steppers and scanners which utilize some degree of achromatization. Prototype lasers have been built to study the optimum parameters. The main challenge of the development was the achievement of high efficiency in the conversion from the laser's broadband emission into line-narrowed emission. The lasers are operated at up to 1 kHz repetition rate with a maximum power of 10 W. This paper provides an overview of the currently achievable power levels, energy stability and bandwidths and discusses future trends.
ArF lasers for production of semiconductor devices with CD<0.15 um
The present day notion of the extensibility of KrF laser technology to ArF is revisited. We show that a robust solution to ArF requirements can be met by significantly altering the laser's core technology-discharge chamber, pulsed power and optics. With these changes, a practical ArF tool can be developed. Some of the laser specifications are: Bandwidth: 0.6 pm (FWHM) 1.75 pm (95% Included Energy); Average Power: 5 W; Repetition Rate: 1000 Hz; Energy Stability (3(sigma) ): 20% (burst mode) 8% (continuous); Pulse Width: 25 ns.
Feasibility studies of operating KrF lasers at ultranarrow spectral bandwidths for 0.18-um line widths
Alexander I. Ershov, Thomas Hofmann, William N. Partlo, et al.
The use of higher NA lenses and higher throughput of the next generation 248 nm microlithography systems sets tight requirements on the spectral properties of the laser as well as its power output and dose stability. We demonstrate that such scaling of spectral widths, power and repetition rates is possible by revisiting some of the dynamics of evolution of laser spectrum and stability of laser discharge. In the following, we present results of several optical configurations, that result in spectral widths between 1.0 and 2.0 pm (95% integrated linewidth). The optical configurations are derivatives of Cymer's standard Littrow grating and prism expander configuration. Thereby, the other parameters (beam size, coherence, etc.) are not impacted. Simultaneously, we provide results of scaling a laser to 2 kHz with a dose stability of less than plus or minus 0.5% over a 16 ms window. The resulting laser is now capable of meeting the technical requirements of the next generation microlithography scanners.
High-spectral-purity and high-durability kHz KrF excimer laser with advanced rf preionization discharge
Tatsuo Enami, Osamu Wakabayashi, Toshihiro Nishisaka, et al.
We present the performance and durability of the newest model of the KrF excimer laser for microlithography KLES-G10K. The laser achieves 10 W of output power with 0.7 pm bandwidth at 1000 Hz with newly developed solid state pulsed power module and the high precise narrowing module. The durability of laser tube achieves 5 billion pulses with the new radio frequency preionization scheme, which reduces consumption of fluorine gas and maintenance of laser tube drastically.
Stability of optical interference coatings exposed to low-fluence 193-nm ArF radiation
We report on our investigations on the long-term behavior of optical coatings under 193 nm laser irradiation in dependence on coating materials, radiation conditions, and substrate properties. A wide variety of different highly reflective dielectric mirrors and antireflective coatings, deposited by an ultra low loss evaporation process onto calcium fluoride and fused silica, has been tested. Irradiation experiments with highly reflective coatings show that fluoride coatings exhibit nearly no changes of their optical function in air as well as in argon atmosphere due to low initial absorption levels. Temporal atmospheric contaminations can be removed by using appropriate irradiation conditions. Oxide layers tend to post-oxidize during 193 nm exposure in air and the DUV absorption level will be reduced. Effectively, reflectance of multilayer coatings on the basis of oxide materials can be improved through laser irradiation. Irradiation experiments with antireflective coatings point out the dominant role of bulk and surface properties of the substrate for prolonged laser irradiation. In addition, we present laser induced damage thresholds to demonstrate upper limits of laser radiation resistance that can be achieved nowadays with several types of coatings.
Surface finish and optical quality of CaF2 for UV lithography applications
CaF2 has received increasing attention as a promising substrate for coatings in the VUV range. Optimization of the optical properties of these optical components requires the study of basic characteristics of the coated and uncoated CaF2 substrates such as surface roughness, optical performance, absorption and scatter losses, and laser induced damage threshold. The investigations reveal the influence of different substrate polishing grades on the quality of the coated components.
Characterizing the absorption and aging behavior of DUV optical material by high-resolution excimer laser calorimetry
Klaus R. Mann, Eric Eva
Absorption loss in DUV optics during 193 nm irradiation is investigated by employing a high-resolution calorimetric technique which allows determining both single and two photon absorption coefficients at energy densities of several 10 mJ/cm2, avoiding a significant thermal load on the samples. UV calorimetry is also employed to investigate laser induced aging phenomena, e.g. color center formation in fused silica or CaF2. A separation of transient and cumulative effects as a function of intensity can be achieved, giving insight into various loss mechanisms. Moreover, the influence of dielectric coatings on the absorption characteristics is discussed.
Chromatic aberration-free TTL alignment system for 193-nm step-and-scan exposure system by using phase conjugate waves
Jin Hyuk Kwon, Yeung Joon Sohn, Hyo Chang Hwang, et al.
A TTL (through-the-lens) alignment system using the holographic phase conjugation in photopolymer films for application to ArF step-and-scan exposure system was designed, and the TTL alignment signals were obtained. The optical setup is similar to the DFWM (degenerate four-wave mixing). The recording materials were HRF 150 photopolymer films of Du Pont corporation, and the recording wavelength was 476 nm of argon ion laser with 400 mW output power. The diffraction efficiencies of photopolymer film were typically around 50%. Fine patterns as small as 1 micron were imaged successfully by the phase conjugate waves generated by DFWM holography. For TTL alignment, the 'X' or chevron patterns of the 1 or 2 micron linewidths were recorded as hologram and the reconstructed phase conjugate beams were used as the align beam through the projection lens which has strong chromatic aberration. TTL alignment signals were obtained by scanning the wafer with alignment mark under the align beam.
Process Optimization and Enhancement Techniques
icon_mobile_dropdown
New variable-transmission illumination technique optimized with design rule criteria
Raymond A. Cirelli, Masis M. Mkrtchyan, Pat G. Watson, et al.
We report on a novel technique for tuning the illumination of a lithography tool through the use of variable transmission apertures. In conjunction with this illumination technique, we have developed simulation software capable of identifying the optimum source plane coherence and intensity distribution to increase process latitude. This 'system' approach is capable of analyzing features specific to a given device level, or selected subsets of structure types within a given level. The fabrication of the aperture involves selectively depositing (alpha) -C onto a quartz plate that is inserted into the illuminator. Experimental testing has shown this film to be stable in its optical properties with extended exposure to DUV light. A description of the simulation software, aperture fabrication techniques, materials used, and experimental results for several aperture configurations are reported.
Poster Session IX: Exposure Tools and Subsystems
icon_mobile_dropdown
Improvement of overlay in the oxide- and W-chemical-mechanical polish processes
Sen-Shan Yang
The issue regarding wafer alignment is arisen due to flattening of the alignment mark topography by oxide- and W- CMP process. This results in degradation in alignment signal intensity which is a crucial factor affecting overlay accuracy. Computer simulation of alignment signal intensity for the oxide- and W-CMP processes has been successfully performed. Result indicates alignment signal intensity swings with depth of the phase grating alignment mark. A critical range of depth has to be maintained for achieving alignment signal intensity high enough for overlay accuracy. The W-CMP process is thus utilized for obtaining depth of alignment mark within this range. Experiment has successfully demonstrated improvement in overlay.
Reformulation for latent image formation model in photolithography using numerical absorbing boundary condition
In-Ho Park, Hye-Keun Oh, S. B. Hyun
A method for simulating latent image formation in a photoresist illuminated by an arbitrary imaging system is presented. The perfectly matched layer absorbing boundary condition is applied to take wave propagation in the infinite region surrounding the photoresist into account. The validity of the method is examined by comparing the results with those made by the vertical propagation model and the previous two- dimensional models.
Modifications of polymeric ARC films by UV irradiation
Ronald A. Carpio, Alan Stephen, Jeffrey A. Eisele
Plasma etch studies as well as optical spectroscopic and contact angle measurements have been performed on a number of blanket spin-on organic antireflective coatings prior to and after being subjected to various UV photostabilization processes. Included in this study are antireflective coatings, which are in current use for 248 nm and 365 nm applications as well as others that are being evaluated for 193 nm lithography. The exposure dose, temperature, and blanketing atmosphere during the curing process were varied. The objective of these studies is to generate fundamental information regarding the impact of different UV photostabilization processes upon the structural, optical, and surface properties as well as upon the etch characteristics of these films.