Proceedings Volume 3332

Metrology, Inspection, and Process Control for Microlithography XII

cover
Proceedings Volume 3332

Metrology, Inspection, and Process Control for Microlithography XII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 8 June 1998
Contents: 11 Sessions, 74 Papers, 0 Presentations
Conference: 23rd Annual International Symposium on Microlithography 1998
Volume Number: 3332

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Scanning Probe Microscopy
  • Scanning Electron Microscopy I
  • Scanning Electron Microscopy II
  • Registration and Overlay I
  • Process Control Optimization I
  • Resist Process Metrology
  • Defect Detection and Analysis
  • Registration and Overlay II
  • Process Control Optimization II
  • Linewidth Calibration Metrology
  • Poster Session
  • Linewidth Calibration Metrology
  • Poster Session
  • Scanning Electron Microscopy II
  • Poster Session
  • Registration and Overlay I
  • Poster Session
  • Linewidth Calibration Metrology
  • Registration and Overlay I
  • Poster Session
Scanning Probe Microscopy
icon_mobile_dropdown
AFM: a valid reference tool?
Herschel M. Marchman, Nichole Dunham
To first order, the use of AFM as a reference tool potentially offers a large improvement over pre'ious techniques for matching and calibration of on-line CL)-SEMs. However, the utility of this approach depends on the validity of the assumption that AFM precision and accuracy are relatively constant with sample type. A fullfactorial design using analysis of variations (ANOVA) has been employed in order to gauge the precision of a non-contact mode CD AFM over multiple sample types and instrument conditions. 'The effects of material layer, pattern geometry, feature shape, and tip size on AFM precision viill he presented.
Strategy for faster blind reconstruction of tip geometry for scanned probe microscopy
In scanned probe microscopy, it is necessary to know the tip's geometry in order to correct image distortions due to its finite size. Heretofore, methods have focused upon determining the tip shape by erosion of a 'tip characterizer' of known geometry from its image. Three dimensional knowledge of the characterizer with uncertainties small at the size scale of the tip is a prerequisite for this method. The necessary accuracy is often difficult to meet in practice, particularly for characterizers with relief on the order of a micrometer such as are required to measure tips for use with microlithographic specimens A recently proposed alternative, blind reconstruction, permits estimation of the tip shape even with an unknown tip characterizer. The method relies upon the fact that tips which are too blunt are inconsistent with observed image features. For each pixel on a measured image, one may determine a corresponding outer bound on the tip shape. The actual tip must be consistent with the bounds determined for all image points. For well-chosen characterizers, blind reconstruction provides a good estimate of the tip geometry. Although this method eliminates the need for separate calibration of the tip characterizer, it is more computationally intensive than reconstruction by erosion. Blind reconstruction is reviewed, and a strategy for decreasing the computation time is discussed. A factor of three or more may be saved for typical images in an exact calculation, while an additional factor of ten or more may be saved by restoring to approximate methods.
Metrology methods for the quantification of edge roughness
Carla M. Nelson-Thomas, Susan C. Palmateer, Theodore M. Lyszczarz
As the critical dimension (CD) for semiconductor devices continues to shrink, new thin-layer imaging processes such as bi-layer, Top-Surface Imaging (TSI), Plasma Polymerized Methylsilane (PPMS), and CARL may be required. However, features patterned with these non-traditional processes have inherent high-frequency edge-roughness. If this edge-roughness can not be reduced, it will limit the use of these processes below 0.15 micrometer by reducing process latitude, since the edge-roughness contributes to CD variation and possibly affects device reliability. In order to measure the edge- roughness, a quantitative metrology method needs to be developed. This paper covers the use of a Digital Instruments AFM, a Veeco AFM, and old FE SEM, and a new high resolution SEM for the measurement of the edge-roughness of these patterned features. Quantitative measurements, both in magnitude and spatial frequency are described for each metrology tool. Discussions are made of the parameters that limit the edge-roughness measurement and compared to the parameters that are known to affect CD measurement. Examples of measured edge-roughness are given for a variety of dry developed samples including features processed with an oxide hard mask and TSI. Edge-roughness of chrome features on the reticle, patterned TSI features, and patterned single-layer features are compared to confirm that the higher frequency roughness observed in TSI is not transferred from the reticle.
Plasma etch process characterization: an application of atomic force microscopy
With the progress of deep sub-micron semiconductor technology, metrology becomes more critical for plasma etch process development and characterization. Small feature line width, height/depth, and sidewall profile are all important parameters to characterize. The difficulty of accurately and efficiently measure these parameters has become a big challenge to the current metrology tools. Atomic force microscope (AFM) is an attractive alternative metrology tool to meet these challenges in plasma etch process characterization. A Veeco Dektak SXM atomic force microscope is used in this study and several AFM applications in plasma etch process characterization are developed. In shallow trench isolation trench etch process, AFM is used for etch uniformity, etch microloading, and trench profile characterization down to 0.200 micrometer trench geometry. In gate etch process, AFM is used for gate critical dimension (CD) measurement and gate etch profile measurement. The results correspond well with the normal metrology approach. The applications of AFM in local interconnect etch and metal etch are also discussed.
Scanning Electron Microscopy I
icon_mobile_dropdown
Ultralow-energy imaging for metrology
Ultra-low energy (ULV) scanning electron microscopy is performed in the range between 20 eV and 100 eV. By the use of a retarding field cathode lens assembly ULV imaging with a spatial resolution in the nanometer range has been achieved. This performance is close the predicted theoretical value but is limited by astigmatism and signal to noise considerations. The modes of signal formation at ULV are well suited for metrology and this type of operation may offer reduced beam damage and charging artifacts.
Approach to CD-SEM metrology utilizing the full waveform signal
John M. McIntosh, Brittin C. Kane, Jeffery B. Bindell, et al.
Critical dimension scanning electron microscope metrology attempts to relate the electron intensity signal of the SEM tool to the actual size and shape of the feature measured. This intensity signal is frequently visualized and manipulated as a profile or waveform. A measurement of the size of a futuro involves the extraction of edge positions from this waveform. Traditional line width metrology ignores much of the effect of the variation of the shape of the feature measured on the waveform to be analyzed. Deducing the shape of a feature from the waveform requires interpretation of the shape of the waveform. Analysis of the CD SEM intensity signal allows one to not only measure the specific width of a feature but it also allows a better estimate as to the actual shape of the feature. Both photo and etch production process drift can be monitored, resulting in improved process and quality control before gross failures in metrology occur.
Nanometer-level metrology with a low-voltage CD SEM
Toshiyuki Yoshimura, Makoto Ezumi, Tadashi Otaka, et al.
This paper describes the application of a low-voltage scanning electron microscope (SEM) with nanometer-level accuracy for measurement in ultra-large-scale integration (ULSI). Minimum feature sizes of integrated circuits are expected to reach the 100-nm level and below (the nanometer region) in the near future. For the lithography process under that regime, precise critical dimension (CD) control and high resolution of resist patterns will be quite important for device fabrication, because variations in pattern sizes will degrade circuit performance. Therefore, metrology with nanometer-level accuracy is required for device fabrication under the regime. Here, we report on a CD-SEM that operates at 500 V to measure patterns at the 1 Gbit level. We used the S-8840 (Hitachi) to measure holes, lines/spaces, and the calibration standard (Micro-Scale). Several voltages from 500 V to 1000 V were used for the measurements. Static variation of less than 3 nm (3(sigma) ) was obtained in the pitch measurement of the Micro- Scale regardless of the acceleration voltages. For the holes, a lower voltage provided higher accuracy in static measurements. In the nanometer region, resist-pattern sizes microscopically fluctuate to the level of 10 nm due to the polymer characteristics of the resists (nano edge roughness). We could also characterize resist-pattern fluctuations with high accuracy. We compared our measurements with those from an atomic force microscope (AFM) for nanometer-level metrology, and conclude that at present CD-SEMs are more advantageous because of their higher accuracy and throughput.
Evaluation of scanning electron microscope resolution
Aude Maulny, Gilles L. Fanget
The evaluation of Scanning Electron Microscopes (SEM) resolution through Two Dimensions Fast Fourier Transform (2D FFT) image analysis is becoming a standard. We propose an improvement of these methods with a patented technique. This new image processing is designed to extract the transfer function of the SEM from the picture and then to realize the analysis of this function. A first algorithm extracts an 'ideal' image of the sample from the 'raw' image obtained on the equipment. Then a second algorithm extracts the SEM transfer function through a comparison between the two images ('ideal' and 'raw'). Finally a third algorithm modelizes the transfer function as a two dimensions Normal function and draws out the result. The representation of the transfer function of the SEM with a Normal function allows to define the shape of an Equivalent of the Electron Beam (EEB). This EEB represents the primary electron beam altered by the interactions with the sample and the losses in the acquisition loop. It is important to outline these alterations as they limit the sharpness of the images obtained from the tool. This way of doing lessens the influence of sample parameters on the final results and thus represent more precisely the SEM Transfer Function.
Influence of various factors on charging effects in linewidth metrology
The charging effects has been investigated quantitatively using Monte Carlo (MC) simulation when the linewidth of the insulator is measured by Scanning Electron Microscope (SEM) in secondary electron (SE) detection mode and with the low accelerating voltage around 1 kV. The yield of the electron generation is near the unity for most materials under low voltage condition, and is slightly different from unity depending on the material and geometry of the pattern. For insulators, however, such a yield difference leads to locally different charge accumulation that influences on the measured linewidth. In this paper, we set reference operating and shape conditions for isolated and array pattern of PMMA/Si wafer, and calculated the influence of charging effects on linewidth metrology according to change of each condition. We have used 50% threshold and linear regression algorithm for the edge determination and calculated the offset in those conditions. The most critical factor in the linewidth measurement is the charging in the edge, which results in large offsets from the linewidth to be measured in normal state. We also calculated the influence on the linewidth measurement of the variation of charging state with elapse of time.
Matching analysis on seven manufacturing CD SEMs
Reginald R. Bowley Jr., James E. Beecher, Robert M. Cogley, et al.
The control of critical dimensions is of primary importance in a semiconductor manufacturing line. While the use of level- dedicated CDSEMs assures maximum process control, it is preferable to use multiple CDSEMs interchangeably to minimize the effect of any CDSEM unavailability caused by systems being down, preventive maintenance, or when maximum flexibility and enhanced production throughput are required. Maintaining process control while measuring on multiple CDSEMs requires that CDSEM tool-to-tool matching of less than 5 nm be achieved and maintained. This paper describes a methodology whereby a seven-system CDSEM toolset is initially baselined to determine the subset(s) of systems which most closely match. A method of evaluating the inherent matching of seven OPALTM7830i CDSEMs within a single manufacturing facility is presented. The matching analysis was performed using a single production- level wafer, a level known to have previously exhibited poor matching results. All seven CDSEMs were networked to a common database server to insure that all measured consistently across the toolset. An experiment is described to ascertain the effects of multiple electron-beam measurements on this semiconductor sample and to evaluate any relative dimensional changes. A methodology for monitoring and controlling CDSEM system parameters during the matching evaluation is described. Finally, a procedure for determining the subset(s) of matched CDSEMs using analysis-of-variance (ANOVA), least significant difference (LSD, also known as a pairwise t-test) and Duncan's Multiple Range Test is presented. Using these methods, three subsets of systems are defined within the seven-system toolset that exhibited similar matching performance.
Scanning Electron Microscopy II
icon_mobile_dropdown
Some issues in SEM-based metrology
The scanning electron microscope (SEM) has many advantages for tasks for as metrology and defect review compared to other competitive technologies, but the level of performance required to meet the specifications proposed for the next generation of devices will raise some significant problems that must be overcome. These include theoretical limits on the spatial resolution, practical limits to performance set by the electron-optical characteristics of the SEM, and the dynamic response of the instrument to signal information. Unwanted artifacts of the electron-solid interaction such as charging and radiation damage must also be considered as potential restrictions to performance.
Subnanometer-precision metrology for 100-nm gate linewidth control
Kevin M. Monahan, Craig W. MacNaughton, Waiman Ng, et al.
The 0.13 micrometer semiconductor manufacturing generation will have transistor gate structures as small as 100 nm, creating a demand for 10 nm gate linewidth control and for measurement precision on the order of 2 nm. This process control requirement is inherently long-term. Therefore, measurement equipment should be able to run days or weeks without significant excursions. The requirement for long-term precision drives both the design and use of measurement equipment. We have found that long-term measurement precision on a single tool may be divided into orthogonal components corresponding to static repeatability, short-term dynamic reproducibility, and long-term stability of the tool. The static component is limited primarily by signal-to-noise ratio, the dynamic component is limited primarily by sample positioning and focusing, and the long-term component is limited primarily by instrument drift and, in the case of monitor wafers, aging of the sample. In this work, we show that each of these components can be reduced to less than 1 nm, 3-sigma, for CD SEM measurements of etched polysilicon gate structures.
Comparison of properties of electrical test structures patterned in BESOI and SIMOX films for CD reference-material applications
Richard A. Allen, Rathindra N. Ghoshtagore, Michael W. Cresswell, et al.
The National Institute of Standards and Technology (NIST) is exploring the feasibility of using artifacts fabricated on silicon-on-insulator (SOI) materials to quantify methods divergence, for critical dimension (CD) metrology applications. Test structures, patterned on two types of (110) SOI materials, SIMOX (Separation by IMplantation of OXygen) and BESOI (Bonded-and-Etched-back Silicon-on-Insulator), have been compared. In this paper, we describe results of electrical critical dimension (ECD) measurements and the relative performance of the test structures fabricated on the two SOI materials.
Measuring the size and intensity distribution of SEM beam spot
Alex Goldenshtein, Yaron I. Gold, Haim Chayet
In this paper, a method for measuring the SEM electron beam spot profile is reported: a thin (1 micrometer) film aperture is used as a knife-edge target, and scanned at high magnification. The derivative of the detected signal is proportional to the spot cross section width. High contrast is achieved at the edge of the aperture hole, because the hole boundary is well-defined and because the electron yield is zero inside the hole. Therefore, the measurement is independent of detection efficiency, electron collection and electron-material interaction. A non-zero spot size results in image blurring and reduced resolution. Knowledge of spot size and intensity distribution may be used, as in the Opal 7830Si, for countering this effect. Monitoring spot characteristics may also be used for diagnostic purposes, such as detecting astigmatism or misalignment.
Toward a unified advanced CD-SEM specification for sub-0.18-um technology
The stringent critical dimension control requirements in cutting edge device facilities have placed significant demands on metrologists and upon the tools they use. We are developing a unified, advanced critical dimension scanning electron microscope specification in the interests of providing a unified criterion of performance and testing. The specification is grounded on standard definitions and strong principles of metrology. The current revision is to be published as a SEMATECH document. A new revision, now in progress, will embody the consensus of a vendor/user conference.
Registration and Overlay I
icon_mobile_dropdown
High-resolution profilometry for improved overlay measurements of CMP-processed layers
Anna Mathai, Jason Schneir
Chemical-mechanical polishing (CMP) processes are widely used in the semiconductor industry to enable multilevel device processing and smaller device features with smaller stepper lens depth of focus. However, CMP planarization of the wafers can lead to failure modes in stepper alignment and overlay measurements that rely on some topography for contrast. It is also been speculated that the CMP process, with its rotating pads that are wetted with polishing slurry, has a spreading or smearing effect on the overlay targets. Both these effects can lead to inaccurate and imprecise overlay measurements. In this paper, we present the results of measuring the depth and asymmetry of overlay targets post-CMP using a High Resolution Profiler. We find clear evidence of smearing in post-CMP overlay targets and discuss its impact on stepper registration error.
New method of correlating product wafer yield to alignment performance and an optimized accounting method for product wafer alignment
Hao Zhou
This paper proposes a new method that uses normal production mask set in combination with stepper alignment control feature to generate a wide range of alignment offsets with a possible die to die misalignment increment of 10 nm. Experimental results with the new method are reported in this paper. Once the true overlay error budget is known, an adequate sampling and a precise accounting method are required to report the alignment performance. It is found that current accounting method could report an overlay error that is 100% larger than the worst misalignment sampled. A new method to partition the overlay data into subgroups was proposed to take advantage of the nature of the stepper alignment and product overlay error sources. It truly reflects the misalignment from wafer to wafer and takes into account the worst case, which is what the chip designers really care about.
Overlay error due to lens coma and asymmetric illumination dependence on pattern features
Coma aberration of projection optics and asymmetric illumination degrade a resist profile symmetricity and enhanced a placement error which depend on pattern size, density and feature. Relative displacements between 2.0 micrometer isolated lines and sub-micron periodic lines are measured to characterize the coma aberration and the illumination telecentricity. A conventional overlay inspection tool and bars-in-bars marks are used for this evaluation. It is difficult to measure the placement error of periodic patterns because their inner patterns have a different placement error from their both ends. The differences in line- width between both ends of periodic lines are caused by the differences in placement errors between their inner and their both ends patterns. The measurement marks for periodic lines are fabricated by the double exposure of two marks. When the resist patterns are imaged by the interference of only three diffracted beams, the coma aberration of Zernike's polynomials can be calculated by the simple expressions from the measurement results. We derived the condition of coherency (sigma) and pattern pitch P which satisfy the imaging of only three diffracted beams. The coma aberration is estimated to be 0.12 wavelength at maximum for the exposure system we applied. In this method, the focus is not so important for the accurate measurement because the measurement data remain constant at any foci. It takes only one hour to estimate the coma aberration completely including a sample making (sample making: 0.5 h, measurement/evaluation: 0.5 h). The relative pattern shifts between large patterns and fine patterns could be usually caused by not only coma aberration but also illumination optics. The telecentricity of the illumination optics is also discussed in this paper.
Process Control Optimization I
icon_mobile_dropdown
Seeing the forest for the trees: a new approach to CD control
Christopher P. Ausschnitt, Mark E. Lagus
Critical dimension (CD) control in advanced semiconductor manufacturing has driven the in-line implementation of complex, high-resolution metrology systems to monitor minimum product dimensions. Yet the high-resolution approach is inconsistent with in-line CD control objectives: resolution does not translate to precision or accuracy, weak response to process parameters inhibits feedback control, sparse sampling precludes partitioning contributors to CD variation, and extendibility to future product generations is in question. We show that superior, affordable and extendable CD control is achieved by optical critical dimension (OCD) measurement of pattern arrays ('forests') whose individual features ('trees') need not be resolved by the metrology tool. The array dimension, averaged over multiple features, responds to CD change about a target value calibrated to the desired device dimension. Response sensitivity greater than that of the minimum dimension can improve the signal-to-noise for feedback control as well as the precision-to-tolerance for product dispositioning. Relatively low-cost and high-speed metrology enables increased product sampling. We describe our application of OCD metrology to 0.25 micrometer CMOS products.
Defect inspection and linewidth measurement of SCALPEL thin membrane masks using optical transmission
Reginald C. Farrow, Myrtle I. Blakey, Richard J. Kasica, et al.
The purpose of the study reported here was to determine the range of material parameters and optical conditions necessary for using light to identify and categorize defects and to measure linewidths in SCALPEL masks. A prototype 4X SCALPEL mask with a 150 nm SiNx membrane and 50/10 nm W/Cr scatterer was used for the measurements. Die to die defect inspections were performed using a KLA 300 Series mask inspection system with 488 nm light in transmission. There was sufficient contrast to detect defects within test features with critical dimensions as small as 0.72 micrometer which would make optical defect inspection feasible for the 0.18 micrometer generation of integrated circuit (IC) reticles. Linewidth measurements were performed with the KMS 310RT mask metrology system in transmission on features ranging from 1.04 to 0.32 micrometer and compared to scanning electron microscope (SEM) measurements. The optically measured linewidths were linear in the range 0.4 to 1.04 micrometer which would be suitable for 0.1 micrometer IC reticles. The optical properties of SCALPEL masks constructed with Si3N4 membranes were calculated as a function of wavelength and membrane thickness. The requirements for extending optical inspection capability to smaller feature sizes and other measurement modes are discussed.
Rapid yield learning through optical defect and electrical test analysis
As semiconductor device density and wafer area continue to increase, the volume of in-line and off-line data required to diagnose yield-limiting conditions is growing exponentially. To manage this data in the future, analysis tools will be required that can automatically reduce this data to useful information, e.g., by assisting the engineer in rapid root- cause diagnosis of defect generating mechanisms. In this paper, we describe a technology known as Spatial Signature Analysis (SSA) and its application to both optically-detected defect data as well as electrical test (e-test) bin data. The results of a validation study are summarized that demonstrate the effectiveness of the SSA approach on optical defect wafermaps through field-testing at three semiconductor manufacturing sites on ASIC, DRAM and SRAM products. This method has been extended to analyze and interpret electrical test data and to provide a pathway for correlation of this data with in-line optical measurements. The image processing- based, fuzzy classifier system used for optical defect SSA has been adopted and applied to e-test binmaps to interpret and rapidly identify characteristic patterns, or 'signatures,' in the binmap data that are uniquely associated with the manufacturing process. An image of the binmap is created, and features such as mass, simple moments, and invariant moments are extracted and presented to a pair-wise, fuzzy, k-NN classifier. The preliminary performance results show an 84% correct e-test signature classification rate, even under sub- optimal training conditions.
SPC tracking and run monitoring of a CD SEM
John A. Allgair, Dustin G. Ruehle, Richard C. Elliott, et al.
Semiconductor manufacturers should ensure that their automated critical dimension scanning electron microscopes (CD-SEMs) are maintaining run functionality in addition to providing precise and reliable measurements over time. In the past, chip manufactures have focused more on tracking measurement repeatability because testing for automated run functionality has proven difficult. A new method employing SPC monitoring, e-beam image analysis, line scan tracking, and automation testing has been developed that tests for both measurement and job repeatability. This method will extend the monitor wafer's lifetime, prove useful for day-to-day system qualification, provide a benchmark for SEM qualification following maintenance work, and become an important cornerstone of system matching.
Resist Process Metrology
icon_mobile_dropdown
Ultrasonic cure and temperature monitoring of photoresist during the pre-exposure bake process
Susan L. Morton, F. Levent Degertekin, Butrus T. Khuri-Yakub
A system of in situ ultrasonic sensors has been developed that can be used to monitor the photoresist prebake process. A high frequency phase measurement monitors the resist film properties while a lower frequency time of flight measurement monitors the corresponding wafer temperature. The high frequency measurement involves calculating the phase of an ultrasound signal as it is reflected from the silicon/photoresist interface. As the photoresist film changes in thickness and viscoelastic properties, the phase of the reflected signal will change. In this way, it is possible to follow how the photoresist film changes as it bakes; the solvent evaporates from the resist, decreasing the thickness and increasing the density. Results indicate that there is a phase minimum at a repeatable temperature, believed to be the softening or glass transition temperature (Tg). The lower frequency (200 kHz) time of flight measurement employs PZT-5H piezoelectric transducers bonded to a quartz buffer rod. The transducer generates a Lamb wave in the wafer which is then detected at another location by an identical transducer. The time of flight of the Lamb wave through the wafer depends linearly on temperature. Using these two sensors, we can measure the wafer temperature and the photoresist properties during prebake; providing us with the information necessary for in situ process control.
In-situ metrology for deep-ultraviolet lithography process control
Nickhil H. Jakatdar, Xinhui Niu, John T. Musacchio, et al.
Submicron Deep Ultraviolet (DUV) photolithographic processes present significant manufacturing challenges due to the relatively small process windows often associated with these technologies. The sensitivity of the process to small upstream variations in incoming film reflectivity, photoresist coat and softbake steps as well as the bake plate temperature can result in the final critical dimension (CD) going out of specifications and more importantly, not being identified until the end of the lot. In this work, we have identified the pre-exposure film stack reflectance and the pre-develop exposed area photoresist thickness loss as wafer state quantities, related to the final photoresist critical dimension. A control model integrating equipment and wafer state parameters has been constructed, using a designed experiment, to facilitate control of the photolithography sequence. We have also installed a scanning head, broadband reflectance spectrometer as an in-situ sensor to measure the film stack reflectance for feed-forward corrections to the exposure dose, and to measure the resist thickness loss as a uniformity measure of the combined exposure and the post- exposure bake steps and hence of the final photoresist CD.
Optimal temperature profiles for postexposure bake of photoresist
Anders Hansson, Stephen P. Boyd
In this paper it is shown how to compute optimal temperature profiles for post-exposure bake of photo-resist. The profiles are optimal in the sense that the worst case non-uniformity of the dissolution rate in the photo-resist is minimized. This yields uniform development profiles, which make over- development unnecessary. The optimal strategy turns out to be to heat and cool with maximum speed. This means that the only variable that remains to optimize in each specific case is the total time of baking. This is a significant reduction in optimization complexity, and it agrees with common industrial practice.
Ellipsometric scatterometry for sub-0.1-um CD measurements
Stephen A. Coulombe, Petre-Catalin Logofatu, Babar K. Minhas, et al.
Conventional scatterometry measures the intensity of a diffraction order from a periodic structure as one or more measurement parameters is changed. We have previously demonstrated conventional techniques to characterize developed photoresist linewidths as small as approximately 0.15 micrometer, with scatterometer results agreeing well with measurements performed using other techniques. For developed photoresist, the measurement sensitivity of conventional scatterometer techniques diminishes considerably for sample linewidths that are sub-0.1 micrometer, using 633 nm laser illumination. We present a modified scatterometer configuration which combines aspects of conventional scatterometry and ellipsometry that provide increased sensitivity for characterizing sub-0.1 micrometer linewidth periodic photoresist structures. The complex reflection coefficients representing the grating sample are extracted, both in magnitude and in relative phase, through intensity measurements at selected polarizer/analyzer/compensator orientations. The cross-polarization terms of the reflection coefficient matrix are shown to be equal for a symmetric photoresist grating structure with line widths approximately 0.5 micrometer. Theoretical results for nominal 70 nm photoresist lines are presented that show phase measurement sensitivity to linewidth changes on the order of 2 - 4 deg/nm and reflectance sensitivity of at least 3%/nm. This results in linewidth measurement resolutions that are sub-nm.
Defect Detection and Analysis
icon_mobile_dropdown
Automated defect inspection: past, present, and future
Paul Sandland
As minimum feature sizes on semiconductor devices shrink to 0.1 microns, integrated circuit manufacturers face an increasing challenge to maintain and increase wafer yields and chip performance. Few issues in semiconductor processing exert such strong leverage over manufacturing as defects (which affect product yield, manufacturability and reliability). The challenge for current and future inspection methods is to shorten the time to identify the causes of yield loss. The techniques and equipment needed to accomplish this result can be found through an analysis of past and current inspection techniques combined with predicted future requirements for inspection and yield analysis systems. From the first automatic mask defect detection systems built at Bell Labs to an analysis of requirements for future inspection and yield analysis systems, this paper will provide a historical perspective, analysis of inspection methodologies and predictions for future requirements.
Methodology for the optimization of an i-line lithographic process for defect reduction
Khoi A. Phan, Gurjeet S. Bains, David Ashby Steele, et al.
As device geometries shrink into the sub-half micron regime, controlling and reducing defect levels becomes increasingly important in both R&D and Manufacturing environments. Any delay in addressing the causes and cures of these yield killers can prolong the development cycle and production release of new product technologies. However, defect evaluation for a new lithography process on product wafers is difficult due to metrology limitation, substrate noises and previous layer defects. This problem is particularly pronounced for backend layers where differences in the metal grain sizes and reflectivity can confound defect inspection tools and can be picked up as false defects. Often yield learning is long delayed awaiting sort data, before lithographers can determine the beneficial effects of proposed manufacturing improvements. In this paper, we will discuss a methodology for optimizing an I-line lithographic process with the aid of a photo defect monitor. Clean Silicon wafers were fully processed through a photocluster cell to simulate the actual processing conditions for the product, then inspected on a KLA 2132 for pattern defects. An in-line low voltage SEM system was used to review and to classify defect types. In a case study presented here, post develop residue was found to be the predominant defect for a new I-line resist used in the backend layers of the 0.25 micrometer process technology. The resolution of the resist residue deposition problem was commenced by evaluating different processes with multiple puddles/rinses for their defect densities. Based on this work, a low defect developer process was chosen for further study. Other process variables such as resist profile, CD uniformity and Etch bias as well as electrical defect parameters were compared between the old and the new processes. The goal is to demonstrate that given equal performance in all other respects, a quick implementation of this new low defect process, prior to the sort yield confirmation, would not have any detrimental effect on device yield. An example of a non- killer defect, water stain droplets, discovered during the defect review will be shown. Further refining of the dry cycle in the process eliminated this cosmetic defect. Finally, the KLA defect trend chart will show an improvement in defect density with the new develop process.
Specific defect density: a new approach for defect reduction
Venkat R. Nagaswami, Jos van Gessel, Dries van Wezep
Defect monitoring has become an integral part of semiconductor manufacturing process equivalent to metrology operations such as Critical Dimension measurement and Overlay. The process control on defectivity data has not received the same acceptance as other metrology parameters since the measured defect density can not be easily attributed to a specific equipment. In this work, the problems associated with SPC of defectivity data will be discussed in detail. A parameter known as Specific Defect Density has been defined which can be applied in an automatic mode to shut down an equipment which generates yield limiting defects.
Defect inspection of zero E-field border on i-line 9% PSM
Jerry Xiaoming Chen, Franklin D. Kalk
In the photomask process, Zero E-field borders have been used on attenuated/embedded phase shift masks to provide an opaque area around the die area in the mask industry, but this border has never been able to be inspected on a laser scan inspection tool. This paper reports the first time that the Zero E-field has been inspected at DuPont Photomasks, Reticle Technology Center.
New particle-inspection system for CMP-planarization-processed metal layers
Masami Ikota, Aritoshi Sugimoto, Yuko Inoue, et al.
With the application of chemical mechanical polishing (CMP), particles become the main defect mode among the various modes of defects. Therefore, particle control becomes increasingly important. For the effective particle control, we need to control not only the number of defects but also the size of defects. However, a conventional particle inspection system using laser scattering could not obtain the information of the accurate particle size. We have developed the new system which can obtain the information of accurate particle size by using image processing. The particle size measured by the new system well agrees with the size measured by SEM. With the new system, we can operate the killer particle control effectively.
Registration and Overlay II
icon_mobile_dropdown
Optimal sampling strategies for sub-100-nm overlay
Bharath Rangarajan, Michael K. Templeton, Luigi Capodieci, et al.
Overlay control is a critical requirement of the lithographic process, and the challenge will be even greater with 0.18- micron technology, where the overlay budget is expected to shrink to 70 nm. Control of overlay is often achieved by modifying the stepping parameters to remove any correctable overlay errors. The estimated value of these parameters depends on the overlay error between the two layers, the model used, and the overlay-sampling plan. Overlay sampling strategies face the following dilemma: plans that sample overlay at the edge of the wafer or field will show atypically large overlay errors, but these plans can result in more accurate estimates of the correctable terms. Therefore when measuring overlay at these extreme points the lithographer needs to recognize that this type of sampling will typically indicate that overlay is substantially worse than it is in the average field. In this paper, a number of different sampling plans that measure 25 points on a wafer were tested. The results obtained from the various plans have been compared to the results obtained from measuring the entire wafer. The data show that the sampling pattern can have a significant effect on the values of the various correctable parameters, and that an inappropriate sampling plan can consume a significant portion of the overlay budget. We have identified several effective sampling patterns, and the improved performance of these plans is attributed to the fact that these patterns achieve greater coverage of the wafer and measure a large number of wafer (or grid) points than the other sampling plans.
Overlay target design characterization and optimization for tungsten CMP
Stephen Hsu, Mircea V. Dusa, Joost Vlassak, et al.
As the semiconductor industry pushes design rules to 0.25 micron and below, tungsten chemical mechanical polishing (WCMP) is emerging as a key process that reduces defect density, increases circuit density and improves yield. However, due to the non-selective nature of the WCMP process, the stepper alignment marks and the overlay metrology target can be seriously damaged during the polishing process. The result of this damage may contribute to the total misregistration error. This paper describes a systematic overlay target optimization on various WCMP layers in order to evaluate and reduce target and measurement noise. Eight different target designs were evaluated on three different metal layers. The quantification of overall measurement counts, measurement precision, modeled residual, and kernel analysis was used as the metric for determining the overall effectiveness of each overlay target design. Short and long term gauge studies were performed to verify the measurement capability of the metrology tool. An experiment was performed in which a known offset was introduced on the stepper to confirm that the overlay measurement responded accordingly. To verify the robustness of the target, oxide thickness, tungsten thickness and polish time were varied on one of the three layers. The measured overlay error was also correlated to cross-section scanning electron microscope (SEM) results.
Process Control Optimization II
icon_mobile_dropdown
Influence of lens aberrations on high-resolution imaging on low-reflectivity substrates
Brian Martin, Graham G. Arthur, Christine Wallace
This paper investigates photoresist profiles on low reflectivity substrates with respect to numerical aperture and position in lens field. Results suggest a link between resist wall angle and substrate reflectivity which is influenced by lens aberrations.
Refractive-index measurements of photoresist and antireflective coatings with variable angle spectroscopic ellipsometry
Ron A. Synowicki, James N. Hilfiker, Ralph R. Dammel, et al.
Lithography requires accurate knowledge of film thickness and refractive index (n and k) for photoresists (PR) and antireflective coatings. It is becoming increasingly necessary to track changes in refractive index over the process cycle. The refractive index can change by as much as 0.04 in both n and k simply by bleaching the film. These changes can be caused by changes in film chemistry by processing such as baking and bleaching by UV exposure. Thus, keeping track of changes in the refractive index is useful to both resist and antireflective coating manufacturers as well as the process engineer. This work uses Variable Angle Spectroscopic Ellipsometry (VASER) to determine the refractive index of photoresist and antireflective coatings over the spectral range 190 - 1700 nm. Theory, hardware, and applications of Spectroscopic Ellipsometry are discussed along with procedures used to simultaneously extract the refractive index and film thickness of photoresist and antireflective coatings. Examples of commonly used films are presented.
Manufacturability of subwavelength features using reticle and substrate enhancements
This paper uses simulation and experiment to study near resolution limit patterning of contacts and damascene trenches using conventional i-line lithography. Special attention is paid to the requirements for substrate control. The patterning behavior is compared to DUV lithography results. We also evaluate the cost-of-process for an i-line process using substrate and optical enhancements compared to a standard 248 nm DUV process.
Characterizing optical properties of red, green, and blue color filters for automated film-thickness measurement
Feng Yang, Milad Tabet, William A. McGahan
In order to utilize the non-destructiveness and quickness of spectroscopic reflectometry based technique for red, green, and blue (RGB) color filter thickness measurement, the refractive indices (n's) and the extinction coefficients (k's) of the RGB color filter coatings need to be precisely determined. This work demonstrates the use of variable angle spectroscopic ellipsometry (VASE) for measuring n and k values of RGB color filter coatings. The thickness of each color filter thin film is determined in its respective transparent band. The optical constants are calculated directly from Fresnel equations at each wavelength. Fitting data acquired at multiple angles of incidence helps to reduce measurement noise, and multi-sample analysis reduces correlation between thickness and optical constants in the regression calculation. Using the determined n and k values, high repeatability and high throughput are demonstrated for the thickness measurement of the RGB color filter films on an automated thin film measurement tool.
Optical thin-film decomposition for DUV positive-tone resist process monitoring
Xinhui Niu, Nickhil H. Jakatdar, Costas J. Spanos, et al.
New metrology for characterizing chemically amplified resist is needed in order to meet the stringent demands of the DUV lithographic technologies. In this paper, we present a general model for DUV resist optical constants. In this model, we assume that the photoresist is homogeneous and can be decomposed into several 'components' according to their distinct n and k signatures over a broad range of wavelengths. Each component is described by a Kramers-Kronig based dispersion relation. A global optimization with about 18 parameters is solved for the optical thin-film decomposition, using an intelligent simulated annealing algorithm. Various de-noising techniques that can be used on the collected data are also described.
Linewidth Calibration Metrology
icon_mobile_dropdown
Measurement of pitch and width samples with the NIST calibrated atomic force microscope
Ronald G. Dixson, Rainer G.J. Koening, Theodore V. Vorburger, et al.
Because atomic force microscopes (AFMs) are capable of generating three dimensional images with nanometer level resolution, these instruments are being increasingly used in many industries as tools for dimensional metrology at sub- micrometer length scales. To achieve high accuracy, the scales of an AFM must be calibrated. Presently available standards for this purpose are commonly calibrated using stylus instruments and optical techniques. We have developed the calibrated AFM (C-AFM) in order to calibrate pitch and height standards using an AFM. Our instrument has metrology traceable to the wavelength of light for all three axes. This is accomplished through the integration of a flexure x-y translation stage, heterodyne laser interferometers, and a digital-signal-processor based closed-loop feedback system to control the x-y scan motion. The z-axis translation is accomplished using a piezoelectric actuator with an integrated capacitance sensor, which is calibrated using a heterodyne laser interferometer. When fully developed, this instrument will be a calibration tool for pitch and height standards for scanning probe microscopes. We have recently completed a reevaluation of the titling motions of the C-AFM scanner. This has allowed a refinement in our estimate of the Abbe error contribution to our measurement uncertainty. Our most recent pitch measurements are consistent with this new estimate and thus support our refined uncertainty budget. We have recently completed measurements of pitch on several samples, including both grid type and linear scale patterns, for an industrial user. We are also working toward the development of linewidth standards through the comparison of C-AFM width measurements with values obtained from other methods, including an electrical resistance techniques. In this paper, we will describe the current status of the C-AFM, discuss the use of the instrument for measurements of pitch and width, and describe our plans for future measurements.
Photomask-edge-roughness characterization using an atomic force microscope
Scott E. Fuller, Michael Young
Photomask edge roughness metrology has historically been performed using optical techniques. However, current optical techniques fail to provide the necessary resolution to meet the requirements for reduced mask edge roughness driven by improved resolution of advanced wafer lithography systems. Atomic force microscopy (AFM) has demonstrated the capability to provide the required physical characterization of printed mask features. At the macroscopic level, the critical dimensions (CDs) of linewidth, sidewall angle, and chrome thickness are measured using an attractive mode, critical dimension scanning algorithm with flared silicon tips. For localized examination of the line edge roughness, the system is operated with sharp conical tips (10 - 30 nm radius) scanned parallel to the profile edge. This new technique provides 10 - 30 nm resolution. In addition, Fourier analysis of the line edge roughness data can be used to identify periodic error signatures in the mask pattern generation.
Developing a method to determine linewidth based on counting the atom spacings across a line
Richard M. Silver, Carsten P. Jensen, Vincent Wen-Chieh Tsai, et al.
We are developing the instrumentation and prototype samples at NIST to enable the counting of atom-spacings across linewidth features etched in silicon. This is an effort to allow the accurate counting of atom-spacings across a feature in a controlled environment and to subsequently transfer that dimensionally stabilized artifact to other measuring instruments. In this paper we will describe the sample preparation techniques, sample configurations and imaging instrumentation used in this project. We have constructed a multi-chamber ultra-high vacuum (UHV) system with silicon processing capabilities which include the high temperature removal of native oxides and the appropriate temperature control and vacuum environment for preparing long range atomically ordered silicon surfaces. We can also passivate the silicon surfaces by oxidation in a temperature and pressure controlled environment or simply allow a native oxide to grow in an air ambient. This facility has a scanning tunneling microscope (STM) with atomic lateral imaging capabilities and a 0.2 angstrom vertical noise floor. The loadlock chamber allows rapid transfer of multiple tips and samples into the UHV environment. The facility is additionally equipped with a field-ion/field-electron microscope (FIFEM) which can atomically image, measure, and prepare the STM tips. The FIFEM enables the use of STM tips of known dimensions and cleanliness on a regular basis.
Poster Session
icon_mobile_dropdown
Scanning force microscope using point diffraction interferometer
Xu-Dong Mou, Yifeng You, Yongmo Zhuo, et al.
A new optical interferometer suitable for the scanning force microscope is presented in this paper. The cantilever itself is used as a micro interferometer element. The deflection of the cantilever is detected by the interference between the geometrical reflected wave and the backward diffracted wave. This interferometer has a very simple structure, fewer optical components, lower cost, and complete common light path. 0.01 nm vertical resolution is gotten by this instrument.
Advanced surface inspection techniques for SOI wafers
Mari Nozoe, Aritoshi Sugimoto, Takahide Ikeda
In this paper, it is described that (1) Various type of SOI wafers have each optimum laser illumination mode, (2) Using this optimum laser illumination, 0.1 - 0.3 micrometer particle detection sensitivity has been achieved. (3) By measuring the noise element of scattered light from SOI surface, failure mode can be determined. The performance of the particle detection for each type of wafer and the result of surface roughness failure is also discussed.
Advances in characterizing SiON for 0.18- and 0.25-um technologies
Silicon Oxynitride, denoted as SiOxNy or simply as SiON, can be effective as an anti-reflective coating (ARC) in advanced integrated circuit processing typically within a 'photoresist/SiON/Si' thin film structure. However, measured values of reflectance (R) from 'SiON/Si substrate' alone cannot provide the necessary information regarding the effectiveness of SiON as an ARC layer. This is because in order to obtain an effective ARC for a given wavelength (for example at 365, 248 or 193 nm), it is necessary to reduce the standing-wave effects by minimizing the reflectance of that wavelength at the interface between the photoresist and SiON. Obviously, reflectance at this interface cannot be measured directly. R at the 'photoresist/SiON' interface must be calculated. In order to calculate R, the refractive index (n) and extinction coefficient (k) of the coating at the wavelength of interest, as well as the thickness (d) of the coating, must be known. Furthermore, n of the photoresist is also needed. However, in general, determination n and k values (especially in the DUV), as well as d, is a non-trivial problem. In this paper, we describe a new methodology, the 'n&k Method,' that simultaneously determines n and k over the 190 nm to 900 spectral range, as well as d, of all types of thin film/substrate combinations. These parameters are measured simultaneously and unambiguously, allowing rapid determination (in seconds) of the characteristics of the SiON ARC. This information can then be used to determine R at the wavelength of interest at the interface between the photoresist and SiON film.
Linewidth Calibration Metrology
icon_mobile_dropdown
Accuracy and traceability in dimensional measurements
While the importance of the concepts of measurement accuracy and measurement traceability has long been recognized, they have not always been applied in a consistent or rigorous manner. In 1993 the International Organization for Standards (ISO) published two documents which establish consistent definitions of these and other metrology terms and provide an unambiguous way to calculate measurement uncertainty. These documents are widely accepted in the international metrology community. Vendors and buyers of metrology tools and standards will benefit from the improved communication that results from using this standardized metrology vocabulary. Dimensional measurements of importance to microlithography include feature sizes and feature placement on photomasks and wafers, overlay eccentricities, defect and particle sizes on masks and wafers, step heights, and many others. A common element in these measurements is that the object sizes and required measurement uncertainties are often on the order of the wavelength of light or less. This can lead to interesting challenges for certain applications where measurement traceability is desirable. The necessary and sufficient conditions for traceability will be outlined, and some examples will be given.
Poster Session
icon_mobile_dropdown
Monte Carlo simulations of electron-beam/solid interactions as an aid in interpretation of EDS and auger analysis of particles and defects
Jeffrey R. Kingsley, David W. Harris
In this study we model the interaction of an electron beam with small (less than 0.5 microns) particles in order to understand the limitations and advantages of several analytical techniques. From this study we see that both Auger and EDS have advantages depending on particle size and desired information. In general, lower voltage is favored for small particle analysis by EDS, while high voltage gives the best small particle results with Auger.
Examination of several novel approaches for the measurement of two-dimensional roughness of sidewalls of high-aspect-ratio patterns using the atomic force microscope
Jeffrey R. Kingsley, Robert J. Plano, Kuo-Jen Chao
Methods of measuring the surface roughness of the sidewalls of high aspect ratio patterns are presented. Cleaving the samples (developed resist and etched silicon) parallel to the long direction of the patterns and rotating the sample 90 degrees fully exposes the sidewall surfaces allowing investigation by either the Scanning Electron Microscope (SEM) or the Atomic Force Microscope (AFM). Another method, simply tipping over the lines in the developed resist samples also allows full access to the resist sidewall. While the SEM can be used to confirm the sidewall surface features, the AFM provides information such as the Root-Mean-Square (RMS) roughness, unobtainable through other methods.
Fast-etch antireflective coating for sub-0.35-um i-line microlithography applications
Paul Williams, Xie Shao, James E. Lamb III, et al.
We report here the development of a fast-etching i-line bottom anti-reflective coating (BARC): EXP97002B. The new BARC is applied from a safe solvent system, exhibits wide spin bowl compatibility with photoresists, and may be processed with common edge bead removal solvents. The optical density of the new BARC is 6.15/micrometer at 365 nm (n equals 1.71, k equals 0.39). We have demonstrated plasma etch rates for the new coating in excess of 1.5 - 2.0 times that of conventional i- line photoresists. The coating system planarizes substrate topography, reducing resist film thickness variations and, thereby, resist swing. Feature coverage is still excellent, as evidenced by the ability of the new BARC to coat 0.7 micrometer vertical topography. The practical issues for implementing the new BARC in a manufacturing environment is also discussed.
Scanning Electron Microscopy II
icon_mobile_dropdown
Limitation of CD AFM on resist foot detection
Bo Su, K. C. Rajkumar, Mahesh Agrawal
CD SEM (two dimension X-Y scan) is usually calibrated using X- SEM (two dimension X-Z scan). However, CD uncertainty associated with line roughness, location error (large scale), ruler measurement error and X-SEM scale error could be as large as 20 nm. In recent years, AFM (three dimension X-Y-Z scan) started to get people's attention for its non- destructive cross section scans and has been used as a calibration tool for CD SEM. The focus of the study is AFM and X-SEM correlation. Two types of CD AFM tip shape (sharp corner type and smooth corner type) are identified based on SEM photos. For line width measurements in our study, for one AFM tip, 50 nm has to be added to the tip width calibrated from the built-in calibration standard (Silicon Nano-Edge) in order to match X-SEM line widths. A limitation of AFM in resist foot detection was also found for the same tip. An attempt was made to explain the limitation based on sharp corner tip shape. Based on the explanation, a desirable tip shape calibration procedure is proposed for tip type identification. Since AFM tip width is the tip scan length (or time) dependent, AFM tip calibration is critical for CD SEM calibration.
Poster Session
icon_mobile_dropdown
Optical scatterometry of quarter-micron patterns using neural regression
Joerg Bischoff, Joachim J. Bauer, Ulrich Haak, et al.
With shrinking dimensions and increasing chip areas, a rapid and non-destructive full wafer characterization after every patterning cycle is an inevitable necessity. In former publications it was shown that Optical Scatterometry (OS) has the potential to push the attainable feature limits of optical techniques from 0.8 . . . 0.5 microns for imaging methods down to 0.1 micron and below. Thus the demands of future metrology can be met. Basically being a nonimaging method, OS combines light scatter (or diffraction) measurements with modern data analysis schemes to solve the inverse scatter issue. For very fine patterns with lambda-to-pitch ratios grater than one, the specular reflected light versus the incidence angle is recorded. Usually, the data analysis comprises two steps -- a training cycle connected the a rigorous forward modeling and the prediction itself. Until now, two data analysis schemes are usually applied -- the multivariate regression based Partial Least Squares method (PLS) and a look-up-table technique which is also referred to as Minimum Mean Square Error approach (MMSE). Both methods are afflicted with serious drawbacks. On the one hand, the prediction accuracy of multivariate regression schemes degrades with larger parameter ranges due to the linearization properties of the method. On the other hand, look-up-table methods are rather time consuming during prediction thus prolonging the processing time and reducing the throughput. An alternate method is an Artificial Neural Network (ANN) based regression which combines the advantages of multivariate regression and MMSE. Due to the versatility of a neural network, not only can its structure be adapted more properly to the scatter problem, but also the nonlinearity of the neuronal transfer functions mimic the nonlinear behavior of optical diffraction processes more adequately. In spite of these pleasant properties, the prediction speed of ANN regression is comparable with that of the PLS-method. In this paper, the viability and performance of ANN-regression will be demonstrated with the example of sub-quarter-micron resist metrology. To this end, 0.25 micrometer line/space patterns have been printed in positive photoresist by means of DUV projection lithography. In order to evaluate the total metrology chain from light scatter measurement through data analysis, a thorough modeling has been performed. Assuming a trapezoidal shape of the developed resist profile, a training data set was generated by means of the Rigorous Coupled Wave Approach (RCWA). After training the model, a second data set was computed and deteriorated by Gaussian noise to imitate real measuring conditions. Then, these data have been fed into the models established before resulting in a Standard Error of Prediction (SEP) which corresponds to the measuring accuracy. Even with putting only little effort in the design of a back-propagation network, the ANN is clearly superior to the PLS-method. Depending on whether a network with one or two hidden layers was used, accuracy gains between 2 and 5 can be achieved compared with PLS regression. Furthermore, the ANN is less noise sensitive, for there is only a doubling of the SEP at 5% noise for ANN whereas for PLS the accuracy degrades rapidly with increasing noise. The accuracy gain also depends on the light polarization and on the measured parameters. Finally, these results have been proven experimentally, where the OS-results are in good accordance with the profiles obtained from cross- sectioning micrographs.
Comparison of recent development models in optical lithography simulation
Graham G. Arthur, Christine Wallace, Brian Martin
Popular and recent development models used in optical lithography simulation are examined for the accuracy of their fit to practical data and also the ease with which supplied parameters may be fine-tuned to match the results of a particular photo-cell. It is found that some models are better able to describe the dissolution characteristics than others. No one model is found to be preferred in all cases and the final choice is resist dependent. For example, effects such as the 'notch' found in the R(m) curves of some modern high performance photoresists are best described by new models. In addition, a new method for constructing R(m,z) development rate data files is described and parameters extracted for this purpose show how the characteristics vary as a function of depth into the resist film.
Contamination monitoring for ammonia, amines, and acid gases utilizing ion mobility spectroscopy (IMS)
Tad Bacon, Kurt Webber, Ronald A. Carpio
The effect of ammonia (NH3) and n-methyl pyrrolidinone (NMP) contamination on chemically amplified DUV resists is well documented. Other amines and related compounds are under suspicion as well. In addition, the concentration levels that are of concern have steadily decreased from approximately 10 ppbv down to levels as low as 0.1 ppbv. While some techniques such as ion chromotagraphy (IC) have been demonstrated to have limits of detection at these levels, the analysis times are rather long and cumbersome. This paper describes the use of IMS to perform these measurements, in a totally automated, continuous instrument. IMS is a simplified time-of-flight technique that requires no liquid reagents and has been demonstrated to be a reliable method for monitoring for ammonia and NMP in cleanrooms. This paper demonstrates the ability of the technique to monitor for amines such as dimethylamine, methylamine, methanolamine, ethanolamine, diethanolamine, butylamine and others. Detection limits of 0.1 ppbv and below are clearly demonstrated. Also discussed are methods of monitoring multiple points with a single analyzer. Ability to detect corrosive gases such as hydrogen fluoride (HF), hydrogen chloride (HCl), sulfur dioxide (SO2), sulfur trioxide (SO3), nitrogen dioxide (NO2), chlorine (Cl2), bromine (Br2), phosphoric acid (H3PO4) are also demonstrated.
Precision improvement for the calibration of submicron dimension reference for electron-beam metrology system
It is important to have better calibration method for the submicron dimension system as the semiconductor technology requires more accuracy on the metrology system. Gratings have been used as a standard reference for the magnification, and the precision laser diffractometer has been developed for the calibration of the grating pitch. The accuracy of the measurement may depend on the laser wavelength, the goniometer, and how to determine diffraction angles. As the accuracy of conventional diffractometry has been limited by the low resolution of diffraction angle and its determination, the rotary arm is extended and reliable high-resolution goniometer is opted, while a focusing lens is inserted between the grating sample and the detection plane to prevent the error due to the parallel shift of the diffracted beam, which might occur when the rotational axis of the arm does not coincide with the grating plane. Obtained values for all grating samples showed quite good repeatability, which is order of angstrom at worst. Incidence angle dependent fluctuation of measured value is shown to be quite small as expected. The grating pitch, certified as 288 nm by the manufacturer, is measured as 287.595 with a standard deviation ((sigma) ) of 0.017 nm at worst. The other grating, certified as 700 nm, is measured as 700.782 with a standard deviation of 0.054 nm at worst. The expanded uncertainty with the coverage factor of 2 is estimated to be 0.038 nm and 0.10 nm respectively.
Evaluation of the KLA-Tencor 2138 for line monitoring applications
Brian Metteer, James F. Garvin Jr., Frank Cataldi, et al.
This report summarizes the results of an evaluation of the KLA-Tencor (KT) 2138 Ultra-Broadband (UBB) optical inspection system performed in the DP1 development facility at Texas Instruments from July 1997 to November 1997. The purpose of this project was to evaluate the effectiveness of the new 2138 UBB system compared to a KT AIT, non-SAT tests on a KT 2135, and SAT recipes on the KT 2132. The 2138 system was designed to provide improved sensitivity and defect detection over the 2135 and other tools. In particular, the UBB illumination source utilized by the 2138 system was expected to provide a significant sensitivity improvement over the 2135 on wafers with color variation as a source of noise. The speeds of the individual pixel tests on the 2138 are the same as those on the 2135. However, it was found that the 2138 0.62 micrometer pixel tests actually found more defects than did the 0.39 micrometer pixel tests on the 2132 on the process levels where this comparison was studied. This type of comparison was not performed between the 2138 and the 2135 since SAT capability was not available on the DP1 2135 during the evaluation. Initially, the primary objective of this project was to measure the UBB system's performance as compared to the 2135 on two Memory levels and three Logic levels. However, since the DP1 2135 system did not possess segmented autothreshold (SAT) capability during this evaluation and the DP1 2132 system did possess SAT capability, the DP1 2132 was added to the evaluation for a 2138 versus 213X SAT direct comparison. Also, the AIT was added to the evaluation plan for a brightfield versus darkfield technology comparison. Finally, three additional Logic levels were added to the evaluation plan, including one Post-CMP level. During this evaluation, the 2138 was proven to be significantly more sensitive than was the 2135, 2132, and the AIT on all process levels compared. Also, very few hardware or software problems were noted during the evaluation.
Characterization of a chemically amplified photoresist for simulation using a modified "poor man's DRM" methodology
Nickhil H. Jakatdar, Xinhui Niu, Costas J. Spanos
As we enter the DUV lithography generation, the developmental phase of the photolithography process is becoming crucial due to the high costs associated with the lithography equipment. Improvements in the modeling of chemically amplified resists are necessary to extract the maximum possible information from the minimum amount of experimentation. The poor man's dissolution rate monitor (drm) method has been used successfully to extract the post exposure bake (PEB) and develop rate parameters for conventional I-line photoresists and some DUV chemically amplified photoresists (CARs). However, the original method suffers from some drawbacks such as locally optimized results due to the highly non-linear nature of the Mack development model and the need for visual inspection to detect convergence of the rate data. This paper used a simulated annealing optimization engine for global optimization and uses the deprotection induced thickness loss phenomenon for the conversion of dose to m. Post-exposure bake and develop rate parameters have been extracted for Shipley's UV-5 DUV photoresist.
Characterization of a positive chemically amplified photoresist for process control
Nickhil H. Jakatdar, Xinhui Niu, Costas J. Spanos, et al.
Chemically Amplified Resists (CARs) are much less observable than their i-line counterparts due to the absence of photoresist actinic absorbency. CARs however, exhibit resist thinning during the Post-Exposure Bake process (PEB). A Design of Experiments (DOE) technique was employed around the exposure and the PEB temperature for a commercial DUV photoresist. A Fourier Transform Infrared (FTIR) technique was used to measure the deprotection of the CARs after the PEB step while standard interferometry techniques were used for exposed area thickness loss measurements after the PEB step. Our analysis indicates that exposed area thickness loss is strongly correlated to the deprotection of the photoresist, so that thickness loss can serve as a reliable deprotection indicator and can hence be possibly used as an observable for control of the photolithography sequence.
Definition and control of contact holes in a CMP process
Christine Wallace, Brian Martin, Graham G. Arthur
Control of contact hole sizes in a sub-half-micron CMOS process using planarisation by resist etch back and chemical mechanical polishing is discussed. The limitations of using top anti-reflective coatings to overcome thin film effects on transparent substrates are calculated by simulation. Use of bottom anti-reflective coatings to improve uniformity in the resist etch back process are described through practical results which additionally show that comparable results are achieved in the chemical mechanical polishing process but in the absence of a bottom anti-reflective coating.
Reticle defect printability and photoresist modeling of contact structures
Warren W. Flack, Dan L. Schurz, Richard B. Lee, et al.
Over the past few years there has been a growing interest in using advanced image formation techniques to enhance optical lithography resolution. Techniques such as Optical Proximity Correction (OPC) and phase shifting involve changes in reticle manufacturing which increase the printability risk of small reticle defects and therefore impact wafer yields. There have been several experimental and simulation studies on the printability of sub-half micron defects using both reduction and 1X photolithography equipment. In general these studies have focused on the printability effects of line and space features. However, OPC is frequently implemented to control the size and shape of contact structures. This study was performed to gain a better understanding of the behavior of contact hole defects in a 1X lithography system using both a moderate and a high contrast photoresist. A test reticle was created with 0.72 micrometer contact holes containing edge, corner and isolated central defects in programmed sizes from 0.15 to 0.4 micrometer, and exposed on a submicron 1X stepper. Printability was determined by measurement of the normalized area of the contact (NCA). Reticle defect printability of the contact structures was modeled for each photoresist using a three-dimensional (3D) optical lithography simulation tool. The experimental NCA data was compared to modeled results to validate the simulator. Cross sectional contact simulations were then prepared to show the relative impact on the placement of the defect in the contact structure. Both the simulation and the experimental results show the relative sensitivity of the two photoresists to the printability of defects in the contact hole structure. This analysis enhances the understanding of the criticality of defect sizes in contact arrays and allows users to predict defect printability issues for new photoresists.
SEM image sharpening by reversing the effect of a nonideal beam spot
Yaron I. Gold, Alex Goldenshtein
This paper presents a method for increasing the contrast-to- noise ratio and spatial resolution of SEM images. The method uses de-convolution to eliminate the blur caused by an electron beam spot that covers more than a single pixel. An approximating de-convolution algorithm with low computational complexity is also shown.
Competitive assessment of 200-mm epitaxial silicon wafer flatness
Howard R. Huff, D. W. McCormack Jr.
The flatness data indicates all suppliers are capable of supporting the 250 nm technology generation while several suppliers are already capable of supporting the 180 nm technology generation. It appears that individual parameter 300 mm polished wafer data are comparable with state-of-the- art and, indeed, may even be better than for 200 mm epitaxial wafers. Continued improvements in the control of the magnitude, tolerance and uniformity of silicon wafers is essential. A steep gradient in the learning curve is being pursued by all suppliers, especially for 300 mm wafers. However, it is also critical to balance the ''best wafer possible' against the cost-o-ownership (CoO) opportunity of not driving silicon requirements to the detection limit or ultimate tool resolution but to some less stringent and optimized value.
Registration and Overlay I
icon_mobile_dropdown
TIS characterization of surface roughness
Jeff A. Blau, Robert Pagliaro, Tod F. Schiff
Increasing demand for smoother wafer surfaces necessitates the use of metrology equipment developed for the measurement of roughness on the order of 1 Angstrom RMS over the entire surface of the sample. Much effort directed toward the refinement of using total integrated scatter (TIS) measurements as a texture measurement method has resulted in the design and implementation of a fast, non-contact measurement system able to measure surface roughness to sub-1 Angstroms RMS. As surface quality issues become more pronounced with shrinking topography specifications, manufacturing facilities needing to accurately measure texture and identify aberrations in ultra-smooth surfaces can use this technology to optimize processes and monitor process equipment. Applications to be presented include the effect of pre-clean methods, pre-bake, and bake temperatures on epitaxial deposition, using uniformity in a polysilicon layer to indicate a homogeneous temperature profile in an epitaxial reactor, macro-slip detection, setting initial and bake temperatures to drive-off native oxides, detection of backside depositions occurring during epitaxial growth that can adversely effect the backside flatness of a wafer, vendor out- of box quality control of virgin substrate, using haze measurements to identify the need for corrective or preventative maintenance in an epitaxial reactor, the identification of scratches after chemical-mechanical polish (CMP), and poor wafer handling.
Poster Session
icon_mobile_dropdown
Photoresist focus exposure matrix (FEM) measurements using critical-dimension atomic force microscopy (CD AFM)
Focus exposure matrices (FEMs) are a critical tool for evaluating the performance of lithographic processes. Any change in any process component, including critical dimension (CD) targets, chemistry, optics, or processing times requires that an FEM be run to verify process performance. Scheduled FEMs are also used as part of regular process monitoring. The CD-AFM is a powerful tool in evaluating FEMs. Unlike standard AFMs it quantitatively profiles lines and trenches in three dimensions. Further, none of the tedious and time consuming sample preparation required by cross-sectional TEM or SEM is needed; since samples need not be cleaved, profiles can conveniently be measured anywhere on the wafer and in any order. A CD-FEM is used to characterize an FEM wafer and the results are compared with those obtained with electron microscopy. First, the CD-AFM is calibrated, which includes the characterization of the tip geometry. Then, the measurements on the FEM wafer is made and the results computed taking the tip width into account. The measurements thus obtained are compared with SEM measurements.
Critical-dimension atomic force microscope (CD-AFM) measurement of masks
Ever-smaller dimensions and more complex circuits demand ever more accurate and precise characterization of mask geometry. Feature must be characterized non-destructively for attributes that include width, undercut, centering, shorting, rounding, optical proximity correction (OPC) and seriff formation. Once characterized, the transfer function of these mask features to wafer features must be determined. The CD-AFM is a uniquely powerful tool for performing these measurements on masks and wafers. It is non-destructive and provides data unobtainable with standard AFMs or electron microscopes. Unlike standard AFMs, it quantitatively profiles lines and trenches in three dimensions. It does not require any of the tedious and time- consuming sample preparation required by cross-sectional TEM or SEM. Another advantage of the CD-AFM is that the samples need not be cleaved and profiles can conveniently be measured anywhere on the wafer and in any order. CD-AFM is used to characterize the mask and techniques for setting the lithographic process are developed. The CD-AFM is calibrated, which includes the characterization of CD-AFM tip-geometry. The effect of tip-geometry on measurement-precision and accuracy are analyzed. Measurement throughput is explored including the benefits of automated data acquisition and analysis.
Parameter extraction with neural networks
Luca Cazzanti, Mumit Khan, Franco Cerrina
In semiconductor processing, the modeling of the process is becoming more and more important. While the ultimate goal is that of developing a set of tools for designing a complete process (Technology CAD), it is also necessary to have modules to simulate the various technologies and, in particular, to optimize specific steps. This need is particularly acute in lithography, where the continuous decrease in CD forces the technologies to operate near their limits. In the development of a 'model' for a physical process, we face several levels of challenges. First, it is necessary to develop a 'physical model,' i.e. a rational description of the process itself on the basis of know physical laws. Second, we need an 'algorithmic model' to represent in a virtual environment the behavior of the 'physical model.' After a 'complete' model has been developed and verified, it becomes possible to do performance analysis. In many cases the input parameters are poorly known or not accessible directly to experiment. It would be extremely useful to obtain the values of these 'hidden' parameters from experimental results by comparing model to data. This is particularly severe, because the complexity and costs associated with semiconductor processing make a simple 'trial-and-error' approach infeasible and cost- inefficient. Even when computer models of the process already exists, obtaining data through simulations may be time consuming. Neural networks (NN) are powerful computational tools to predict the behavior of a system from an existing data set. They are able to adaptively 'learn' input/output mappings and to act as universal function approximators. In this paper we use artificial neural networks to build a mapping from the input parameters of the process to output parameters which are indicative of the performance of the process. Once the NN has been 'trained,' it is also possible to observe the process 'in reverse,' and to extract the values of the inputs which yield outputs with desired characteristics. Using this method, we can extract optimum values for the parameters and determine the process latitude very quickly.
Sampling requirements for the analysis of misregistration
Ramkumar Subramanian, William D. Heavlin, Eileen M. Coons, et al.
With the adoption of increasingly tight design rules (less than 100 nm) for overlay, it is critical that the sample used to quantify wafer misregistration be as representative as possible. In this paper, we look at misregistration after two layers, both chosen as most critical to overlay design rules for a logic technology process. Several wafers at each layer were patterned, after which they were mapped on a KLA overlay tool. The mapping was done on all sites with 5 measurement points on each site in an X pattern. The wafers also had been subject to chemical-mechanical polish and its associated mechanical stresses. We identify representative extreme sites using a statistical technique called archetypal analysis. We describe how many sample points are required to adequately describe the distribution of overlay across a wafer.
Nitride film surface properties to reduce nitride residue
Fu-Tien Weng, Chih-Hsiung Lee, Kuo-Liang Lu, et al.
Particles and residues occurred during Nitride (OD) layer process have serious effects on the quality for VLSI manufacturing, especially occurred in Nitride deposition and photo developing process play a main killing role of wafer yield and device function. Using KLA-2132 tool, found many Nitride residues caused field oxide missing result in yield loss. After researching, we found Nitride film surface properties have a great relationship with above residues.
Relation between film character and wafer alignment: critical alignment issues on HV device for VLSI manufacturing
Yi-Chuan Lo, Chih-Hsiung Lee, Hsun-Peng Lin, et al.
Several continuous splits for wafer alignment target topography conditions to improve epitaxy film alignment were applied. The alignment evaluation among former layer pad oxide thickness (250 angstrom - 500 angstrom), drive oxide thickness (6000 angstrom - 10000 angstrom), nitride film thickness (600 angstrom - 1500 angstrom), initial oxide etch (fully wet etch, fully dry etch and dry plus wet etch) will be split to this experiment. Also various epitaxy deposition recipe such as: epitaxy source (SiHCl2 or SiCHCl3) and growth rate (1.3 micrometer/min approximately 2.0 micrometer/min) will be used to optimize the process window for alignment issue. All the reflectance signal and cross section photography of alignment target during NIKON stepper alignment process will be examined. Experimental results show epitaxy recipe plays an important role to wafer alignment. Low growth rate with good performance conformity epitaxy lead to alignment target avoid washout, pattern shift and distortion. All the results (signal monitor and film character) combined with NIKON's stepper standard laser scanning alignment system will be discussed in this paper.
Comparing inorganic and organic BARC for a deep submicron gate patterning and etch
Julia M. Wu, Sagar Kekare, Karen Fox, et al.
The continued push towards smaller feature sizes has placed increasingly stringent demands upon CD control. Several techniques have been used in the past to improve the CD control over topography, starting with dyed resist and progressing through top anti-reflective coating, spin on- organic BARC and more recently inorganic dielectric ARC. The increased use of DUV lithography has limited the use of the TAR coating, as it fails to prevent true reflective notching. Organic BARC has therefore been the method of choice. Recently however, the use of inorganic dielectric anti-reflective materials has become more widespread due to potentially improved performance, particularly over high topography. We report on the relative performance of both types of materials as applicable to MOS gates. Practical factors such as resist footing, relative defectivity after polysilicon patterning, CD bias after etch, problems in removal of the ARC during etch and strip and the impact on process integration are discussed. The overall aim is to provide a production viewpoint as to the usefulness of each approach and to highlight their individual strengths and weaknesses.
Efficient and cost-effective photo defect monitoring
Khoi A. Phan, Robert Jue Chiu, Shobhana Punjabi, et al.
Manufacturing processes for submicron integrated circuits require strict process control for minimizing defects during the fabrication process. Defect densities are monitored on product wafers to determine whether the line maintains an acceptable yield level and to prevent any catastrophic downfall. However, defect detection is difficult for multilayer devices. A short loop defect monitor is often employed in the Photolithography area for inspection on an automated wafer inspection system like the KLA 213x. This monitor usually uses clean bare Silicon wafers which are processed through a Photocluster cell to define a resist pattern for defect inspection. In order to monitor a large set of equipment and resist types, a large quantity of clean Silicon wafers are required. The reuse of these silicon photo monitor wafers becomes difficult due to particles left on wafers after resist clean. Thus, the cost of daily photo defect monitor for equipment/process control becomes considerable. In this paper, we will discuss reusable thermal oxide test wafers as an alternative solution to Si wafers for a cost effective photo defect monitor. The required oxide thickness for I-line and DUV resists was calculated from Prolith/2 simulation. By using a special clean with Sulfuric Acid/Hydrogen Peroxide mixture (SPM) and followed by an Ammonium Hydroxide/Hydrogen Peroxide mixture (APM) for resist strip, very low particle counts were achieved for oxide substrate and better than those of Silicon wafers. Furthermore, due to low oxide thickness loss per clean cycle (1 - 2 A), oxide test wafers retain the optical characteristics for defect metrology tools to work without any recalibration. This makes the oxide photo defect monitor process very robust and production worthy. KLA defect data on unpatterned and patterned oxide test wafers for 20 or more reworks, will be shown. They will be compared to control Silicon test wafers. Some issues with exposure and focus condition and their effect on KLA defect detection will be discussed. Finally, a simple cost analysis model will show the potential saving benefit of oxide test wafer.
Trace contaminants from photoresist materials by modern spectrometry determination
Dumitru Gh. Ulieru
The modern semiconductor manufacturing used advanced photoresists chemicals with extremely low levels of trace metallic contaminants. The use of Inductively Coupled Plasma Spectrometry (ICP-MS) allows for the rapid analysis of these chemicals with sensitivity similar to furnace atomic absorption and with minimal sample preparation. Sample introduction methods currently being used include ultrasonic nebulization (USN) and electrothermal vaporization (ETV).
Linewidth Calibration Metrology
icon_mobile_dropdown
Implementation of a closed-loop CD and overlay controller for sub-0.25-um patterning
John L. Sturtevant, Michele R. Weilemann, Kent G. Green, et al.
The traditional approach for CD and overlay control in lithography has been based upon statistical control of the critical inputs to the lithographic process. This SPC approach has the disadvantage that the process equipment must be taken out of manufacturing whenever a parameter goes out of control, so that the root cause may be diagnosed and addressed. In the case of leading-edge lithography, it is often not trivial to determine the cause of such disturbances, and productivity can be greatly increased if output data is used to dynamically tune the system inputs. We have successfully implemented a fully automated, closed-loop CD and overlay control system in manufacturing for both I-line and DUV lithography. This system features automatic metrology data upload, host control of stepper/track clusters, and utilizes tool-based lot data for manipulation of future lot inputs. CD control to within 1 nm of target and less than 20 nm 3(sigma) lot to lot variability has been demonstrated. Mean overlay errors of less than 50 nm have been realized as well. Process Cpk values were improved in some cases by more than 50% with implementation of the controller.
Registration and Overlay I
icon_mobile_dropdown
Measurement of contamination rate and stage drift in scanning electron microscopes
Andras E. Vladar
Dimensional measurements with Scanning Electron Microscopes (SEMs) are done under the presumption that the sample and its location do not change during the measurements. This is never the case, because the stage and the primary electron beam always move slightly, and the sample changes due to contamination and charging. Digital time-lapse scanning electron microscopy is a useful method to investigate the extent and effects of contamination and stage drift. Implemented in hardware and software, this technique involves capture and storage of a sequence of still images. It is then possible to apply image processing or analysis to individual frames, or to view them in rapid sequence to create a motion picture of how the sample changes. The effects of drift and contamination are generally cumulative; their contributions to inaccuracy and imprecision increase with increasing measurement time. It is important to understand this in order to strike the right compromise with other factors that favor longer measurement times, such as improving signal-to-noise ratio by averaging several images or line scans. This paper describes a method to measure rates of contamination and drift of SEM samples, providing information necessary to optimize the accuracy and precision of line width measurements.
Poster Session
icon_mobile_dropdown
Elimination of bottom-pinching effect in environmentally stable chemically amplified resists
Choi Pheng Soo, Ming Hui Fan, Antony J. Bourdillon, et al.
Chemically amplified deep UV photoresist is well known with its sensitivity towards base contaminants either from track and stepper ambience or from substrate. The former gives 'T- top' formation whereas the latter leads to 'footing' in line pattern. This sensitivity originates from the chemically amplification nature that uses photoacid to catalyze the deprotection of resin. To overcome environmental unstability, a variety of chemically stable resists have been formulated. However, during evaluation of some of these new deep UV photoresist, 'bottom pinching' (BP) effect was observed for photoresist on top of organic bottom anti-reflection coating (BARC). BP effect is the slimming of photoresist line immediately above the substrate, which shows the photoresist actually dissolutes at a higher rate near the substrate. This might be caused by higher concentration of acid in the photoresist near the substrate. It is believed that the excess amount of acid diffuses out from the BARC layer. Thus, the softbake of BARC and photoresist, the post-exposure bake of photoresist are to be optimized for the 'bottom pinching' effect.
Application of scanning thermal microscopy to the study of thermophysical properties of ultrathin photoresist films
Fernando A. Escobedo, David S. Fryer, Juan J. de Pablo
Monte-Carlo simulation methods are used to investigate the glass transition temperature measurements from a scanning thermal microscopy study of thin photoresist films. We find that, consistent with our own experimental observations, film thickness has a profound effect on the glass transition temperature. Depending on whether the film is confined or not, we observe an increase or a decrease of the glass transition temperature. These findings are explained in terms of structural changes occurring at the molecular level.