Proceedings Volume 3236

17th Annual BACUS Symposium on Photomask Technology and Management

James A. Reynolds, Brian J. Grenon
cover
Proceedings Volume 3236

17th Annual BACUS Symposium on Photomask Technology and Management

James A. Reynolds, Brian J. Grenon
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 2 March 1998
Contents: 8 Sessions, 57 Papers, 0 Presentations
Conference: 17th Annual BACUS Photomask Technology and Management 1997
Volume Number: 3236

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Photomask Patterning
  • Photomask Resist and Process
  • Inspection and Repair
  • Mask Metrology
  • Advanced Mask Technology
  • Reticle Enhancement Technologies
  • BACUS '97 Special Focus Program: Understanding and Meeting CD Specifications for Advanced Reticles
  • Poster Session
  • BACUS '97 Special Focus Program: Understanding and Meeting CD Specifications for Advanced Reticles
  • Poster Session
  • Photomask Patterning
  • Poster Session
  • BACUS '97 Special Focus Program: Understanding and Meeting CD Specifications for Advanced Reticles
  • Poster Session
Photomask Patterning
icon_mobile_dropdown
Advanced electron-beam pattern generation technology for 180-nm masks
Frank E. Abboud, Charles A. Sauer, William Wang, et al.
Optical lithography will be the dominant technique used for 180 nm generation production devices. With a reduced feature size on the wafer, 4X optical reduction, optical proximity correction (OPC), and phase shift lithography techniques, mask-related errors become even more critical to wafer yield. In addition, small feature sizes and lithography enhancement techniques require finer edge resolution. Clearly, new patten generation tools are needed for this generation of maskmaking requirements. Multipass gray (MPG) writing strategy was introduced with the MEBESR 4500S. The ability to deliver a 4X improvement in dose while improving throughput is a significant advantage over previous MEBES systems. Since MPG is used in conjunction with offset scan voting, reduction in butting of over 50% has been demonstrated with MPG. Higher doses are now possible with use of a multipass writing strategy and a brighter source. As a result, resists with higher contrast and process robustness can be used. A significant improvement in uniformity is noted with the new process, an essential step needed in meeting 180 nm requirements. Dry etch is essential to meet these new requirements and with sufficient process margin to be manufacturable. This paper describes the key electron-beam pattern generation technology necessary to meet the requirement of 180 nm masks, including a high dose field- emission gun and column capable of delivering 800 A/cm2; complete dynamic beam correction; a digital stage servo to provide stable, reproducible stage control under high acceleration conditions; a high speed data path to support 320 MHz beam blanking and a 10 nm data address. This paper also examines the improvements made to the MEBES platform and documents the resulting improvements and compares these results to the requirements for 180 nm masks.
Advantages of variable-shaped e-beam writers for mask making
The constantly growing metrology requirements on photomasks in conjunction with an explosion of pattern file sizes have renewed the interest in alternative electron beam writer approaches. One possible way to cope with these requirements is an e-beam writer employing the variable shaped beam principle. Besides the straightforward reduction in pattern file sizes in general, this approach also seems the ideal way to incorporate the optical proximity correction features that are characteristic for many of the high-end applications the mask making industry has been confronted with recently. By designing a new e-beam system for industrial use compactness and ease of operation have been a central concern in order to get rid of the problems electron beam lithography systems have been notorious for in the past. A lithography system for use around the turn of this century should be able to expose the next standard reticle sizes, consequently the ZBA31H is already available with a large glass option incorporating the Leica 300 mm stage subsystem.
Evaluation of process capabilities for 50 keV with rectangular-shaped beam using computer simulation
Byung-Cheol Cha, Yoo-Hyon Kim, Seong-Woon Choi, et al.
Monte Carlo calculations, including secondary electron generation and development simulation, using a string algorithm have been carried out in order to estimate the process capabilities for a beam voltage of 50 keV with a rectangular shaped beam in electron beam lithography technology. The results for minimum resolution for the threshold energy density model and the development model were compared. A study of minimum resolution and process latitude with respect to the acceleration voltage, resist thickness, beam blur for commercial PBS resist was investigated. In addition, at 50 keV, the effects of (alpha) value, asymptotic slope at very high dose in the dissolution rate equation on minimum resolution and process latitude were examined. The results show that it is necessary to use resist with a higher (alpha) value, as well as a high acceleration voltage of 50 keV, in order to enhance process capabilities.
Performance of a new high-NA scanned-laser mask lithography system
Henry Chris Hamaker, Peter D. Buck
To meet the challenges of peak production of 0.25-micrometer design rule photomasks, a new generation of scanned-laser reticle writers has been developed. Based on the architecture of the ALTA 3000, the resolution and critical dimension (CD) control have been improved by integrating a new 33X, 0.8- NA reduction lens. The spot size of 0.27-micrometer FWHM represents a reduction by a factor of 0.6 relative to preceding scanned-laser products, thereby providing excellent CD linearity down to 0.5 micrometer. High throughput is maintained by reducing the number of averaging passes from eight to four. The sharper aerial image produced by the system limits the CD biasing which may be obtained using dose adjustment, so a dry etch process with zero etch bias must be used for optimal performance. Early characterization of the system indicates performance consistent with that required for 0.25 micrometer integrated circuits.
Cost-effective pattern generation for 64-Mb and 256-Mb photomasks
Per Liden
The specifications of reticles for 64 Mb and 256 Mb DRAMs (0.35 micrometer and 0.25 micrometer design rules) show that the use of leading edge pattern generators is not required for all levels. By using writers optimized for the requirements of each level a lower total cost can be achieved. A laser reticle writer is described that can be used together with a leading edge system in a mix and match mode. The writer has the capability to expose the new 230 by 230 by 9 mm reticle standard. In addition to larger die size the increased reticle size gives a throughput advantage since more die fit on each reticle. Introducing the 230 mm reticles at levels with less stringent specifications, lowers the technical risk compared to introduction at the critical levels. The higher productivity of the 230 mm also makes it feasible to use advanced DUV step and scan tools for a larger number of levels. The innovative data path design of the pattern generator allows the pattern data to be processed sufficiently fast not to limit the writing speed. This makes write times independent of pattern complexity. The pattern registration is addressed by a very rigid stage design and an innovative stage surface. Mix and match capability is enhanced by using an adjustment method for the coordinate system to fit a measuring tool or a different pattern generator.
1997 mask industry quality assessment
Timothy Eichenseer
The fifth annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of safety, quality, delivery, and throughput time. The data compiled includes safety incident rate, mask shipment volume, customer return rate, customer return reason, mask survival yield, delivery performance to schedule, and throughput time from 1988 through Q2, 1997. To enhance the 1997 assessment, the performance data will be collected by categories of defect size specifications. Participant identity remains protected by utilizing Arthur Anderson & Company to ensure confidentiality. The 1997 assessment contained data from all major U.S. merchant and captive suppliers along with five international shops. In 1998, all mask makers world wide will again be invited to participate to further enhance the value of this benchmarking exercise.
Photomask Resist and Process
icon_mobile_dropdown
Film stress and geometry effects in chrome photomask cleaning damage
H. Ufuk Alpay, James L. Wood, Franklin D. Kalk
As design rules shrink, photomask blank material characteristics play a more significant role in successful mask fabrication. Chromium-based absorber film stress is a key material attribute in determining mask quality. A photomask is cleaned several times during manufacture by various techniques incorporating part or all of the following processes: strong acids, bases, high pressure sprays, mechanical brushes, sonic agitation. In such aggressive environments, electrostatic discharge damage (ESD) and mechanical damage can occur. Chromium-based film dependence on sputter deposition parameters was studied here. Photoblank flatness, measured by optical interferometry, was used to quantify the stress. Blanks with various chrome film stresses were patterned with features combining different geometry types. The masks were then subjected to multiple cleaning cycles and inspected after each cycle. The results demonstrate how mask damage is related to the film mechanical properties (which are controllable by sputter deposition parameters) and the pattern itself (which is not controllable).
Performance of positive-tone chemically amplified resists for next-generation photomask fabrication
Toshikazu Segawa, Masa-aki Kurihara, Shiho Sasaki, et al.
Several types of chemically amplified resists (CARs) have been evaluated in comparison with other types of resists. The evaluated CARs were found to have better performance than conventional resists and to satisfy the requirements for next generation reticle fabrication. Especially CARs have high sensitivity and high contrast enough to make photomasks with e-beam writers and have good dry-etch durability. We evaluated the allowance of baking conditions. It was found that it was important to minimize the dependence on prebake temperature as well as on post exposure bake temperature. The influence of airborne contaminants on post exposure delay (PED) stability of CARs was investigated. PED stability depends on resist materials and the ammonia concentration in the process environment. The use of a chemical filter is effective in improving PED stability. In conclusion, CARs can meet the requirements for resists used for next generation reticle fabrication.
Plasma etching of Cr photomasks: optimization of process conditions and CD control
As device design rules continue to shrink, on-mask Cr structures must experience a corresponding reduction in size. Although 0.25 micrometer design rules require only 1 micrometer Cr features, the use of OPC structures, which may be needed to minimize line foreshortening and corner rounding, necessitate features to be etched into the Cr which are significantly smaller than this. This need, coupled with the demand for reduced CD bias and improved CD uniformities, requires the use of an alternate chrome etch technology. Plasma etching of Cr can be highly anisotropic, greatly reducing the etch undercut which is responsible for the CD bias typically associated with wet etching. Reactive ion etching (RIE) can provide significant enhancements in the capability of replicating micron and sub-micron features, but the Cr etch rate non-uniformity which is typical of this technique can translate into a CD non-uniformity. This is due in part to the relatively high pressure of operation (50 - 100 mTorr) which is necessary to reduce the self generated dc voltage and which, in turn, minimizes the photo resist etch rate. Recently, high density plasma sources, such as inductively coupled plasma (ICP), have become available which have the ability to operate both at low pressures and high plasma density while maintaining a low and controllable dc voltage. The low pressure operation significantly improves the etch rate uniformity and consequently the CD uniformity. By coupling this ICP plasma source with a non-contact backside photomask handling system and a true multi-station 'cluster tool.' In this study a design of experiment (DOE) is used to investigate the parameter space associated with the dry etching of Cr using an ICP source. The responses of Cr etch rate, selectivity to photo resist, CD uniformity and mean CD to target are studied, and from this an optimized parameter space is defined. Within this space the effect of overetch, dc voltage and pattern loading on the CD uniformity are also investigated. The role played by the photo resist profile in determining the Cr etch profile is also studied, and preliminary measurements are made to understand the effect of the above parameters on the mask CD bias.
Inspection and Repair
icon_mobile_dropdown
New methodology for thoroughly characterizing the performance of advanced reticle inspection platforms
For years equipment suppliers have opened their doors to evaluations by potential customers. Unfortunately, the only feedback a supplier received concerning its performance was a purchase order (or the lack of one). Neither was there any benchmarking against other suppliers' results to identify niche areas or spark necessary tool improvement programs. The result was an industry where new tool development projects ran the risk of being dictated by conjecture and assumption rather than a more empirical approach. This paper presents a method by which reticle inspection tools can be characterized more comprehensively. While grounded in common sense, some of the techniques used were considered quite unorthodox. By consulting the equipment supplier as to which test vehicles might best demonstrate its tool capability or might expose a weakness in the competitor's tool, topics that the customer might not otherwise have thought of were covered in the evaluation. Securing permission to feed back comprehensive results to all suppliers also guaranteed future focus on critical issues and limited development activities to only those deemed value-added by the customer. In addition, specific test battery topics were derived from consultations with semiconductor customers. The intent was to understand which reticle patterns and defect types were critical to the end user. The expected outcome after this type of evaluation is a quantified performance benchmark which facilitates industry-wide reticle inspection capability improvement over a shorter period of time.
Improved image acquistion for advanced reticle inspection
Yair Eran, Gad Greenberg, Avner Segev
The ability to inspect sub-micron features is an essential need for the manufacturing of advanced reticles. The shrinking of the minimal line width and the need for detecting smaller defects present a challenge for die-to-database reticle inspection. To meet this challenge, Orbot-Applied has developed an improved image acquisition (IIA) method and integrated it into its new RT-8000ES Die-to-Database reticle inspection system. The introduction of the IIA module made possible the detection of smaller defects and the ability to inspect smaller features, while maintaining all the other advantages of the field proven RT-8000 system. The evaluation of the RT-8000ES performance included scanning special test reticles with sub-micron features, containing different types of programmed defects of varying sizes. The evaluations's results show the RT-8000ES has the ability to inspect advanced reticles with lines down to 0.6 micron in width, while detecting defects as small as 0.15 microns, with no false defects. With this new improved image acquisition capability, the RT-8000ES has the ability to inspect current and future advanced reticles with high defect detection sensitivity and high reliability.
Clear-field reticle defect disposition for advanced sub-half-micron lithography
Kent B. Ibsen, John Robert Ilzhoefer, Mark D. Eickhoff
The focus of this work is to provide a methodology to accurately disposition clear field contaminants at the reticle inspection station. A test mask was designed with programmed resist and chrome defects to simulate clear field contamination. Wafers were printed using a variety of 0.50 micrometer/0.35 micrometer/0.25 micrometer lithography processes. We determine printability using I-line and DUV resist under different illumination conditions. We demonstrate that aerial image simulation and defect size are the most practical methodologies to disposition semi-transparent defects. We show aerial image simulation to correlate with printed wafer results for soft defects.
Printability and repair techniques for DUV photomasks
Fritz Gans, Marion Jess, Stephanie Kohlpoth, et al.
Intention of this paper is to discuss some issues resulting from increasing requirements on mask making for 0.25 micrometer technology and below. The key question to be discussed is: How can zero defects masks be guaranteed in future and what efforts are needed to reach that goal. Using state of the art equipment two photomasks were manufactured with typical sized and shaped programmed defects. First, criteria is discussed to characterize the printability of mask defects. Key element is the aerial image measurements system MSM100/AIMS. As a result it was found that the criteria strongly depends on the principle shape of the considered pattern. It is shown that the standard criteria used for mask repair, i.e. relative change of the maximum feature image intensity, is only applicable in case of best dose and best focus. The results were used to improve the performance of an attenuated DUV phase-shifting-mask (aPSM). Various repair techniques have been studied. As a result it can be concluded that high quality mask repairs are available which allow the fabrication of defect free aPSM.
Integration of KLA Starlight for phase-shift mask manufacturing
Denis M. Rigaill
In the chrome-on-glass photomask inspection arena, KLA Starlight tool is mostly used in manufacturing mode as a particle detector and final plate quality verification, before mounting the pellicle, after pelliclization or both. When it applies to phase-shift reticles -- embedded attenuated as well as PhaseEdge --, there are other applications of Starlight defect detectivity, in addition to the above. This paper describes uses of Starlight technology at several process steps of PSM manufacturing. It addresses case of I-line and DUV Cr/MoSi embedded attenuated and multiple level quartz- etched alternating. Illustrations provided show several typical defect. Finally challenge to migrate from a development use into a full manufacturing integration is discussed. Based on Starlight performance, the price to pay to extend use of initially binary chrome-on-glass tool designed for production of phase-shift reticles is seized.
Mask Metrology
icon_mobile_dropdown
Initial characterization results of a low-voltage CD SEM for reticle metrology
Rich Quattrini, Craig W. MacNaughton, Richard C. Elliott, et al.
This paper presents results obtained using a low-voltage critical dimension scanning electron microscope (CD SEM) for the imaging and measurement of features patterned on quartz photomasks. The SEM system used was designed for handling silicon wafer substrates and has been adapted to accommodate 6', 250 mil photomask substrates. The scope for this initial characterization is limited to the two most common reticle metrology applications on current technology photomasks; patterned PBS photoresist features on chrome, and patterned chrome features on quartz. Images, data, and analysis of the characterization results are presented, and the unique difficulties of imaging and measurement of each of these two types of samples is discussed.
New electron microscope system for pattern placement metrology
Harald Bosse, Wolfgang Haessler-Grohne
Details of a new comparator for pattern placement measurement on masks and wafers are described. The electron-optical metrology system (EOMS) consists of a low energy scanning electron microscope (SEM) with a large specimen chamber and an x-y-stage with 300 mm travel range controlled by laser vacuum interferometry. The design of the instrument is discussed with special emphasis on its metrology components, the laser interferometer and the electron beam probe. The secondary electron image formation of mask and wafer structures and the extraction of the edge position information are described. Recent comparison measurements between the EOMS and a LMS 2020 metrology system are reported. A discussion of the results includes the deviations between both coordinate measurements and the problem of traceability of the length measurement on both comparators. Applications of further measurements on different mask types are presented.
Measuring critical dimensions and overlays as prescribed by the National Technology Roadmap for Semiconductors
Continued demands on shrinking features with tighter tolerance on critical dimensions (CDs) and overlays (OL) are placing stringent requirements on parameters that are essentially the building blocks of the metrologies for CDs and overlays. This paper conducts a reality check on the precision and error budgets assigned to CD and overlay controls by the National Technology Roadmap for Semiconductors (NTRS) in light of constraints on parameters that are fundamental to the above measurements.
Fabrication of submicrometer photomask linewidth standards
Regine G. Tarascon-Auriol, Norbert Talene, Dominique Sadran, et al.
Photomask linewidth standards have been fabricated to be used as reference for the calibration of photomasks metrology tools. In order to manufacture these binary masks with submicronic features with good linearity and resolution in a production mode using a MEBESIII type pattern writer, a process was set using the electronbeam negative tone resist SAL6O5 for the imaging layer and transferring the developed patterns via dry etch. To achieve the very tight tolerances required in the specification of the standards, various parameters, which affected the quality of the fmal product, had to be carefully monitored and controlled. The variables to be optimized were the characteristics of the exposure tool, chemically amplified resist system and dry etching tool. We report on the optimization of the exposure current, address size, spot size and feature sizing as well as the effect of post exposure bake (PEB) temperatures, blanks age and humidity levels on resist sensitivity and resolution. Metrology data presented show that a resolution of 300nm with a tolerance of plus or minus 20 nm in both polarity is obtained Keyword : Photomask, SAL6O5, standard
Advanced Mask Technology
icon_mobile_dropdown
Next-generation mask strategy: are technologies ready for mass production of 256 MDRAM? Summary of Photomask Japan '97 Panel Discussion
A panel discussion on mask technologies for 0.2-micrometer rule devices was held at Photomask Japan '97. This paper summarizes the discussion to make clear what is really needed to the mask, and what is a key issue to overcome. Required CD uniformity is satisfied by the improvement in resist/etching process and pattern writing accuracy. PSM key issues are defect inspection and repair. OPC is indispensable for 0.2 micrometer device, so the improvement in mask pattern fidelity and defect inspection technology is strongly required, but some limitation in OPC pattern design will be necessary to realize the OPC technology in mass production. To achieve both technology and cost, the partnership of captive and merchant mask shop, and the partnership of lithography, device, mask, equipment, and material vendors will be very important.
Yield, metrology, and inspection characteristics of SCALPEL masks
Milton L. Peabody Jr., Myrtle I. Blakey, Reginald C. Farrow, et al.
SCALPEL (scattering with angular limitation in projection electron beam lithography) masks have been fabricated for use in the SCALPEL proof-of-concept (SPOC) and the recently built SCALPEL proof-of-lithography (SPOL) tool. To date over 300 mask blanks have been formed and yield data as a function of the thickness of the silicon nitride membrane has been quantified. For thickness ranging from 100 - 1500 angstrom, the yield, defined as having all membranes and struts intact and flat (film is tensile), is in excess of 90%. The mask scatterer (W/Cr) film thickness investigated were 500 angstrom/100 angstrom and 250 angstrom/50 angstrom. Mask blanks, coated with 0.32 micrometer thick ZEP-520 resist, are patterned on a JEOL JBX6000FS e-beam exposure tool operating at 50 KeV. For the 500 angstrom thick W films, pattern transfer into the scatterer layer is accomplished using a SF6/O2 plasma etch process. Metrology on dry etched tungsten features have been obtained using an Hitachi 4160 SEM and indicates that CD linearity can be achieved over a 0.4 - 4.0 micrometer range. The SCALPEL tool prints at a 4X reduction, the above ranges corresponds to imaging 0.1 - 1.0 micrometer size features on the wafer. Additional mask metrology data has been obtained and an approximately 1% difference is measured between equivalent size features present in an isolated and dense array and oriented in the horizontal and vertical direction. W line-edge roughness using the plasma etch process is approximately 15 nm. Minimum contact hole geometries of 0.32 micrometer (0.08 micrometer at the wafer) and minimum isolated trenches of 0.24 micrometer (0.06 micrometer at the wafer) have been fabricated. SCALPEL masks have been inspected using a KLA SEMSpec in a die-to-die mode on features as small as 0.24 micrometer on the mask. For a patterned mask structure consisting of 500 angstrom W/100 angstrom Cr on 1500 Angstrom SiNx, all defect types could be identified and no modifications to either the mask structure or SEMSpec operating conditions were required.
Focused ion beam repair of 193-nm reticle at 0.18-um design rules
In this paper, the performance of the focused ion beam repair for a 193 nm DUV reticle is studied via wafer level data. The lithography tool used for wafer exposure is 193 nm Microstepper with NA of 0.6. The resist process used is the top surface imaging resist process. In the study, two different repair tools from different manufacturers were used to perform clear intrusion mask defect repairs. We found that in the case of a clear defect repair, due to the combination of defused carbon and gallium staining near the repair region, the effective mask critical dimension (CD) in that region is larger under the 193 nm exposure as compared to the actual repaired mask CD. As a result, a well controlled edge repair, i.e., the repaired patch lined-up well with a line or a contact edge, actually will induce a CD variation in the resist. For example, in the case of a 0.22 micrometer contact (1x), 6 nm (1x) constant CD reduction in resist throughout a range of focus and exposure dose was observed in a good repair as compared to that of a defect-free same size contact. When the repair patch is recessed slightly from the edge, the CD change is reduced as compared to the perfect edge alignment case. Based on this study, we found that it is preferable to recess the repaired patch slightly from the line edge in the case of clear defect repair.
Evaluation of resist models for fast optical proximity correction
One of the most prominent process non-linearities, which are summarized under 'proximity effects' is line-shortening. Line- shortening is poorly modeled by phenomenological lithography simulation -- even when resist models are used, which deliver reasonable results for process windows and resist edge profiles. So the challenge for a simulation-based OPC tool is not only the required speed, but also a lack in thoroughly understanding the processes involved. The OPC tool OPTISSIMO describes optical pattern transfer primarily by simulation of the aerial image according to a phenomenological model. Differences from the actual measured dimensions (either after resist processing or after etching) are described as corrections to the aerial image simulation results. These corrections are fitted by an empirical model. We show in this paper, that this model is not only able to explain the linewidth changes due to proximity effects, but also to describe line-shortening effects with reasonable accuracy. Further we show, that using a 'hammerhead design approach' (a rectangle placed over the end of each line) is a very effective way to compensate line-shortening This technique does not require an increase of resolution at mask fabrication and increases the data volume of the corrected design only moderately. Therefore, the addition of hammerheads to the line-mode of OPTISSIMO is a very promising method to perform OPC at full-chip designs and with available mask manufacturing techniques.
100-nm defect detection using an existing image acquisition system
For obvious cost reasons, semiconductor manufacturers are constantly striving to produce ever smaller wafer geometries with the current installed base of wafer steppers. Many techniques (phase shifting, optical proximity correction, etc.) have been used successfully to 'squeeze' more resolution from these steppers than was once thought possible. Wafers processed using non-aggressive k1 factors provided a linear correlation between mask and wafer feature sizes. However, it has been shown that pushing k1 factors to very low levels causes a nonlinear response between changes in photomask and wafer critical dimension. This non-linearity demands extremely tight photomask CD control specifications. Total CD errors 50 nm and smaller can cause unacceptable wafer CD variation. Current high end reticle manufacturers are capable of meeting a total CD uniformity specification of approximately 40 nm as measured by sampling strategies using optical metrology tools. These tools are very useful for detecting macro changes in CD; however, they will only detect a localized error if it happens to occur precisely at the point of measurement. In contrast, a pattern inspection system employing a linewidth measurement algorithm can ensure detection of all localized errors within the detection and review capability of the system. The problem with reticle CD error detection capability is that there is a large discrepancy between currently available detection of greater than or equal to 150 nm and required detection of less than or equal to 50 nm necessary for proper wafer functionality at low k1 lithography. In this paper, defect sensitivity and false detection performance of a new advanced line measurement algorithm was tested. The test vehicles included both an industry standard and a custom designed programed defect test mask. In addition, production masks with naturally occurring localized CD errors that caused wafer pattern bridging were analyzed. This new experimental algorithm has shown localized CD error detection of less than or equal to 100 nm reticle defects.
Reticle Enhancement Technologies
icon_mobile_dropdown
Electron-beam lithography simulation for mask making: I
A new model called ProBEAM/3D is introduced for the simulation of electron beam lithography and applied to the problem of mask making. Monte Carlo simulations are combined with a beam shape to generate a single 'pixel' energy distribution. This pixel is then used to write a pattern by controlling the dose of every pixel on an address grid. The resulting dose pattern is used to expose and develop a resist to form a simulated three-dimensional resist pattern.
Detection of submicron phase defects on multiphase random logic reticles
In this paper we present results of an algorithm that has been developed which is sensitive to phase defects of 60 degrees on i-line alternating PSMs. This algorithm consists of microcode and software which can be loaded into existing inspection hardware. The algorithm works in die-to-die inspection mode and uses both transmitted and reflected light images to maximize sensitivity. Isolated phase defects missing and misaligned shifter edges. A programmed phase defect test plate was developed to characterize defect detection sensitivity. Detection of 60 degree defects smaller than 0.75 micrometer has been demonstrated with this algorithm. Defect sensitivity characterization and actual production plate defect results are shown.
Novel alternating phase-shift mask with improved phase accuracy
Naoyuki Ishiwata, Takema Kobayashi, Satoru Asai, et al.
We developed an alternating phase shift mask that offers a sufficient phase accuracy for manufacturing sub-0.18 micrometer devices with 248 nm deep-UV lithography. This mask has a Cr/spin-on-glass/quartz structure. Our mask fabrication process utilizes some new techniques which include the use of a SOG shifter with extra thickness, a two step SOG etching, and an additional wet etchign process for phase adjustment. Our process showed a good performance, and a phase controllability of 180 plus or minus 0.7 degrees was achieved. Phase uniformity was less than 3 degrees over a 100 mm square area. It was nearly equal to the uniformity of the SOG thickness. These results prove that the additional etching process is very effective at improving phase accuracy.
Tritone PSM and its performance
Makoto Kohzuma, Kazuaki Chiba, Hiromasa Unno, et al.
The halftone phase shift mask (PSM) gives a significant improvement on DOF for hole pattern printing on wafer. However, we need to be careful when we use it on other patterns such as line and space or patterns surrounded by bright field, because sub-peak effect could cause deterioration of those patterns when they are printed on wafer. Toppan presents a new structure in which Cr film is partially placed on the half tone surface. With this structure, so called Tri-tone PSM, we can block harmful light transmission for non-hole patterns, while keeping the full characteristics of PSM effect on targeted patterns. This structure could also prevent the line shortening problem. Using this structure we can get good printability on smaller geometry without using optical proximity correction (OPC) patterns (serif, etc.). Of course this method can offer a perfect shielding of the opaque ring which prevent the wafer damage caused by light leakage. By using this Tri-tone structure, the application of half tone PSM, which is so far limited to hole patterns, would be extended to line patterns.
BACUS '97 Special Focus Program: Understanding and Meeting CD Specifications for Advanced Reticles
icon_mobile_dropdown
Impact of photomasks on linewidth variation
In modern logic processes, variation of linewidths, rather than resolution, often sets the practical lower limit to dimensions. In this context, it is useful to understand how linewidth errors on photomasks contribute to linewidth errors on silicon. It is generally impossible to express the total linewidth variance as the sum of terms that depend only on the photomask or only on other factors. This follows partly because linewidth errors from several sources, such as non- uniform illumination or aberrations of the projection optics, combine with photomask errors to yield significant covariance. In this regard, photomask errors characterized by low spatial frequencies, such as those arising from resist and Cr processing, are more significant than the errors mask writers produce with higher spatial frequencies. A further complication at dimensions of interest, is that printed linewidth is a non-linear function of photomask linewidth, the effect being to amplify the consequences of linewidth errors on photomasks. Closely related to non-linearity are line shortening and proximity effects. When photomasks are compensated to mitigate these problems, round-off to minimum address increments becomes another source of linewidth errors.
Photomask metrology in the era of neolithography
The appearance of smaller photomask feature sizes, high density patterns, and optical enhancements such as phase shifters and OPC features, and the increasing importance of subresolution mask characteristics, can severely challenge traditional mask metrology techniques. Such high resolution imaging techniques as SEMs and scanning probe microscopes can present serious metrology problems in modeling, throughput, and accuracy. Accordingly, photomask metrology must be examined in the larger context of mask design, specification, manufacture, and application. Critical mask features may not always be measured directly as in the past. Instead, their optical effects can be measured and compared with specifications. Discrepancies indicate needed corrections in mask fabrication process control. In the early days of integrated circuit lithography, an era which might be termed paleolithography, the pattern on the photomask was transferred conformally to the wafer. Mask CDs were measured directly in a metrology microscope and represented their corresponding wafer CDs. The optical transform from mask to wafer was simply the scalar exposure system reduction ratio. In the present era of neolithography, with wafer CDs near the exposure wavelength, this is no longer the case. The wafer exposure system performs a nonlinear optical transform on the mask pattern to produce an aerial image in the resist. OPC and mask phase shifters are manifestations of the inverse of this transform. The image in the developed resist depends on the mask pattern and the exposure and development parameters in nonlinear ways. These parameters can be optimized in software, but their effects are equally important in both mask design and mask metrology. A conceptualization of the photomask life cycle is presented showing the role of mask metrology in context. This approach can lead to practical solutions of the mask metrology problems in neolithography.
Practical approach to evaluating mask CD uniformity patterned by a variable-shaped beam
Shusuke Yoshitake, Yoji Ogawa, Hideaki Sakurai, et al.
CD uniformity to be patterned by electron-beam (EB) writing system with a variable-shaped beam was evaluated. The experimental EB writing system, EX-8D, was used under conditions of current density of 20 A/cm2 and acceleration voltage of 50 keV. Quartz reticles coated with positive tone resist ZEP7000TM (Nippon Zeon Co., Ltd.) were applied. Test patterns of 1-micrometer-width design were written by shaped beam shots of 1 micrometer square with different exposure doses. Since higher measurement repeatability was confirmed, line width of test patterns without shot stitching points was measured by Nikon XY-3I with a circle-spot probe of 1 micrometer. Line width of clear patterns on resist film was measured after development, and line width of clear patterns on chrome (Cr) film of one mask was measured at same points after wet-etching. The other mask was measured at the same points after dry-etching process by conventional reactive ion etching (RIE). Certain comparisons in this study indicate the importance of evaluating CD uniformity on Cr film after dry- etching process. Expect for resist heating contribution by four-pass writing method, the uncertainty of CD error was quantified as follows: 4 nm (3(sigma) ) on resist film at the applied dose of 19 (mu) C/cm2, and 4 nm (3(sigma) ) on Cr film at the applied dose of 27 (mu) C/cm2.
Poster Session
icon_mobile_dropdown
Manufacture of photomasks for critical layers of sub-half-micron CMOS technology
Brian Martin, Tim R. Waring
Results are presented of dimensional measurements on 6 inch photomasks manufactured for the critical layers of a sub-half- micron CMOS process. Measurements show that dimensional control is excellent across individual plates but that capability indices are impaired by drift of mean-mean between individual photomasks. The measurement tool used was shown to be incapable of supporting the advanced manufacturing specification but its performance was improved by taking multi-point measurements.
BACUS '97 Special Focus Program: Understanding and Meeting CD Specifications for Advanced Reticles
icon_mobile_dropdown
Current status of mask CD uniformity as related to e-beam system
Sung-Chul Lim, Byung Guk Kim, Seong-Woon Choi, et al.
As pattern density is increased, it is difficult for current photolithography technology to meet the required resolution. Therefore, instead of the photolithography e-beam direct writing and x-ray lithography have been considered as next generation technology. However, due to the rapid development in photolithography, it will be employed in manufacturing the first generations of 1 or 4 Gb DRAM. As smaller design rules require tighter controllability of the mask CD uniformity, mask CD uniformity smaller than 20 nm (3 (sigma) ) will be required for next generation devices. This paper reports on evaluation of mask CD error using a raster scan type e-beam system. In our study, CD uniformity (global) is improved by the advanced blank mask and local CD uniformity requires consideration, in order to reach the 20 nm CD uniformity. In particular, the butting error is a major source of CD variation but it is difficult to monitor this in this real mask production. Thus, the MPP (multi phase printing), and voting method are an alternative plan for reducing the butting error. However, we found that the 2X MPP (4 pixel merged) produced some CD skews, between even address pattern and odd address pattern. The voting gave some improving in butting error, but at the expense of loss of writing time. To meet the needs of mask CD uniformity, not only the global CD variation but also the local CD variation requires consideration. These types of mask CD errors were studied herein.
On the way to 1 Gb: demonstration of e-beam proximity effect correction for mask making
Anja Rosenbusch, Christian K. Kalus, Hiroyuki Endo, et al.
The e-beam proximity effect is well known as one of the limiting factors in e-beam lithography. As features get smaller the need for e-beam proximity effect correction increases. There exist different approaches to cover these effects by varying dose or shape of the pattern layout during the exposure step. Whichever algorithm is used, the question of proximity effect correction gets more and more a performance problem for forefront applications like the 256 megabit and 1 gigabit chips. The correction approach has to handle large data volume in reasonable time. Key to overcome this hurdle is to include hierarchial data handling into the proximity correction algorithm, which involves hierarchical data structures as well as hierarchy reorganization methods. The goal of the present work is to perform all necessary steps in order to guarantee the accuracy of the exposure result for the 1 gigabit memory chip. One step of the preparation is the e-beam proximity correction for raster scan machines. With respect to proximity effect correction, raster scan machines have a severe drawback. The scanning speed is constant while writing the layout, i.e., dose variation is not applicable to compensate for the proximity effect. There is, however, the geometry which can be exploited as degree of freedom. Geometrical variations of the layout underlie many constraints such as neighboring features, the exposure grid of the e-beam tool and, but not least, writing time. The paper presents how to solve some of the major problems occurring when proximity effect correction becomes an unavoidable step in the mask making process. Power and application limits of proximity effect correction for raster scan machines are investigated. The exposure has been carried out on a MEBES 4500 system. Process latitude and line width linearity are presented. In addition, practical questions like file size increase due to proximity correction are investigated. Exposure results of uncorrected and corrected pattern are compared to demonstrate the necessity of the correction as well as the improvement in pattern fidelity.
Comparison of line shortening assessed by aerial image and wafer measurements
Wolfram Ziegler, Rainer Pforr, Joerg Thiele, et al.
Increasing number of patterns per area and decreasing linewidth demand enhancement technologies for optical lithography. OPC, the correction of systematic non-linearity in the pattern transfer process by correction of design data is one possibility to tighten process control and to increase the lifetime of existing lithographic equipment. The two most prominent proximity effects to be corrected by OPC are CD variation and line shortening. Line shortening measured on a wafer is up to 2 times larger than full resist simulation results. Therefore, the influence of mask geometry to line shortening is a key item to parameterize lithography. The following paper discusses the effect of adding small serifs to line ends with 0.25 micrometer ground-rule design. For reticles produced on an ALTA 3000 with standard wet etch process, the corner rounding on them mask can be reduced by adding serifs of a certain size. The corner rounding was measured and the effect on line shortening on the wafer is determined. This was investigated by resist measurements on wafer, aerial image plus resist simulation and aerial image measurements on the AIMS microscope.
Application of alternating phase-shifting masks to 140-nm gate patterning: linewidth control improvements and design optimization
Hua-Yu Liu, Linard Karklin, Yao-Ting Wang, et al.
In this paper we show that the problem of intrafield line width variations can be effectively solved through a novel application of alternating phase-shifting mask (PSM) technology. To illustrate its advantages, we applied this approach to produce 140 nm transistor gates using DUV (248 nm wavelength, KrF) lithography. We show that: systematic intrafield line width variations can be controlled to within 10 nm (3 (sigma) ), and variations across the wafer held to within 15 nm (3 (sigma) ), with a target k1 factor of K1 equals 0.237 (140 nm target gate lengths).
Poster Session
icon_mobile_dropdown
Automatic gate CD control for a full-chip-scale SRAM device
Chul-Hong Park, Tae Kyun Kim, Hoong-Joo Lee, et al.
As the minimum feature size in VLSI circuits is reduced less than the wavelength of the exposure light, resolution enhancement technologies (RETs) have been developed. Optical proximity correction (OPC), which is one of RETs, can correct the difference in line width between isolate lines and lines in a dense array. Among the factors of CD variation (i.e., the optical proximity effect, numerical aperture, partial coherence, swing effect, and CD error on a mask), we have found that the optical proximity effect causes a severe isolate-dense bias larger than 35 nm. The optical proximity effect was corrected using an automatic tool based on an optical behavioral model. To determine the optimum threshold intensity, test patterns with the various threshold values were produced and measured using SEM. From this experiment, a proper threshold has been chosen and applied to a full chip pattern except the cell area in the gate layer of an SRAM device, which is optimized by photo engineer's experience. Furthermore, a model recipe correcting only the line width was set up to prevent the increase of the e-beam data size in two dimensional correction. Up to 40% reduction of CD variation can be expected, considering that more than 50% of gate layer patterns have the error distribution of -10 nm to 10 nm after OPC.
Three-dimensional electron-beam lithography simulator V2.0 for the gigabit-era photomask manufacturing
Yoo-Hyon Kim, Byung-Cheol Cha, Hoong-Joo Lee, et al.
A three-dimensional electron-beam lithography simulator version 2.0 has been newly enhanced for the multiple exposure of the Gaussian round beam. Development model parameters of the poly(butene-1-sulfone) positive electron beam resist in the spin-spray type are extracted through the experiment and simulation. With these parameters, electron beam simulation is applied to the submicron photomask manufacturing. The Gaussian round beam with the spot size and the address size of 0.1 micrometer is exposed with the dose of 2 (mu) C/cm2 at 10 keV on the 4000 angstrom resist/1000 angstrom chrome/glass substrate and the development time is 50 sec. With respect to the CD linearity of L/S, an isolated line and space pattern, the two-dimensional simulation results agree well to the measured data. The three dimensional simulation for a contact hole test pattern of gigabit DRAMs is demonstrated and compared with the SEM micrograph of the experimental profile. The results show that this simulation approach is highly practical to photomask manufacturing applications.
Accurate and repeatable mask defect measurements for quarter-micron technology
A highly repeatable and accurate mask defect measurement has been developed. Defects from 0.1 to 1.5 microns in diameter are measured by computing the total light absorbed or transmitted by a defect. RMS repeatability of better than 9 nanometers on 0.4 micron defects has been achieved. Reliable measurement of defect size is important for developing lithography technologies for smaller geometries, and for commercial mask production. As mask feature sizes have dropped below the wavelength of visible light, getting reliable defect size measurement has become nearly impossible. Even scanning electron microscope (SEM) and atomic force microscope (AFM) measurements have not yet proven reliable even though they provide resolutions down to a few nanometers. This technique of measuring flux absorption or transmission allows reliable measurement of defects that are several times smaller than the wavelength of light used to examine them, with repeatability of 2 - 10 nanometers, depending on the image source. Transmitted light images are acquired from KLA-3xx, Starlight, KLA-219, DRS-1, DRS-2, or other video microscopes. Then the amount of light flux absorbed (by a spot or chrome extension), or transmitted (by a hole or clear intrusion) is measured. That change in flux is converted to an area, which can then be converted to a diameter. This system is currently in use in several large mask shops. It promises to be a powerful QA and analysis tool for developing masks for .25 micron and smaller geometries. Accuracy and repeatability tests have been performed on reference defects on Dupont VeriMasks, and using PSL spheres. Repeatability is limited by vibration of the image and by pixel artifacts in the images from KLA-3xx machines. Accuracy cannot be objectively assessed because there is no 'NIST traceable' reference for defect sizes. However, chrome defect size appears to be linearly correlated to absorbed or transmitted flux, as one would expect from the physics, so defect area accuracy is expected to be similar to the repeatability, around 10 nanometers. This technique does not easily provide separate x- and y- dimensions for non-round defects smaller than the wavelength of light used in the microscope (typically 0.5 micron). Larger defects can be measured in two dimensions using conventional techniques, and Fourier transform techniques can be used to provide useful estimates of x- and y- dimensions of smaller defects.
Advanced photomask reconstruction with the Seiko SIR 3000
Stephen A. Ruatta, Eryn L. Smith, Anto Yasaka
OPC and other complicated geometric structures are increasingly common on production masks. These features may be small, have highly irregular shapes and may not be repeated in a nearby region. These features make it difficult for a repair operator to know where the defect stops and the desired pattern begins. We are increasingly called upon to write masks with these complicated patterns, high densities and long write times. In order to meet our customers demand for shorter turnaround times and high throughput, it makes sense to implement new, more sophisticated repair techniques. We have recently acquired a new, state of the art Seiko SIR3000 FIB (focused ion beam) mask repair system. This system is a sophisticated secondary ion mass spectrometer (SIMS) that uses a focused primary beam of gallium ions to both image and repair mask defects. Both opaque and clear defects can be reconstructed by the gallium beam. The SIR3000 system uses a proprietary material (alpha-gas) to reduce glass damage caused by the sputtering process. We have performed some preliminary measurements to determine the extent of the glass damage and performed some introductory work into methods of reducing the damage further. We present some of the data we use to monitor its performance, a number of examples illustrating its utility and our expectations for the tool in the near future.
OPC technology road map to 0.14-um design rules
J. Fung Chen, Thomas L. Laidig, Kurt E. Wampler, et al.
We devised an OPC technology roadmap (Table 1) embodied in a corresponding test reticle (code named RTP4) as a benchmark for the reticle manufacturing industry. This reticle includes the polysilicon gate layer of four large ASIC-style microprocessor chips, representing four design rule generations: 0.25 micrometer, 0.20 micrometer, 0.18 micrometer, and 0.14 micrometer. In this report we summarize the challenges experienced during the building of this reticle, beginning with the scaling of scattering bar and serif OPC features according to exposure wavelength and numerical aperture. CAD data handling issues such as overall pattern complexity and choice of grid size are discussed. Three out of four RTP4 reticles made by Photronics (all written by a MEBES 4500 tool) were shown to have acceptable pattern quality. Successful die-to-database inspections for all four primary chips on one of the RTP4 reticles were performed by Applied-Orbot using their RT-8000 and RT-8000-ES systems. We also offer an initial look at the performance of this OPC technology on printed wafers with 0.18 micrometer and 0.14 micrometer line features.
Comparison of EBR-900 M1 and ZEP 7000 with plasma-etch processing for MEBES 4500S
Thomas P. Coleman, David W. Alexander, Maiying Lu
Advanced photomask fabrication specifications for 180 nm generation devices require large-scale improvements to resolution, critical dimension (CD) control, and CD linearity. These requirements mandate the adoption of zero bias processes. The approximately 200 nm of bias required with widely used wet-etch processes cannot meet these advanced specifications. Plasma-etch processing provides an alternative to wet etching and has been shown to have little or no undercutting. However, some of the standard resists used with electron-beam (e-beam) exposure of photomasks have very poor dry-etch characteristics. In this paper, EBR-900 M1 and ZEP 7000 resist processes, in conjunction with inductively coupled plasma (ICP) etching, are described. EBR-900 is a DNQ-novolac i-line resist. The exposure dose and the development process were investigated using a caustic-based developer optimized for e-beam exposure. ZEP 7000 is a polymer of methylstyrene and chloromethyl acrylate, which uses a solvent-based developer. Spray and puddle processes were both investigated for their influence on resist sensitivity, edge slope, resist loss, and CD uniformity. While EBR-900 and ZEP 7000 are less sensitive than PBS, the higher current delivery of newer e- beam systems combined with multipass gray (MPG) writing strategies result in improvements to the lithographic performance without incurring any throughput limitations. MEBES 4500S is the target platform for these processes. It has the gun brightness and writing strategy (MPG) needed to expose these resists while maintaining high throughput.
Characterization and modeling of CD performance with thin PBS
Robert L. Dean, Charles A. Sauer
As requirements for critical dimension (CD) control tighten, continuing advances in processing techniques are necessary. Mask blanks with reduced film thickness, good control of film thickness uniformity, and resistance to pinholes are now commercially available. The reduction in the amount of forward scattering with these reduced film stacks is primarily responsible for improvements in CD uniformity and CD linearity. CD uniformity, CD linearity, and CD control (mean to target) were measured using 6' by 0.25' PBS substrates and exposing them with MERESR 4500 and 4500S machines. Both thick and thin resists were studied. The thin PBS process was modified by changing developer solvents. This was done to keep the development time in the desired range for the best CD control. Both processes use puddle develop with a reduced temperature postbake and exposure at 2.0 (mu) C/cm2. Blanks from two vendors were examined and compared. Results show a significant improvement (greater than 30%) in CD uniformity over a 132 mm2 array. In addition, an improvement in CD linearity and in the ability to maintain mean-to-target CD control were also noted. Modeling and experimental results were compared. We conclude that adoption of a thin resist process has significant advantages in commercial maskmaking. The lithographic properties of thin (200 nm) and standard (400 nm) PBS were modeled using ProBEAM/3D. ProBEAM/3D uses a Monte Carlo model to predict the energy deposited in the resist during exposure and a development rate model to predict the resist profile and CD size after development. Results from modeling were compared to experimental results. As expected, modeling confirms the hypothesis that reduced forward scatter is the dominant mechanism to improve CD performance.
Electron-beam lithography simulation for mask making: II. Comparison of the lithographic performance of PBS and EBR 900-M1
Charles A. Sauer, David W. Alexander, Chris A. Mack
Development of a maskmaking process using a new resist consists of a number of steps that take a great deal of time, effort, and resources before a finished process can be qualified. It would be useful early in the development cycle to model the expected performance of a new resist material prior to determining its suitability. Extracting the modeling parameters and predicting their influence on lithographic performance can also guide the subsequent development work that needs to be done to complete a manufacturing process. This paper compares two different resists and models the expected lithographic performance as a function of its development rate parameters. Resist dissolution rate measurements were done using two methods -- an in situ development rate monitor (DRM) and the classical mechanical (Dektak) method. ProDRM was used to extract the development rate parameters from the data. ProBEAM/3D was used to simulate electron-beam (e-beam) lithography using a 2D model. This paper explores the relationship between dose, develop time, spot size, and lithographic parameters such as critical dimension control and wall angle. Two resists, EBR900-M1 and PBS, are examined and compared using MEBESR 4500 and MEBES 4500S exposure parameters.
Accuracy of 3D optical lithography simulation for advanced reticles
Richard F. Hollman, Chris A. Mack
Optical lithography over the next few product generations will demand the use of various types of advanced reticles (OPC, attenuated phase shift, alternating phase shift, etc.). Planing for these generations relies ever more heavily on simulation to make intelligent choices among the options in exposure wavelength, numerical aperture, reticle type and design, etc. Simulation of resist exposures of 2-dimensional reticle patterns becomes increasingly important, since some 2- D reticle patterns (and resulting 3-D resist patterns) are crucial to the device structure and at the same time the most sensitive to resolution limitations. Simulation of arbitrary 2-D mask structures is also a much more difficult task than for 1-D reticle patterns, requiring not only more computing power but more complex algorithms. These structures pose a severe challenge to the calibration of a simulation tool: however, this is also an opportunity to make a simulation program more useful by demonstrating more predictive power. We present experimental data and simulations illustrating progress towards calibration accuracy on some key 3-D resist patterns for a 0.25 micrometer lithography process. Careful calibration of the model provides for accurate simulation under a wide variety of conditions, thus allowing the use of simulation for critical feature mask design.
Defect inspection and printability of deep-UV halftone phase-shifting mask
Hyoungjoon Kim, Jin Hong, Jongwook Kye, et al.
As feature size goes down to a quarter micron, halftone phase- shifting mask (HT PSM) has been studied to extend photo lithography capabilities especially in contact hole patterns. However, defect problem of HT PSM is more serous than that of conventional chrome mask because of added reticle fabrication process steps in which unexpected defects can be generated. In this paper, test HT PSMs which have different transmittance at 488 nm and same background contact patterns with programmed defects having various types are investigated for 250 nm contact hole patterns. The programmed defect are used for the sensitivity evaluation of reticle inspection systems, i.e. detectability and exposed by 4X reduction DUV exposure tool to determine printability and water defect detectability. Direct reticle inspection results show that the detectability depends on transmittance at the inspection wavelength 488 nm. The printability from the wafer exposure results is proportional to defect area strongly. Indirect reticle inspection results using an imaged wafer and wafer inspection tool of SEMSpec show that direct reticle inspection is better than indirect inspection.
Printability of 1X reticle defects for submicron design rules
As the push for improved resolution in wafer lithography intensifies and 0.18 micrometer devices are nearing production, the potential impact of subhalf micron reticle defects has become a growing concern. There have been several studies on the printability of subhalf-micron defects on high resolution reduction photolithography equipment. These studies have been extended to 1X lithography systems and more recently to advanced sub-micron 1X steppers. Previous studies have indicated that 0.20 micrometer opaque and 0.25 micrometer clear pinhole defects were at the margins of adversely impacting 0.65 micrometer lithography on a 1X stepper. However, due to the limited number of defects at these sizes on the reticle, definitive conclusions on printability could not be drawn. An additional study, using a three dimensional (3D) optical lithography simulation program, has shown defect size, proximity to an adjacent feature, and feature pitch to be significant factors contributing to reticle defect printability. Using the simulation findings as a guide, a new reticle was designed to contain an increased number of clear pinhole and opaque defects in the 0.15 to 0.30 micrometer range located in multiple pitches of both horizontal and vertical line/space pairs. Defect printability was determined using a 1X i-line projection stepper with focus and exposure optimized for nominal critical dimensions of 0.65 micrometer. The reticle and wafer defects were measured using low voltage SEM metrology. Simulation and experimental results have shown that pitch is the most significant contributor in the printability of clear pinhole, opaque, square and aspect ratio defects. In general, the impact of defect proximity to an adjacent feature is less extreme than the effect of pitch, but is more pronounced for clear pinhole defects. This study suggests that simulation can be a useful tool to help lithographers understand the behavior of reticle defects for particular layout design parameters. Consequently, simulation can be used to develop realistic reticle defect specifications with mask vendors, and improve cost-effectiveness. Defect printability simulation can also be used to predict the effect of known defects on existing reticles to determine if these reticles should be used for manufacturing.
Marathon damage testing of pellicles for 193-nm lithography
Andrew Grenville, Vladimir Liberman, Roderick R. Kunz, et al.
We investigate the effect of 193-nm radiation on commercially available pellicles for 248-nm lithography. Pellicles from two suppliers were irradiated at a realistic reticle plane fluence (0.1 mJ/cm2/pulse) for 50 million pulses. Analysis of transmission spectra revealed loss of pellicle material, decreased refractive index and increased absorption in various combinations depending on pellicle type and ambient. Although one of the two materials may be suitable for use at 193 nm, the other showed unacceptable degradation. We also quantified outgassing rates of organic species during irradiation, and observed greatly accelerated material loss in a pure nitrogen ambient compared with air. Yield rates of perfluorinated fragments and polymer product exhibited two-photon scaling behavior.
Software tool for temperature simulation in electron-beam lithography: TEMPTATION
Resist heating becomes a problem when using high-throughput electron-beam lithography (EBL). The TEMPTATION software tool was developed to simulate temperature change during electron- beam exposure. The software uses an original resist heating model that measures heat transfer through a multilayer resist and substrate. It has analytical formulas to provide fast numerical simulation of large patterns and a user-friendly Windows95 interface. The software is useful in the design of EBL systems and the development of new EBL processes.
Edge-placement accuracy of opaque and clear defect repairs using focused ion beam technology
Mark L. Raphaelian, Don Carolan, J. David Casey Jr., et al.
On the standard Micrion 8000 PM Repair System platform, the repair accuracy for clear defect repair and opaque defect repair is plus or minus 75 nm. Incorporation of a new ion beam column has pushed the repair accuracy for clear and opaque defect repairs to smaller values. This new system can image isolated defects less than 200 nm in size. To characterize the repair accuracy of the system, experiments on edge placement accuracy were performed. This paper presents data on the accuracy of defect repairs using the Micrion 8000 PSM Repair System on Chrome masks. The study specifically looks at the edge placement of opaque defect and clear defect repairs on masks coated with a conductive layer versus masks not coated with a conductive layer. We also explore the edge placement accuracy of the repair due to the directionality of the repair scan. Finally we examine the shape of the distribution function of the repair measurements and also investigate differences in the measured edge placement accuracy of repairs using different measuring techniques.
Chemically enhanced FIB repair of opaque defects on molybdenum silicide photomasks
J. David Casey Jr., Andrew F. Doyle, Diane K. Stewart, et al.
The characteristics of an ideally repaired opaque defect on a molybdenum silicide (MoSiaObNc) photomask are: (1) the total removal of the MoSiaObNc defect, leaving no residual MoSiaObNc; (2) a smooth, level quartz surface (no over-etch) after the MoSiaObNc is removed; (3) minimal riverbedding of the quartz at the perimeter of the MoSiaObNc defect; and (4) maximum light transmission (%T) at the i-line (365 nm) and DUV (248 nm) lithographic wavelengths. Achieving these ideal repair characteristics is becoming increasingly difficult as the patterned features become smaller, as the lithographic wavelength becomes shorter and as phase shifting mechanisms are implemented. A chemical process has been developed to enhance the FIB (focused ion beam) etching of MoSiaObNc defects. Using this chemical process, a FIB protocol has been developed which enhances the removal of a MoSiaObNc defect while inhibiting the removal of quartz. AFM (atomic force microscopy) indicates that (1) MoSiaObNc is totally removed, (2) the quartz remains smooth and level (no over-etch), and (3) the riverbends are, at this time, 10 - 45 nm; our target is 1 - 15 nm. The MoSiaObNc etch process reduces optical staining due to implanted gallium
PBS performance evaluation under a high-accelerating-voltage e-beam exposure
Hideo Kobayashi, Takao Higuchi, Keishi Asakawa, et al.
Advanced reticle fabrication, including phase-shifting mask (PSM) and optical proximity correction (OPC) reticle, has created a growing needs for a resist system with wider process latitude, superior linearity and fidelity, which lead to better CD uniformity. Some of aqueous-based DNQ-novolak resist systems, including chemical amplified one, have been proposed, however, their feasibility has not reached a practical level yet. Accordingly there seem to be many activities, in the industry at present, in re-establishing a new process technique and condition with conventional high-molecular polymer resists such as PBSTM particularly in utilizing a 'thin resist' coated blanks. Figure 1a shows e-beam resist trend of leading-edge 6' square and 250 mils thick (6025TM) photomask blanks shipment in '96 world-wide from our photomask blanks factory. High molecular polymer resist is still the major, and the top five resists have roughly 90% share, which are PBS, EBR-9TM, EBR-9 HS31TM, ZEP810TM and ZEP7000TM. Figure 1b shows 6025 PBS blanks shipment per coating thickness in '96. Thin PBS was started utilizing from '91, and had 17% share out of all 6025 PBS blanks shipment in '96. In order to reduce reticle CD error sources due to blanks, we have been doing exploratory study to determine the optimal resist process conditions in coating thickness and post-spin baking (PSB), exposure, dose as well, which enables us to appreciate real advantages of 'thin resist.' And we reported the results and its efficacy in patterning performance at Photomask Japan '97. In the report, 250 nm thick was selected particularly for PBS since it was a kind of standard as thin PBS already in the industry, while 300 nm thick was selected for the other four resists as our investigation results. Our study also resulted that only PBS had an inferiority in CD linearity as compared with the other four resists, but, exposure was done at 10 kV for PBS and 20 kV for the others. Then, we looked for an optimal PBS coating thickness again for suitable pattern resolution and risk of clear defect quality. We also tried to see an impact of e-beam accelerating voltage especially for PBS linearity and fidelity improvement this time. This paper describes our investigation results on optimum conditions in coating thickness, post-spin baking and exposure dose, as well as comparison results on patterning performance of thin resist coating between the top five conventional resists, PBS, EBR-9, EBR-9 HS31, ZEP-810 and ZEP-7000, for advanced e-beam reticle fabrication. An impact of e-beam accelerating voltage up on PBS patterning performance is also reported in this paper.
Photomask Patterning
icon_mobile_dropdown
Evaluation of a next-generation vector electron-beam mask pattern lithography system
Carl M. Rose, Lawrence C. Wang, James Paul Justen, et al.
A new vector electron beam mask pattern generation system has been developed for high throughput 0.25 micrometer design rule and below reticle production. The UltraBeam V2000 is based on the core technologies introduced in the EBES 4.0 system developed previously by Lepton, Inc., which included a unique vector scan architecture, high brightness thermal field emission (TFE) source, as well as a 500 MHz chip set. The new UltraBeam system features a number of significant hardware and software enhancements which have resulted in increased precision in the multilevel deflection and stage control systems. In addition, a new writing strategy featuring a soft boundaries vector writing technology has been developed, allowing the UltraBeam V2000 to meet the resolution, accuracy, and edge placement precision required for subresolution applications such as optical proximity correction (OPC). This paper describes the new hardware and software features of the V2000 and summarizes the performance of the new system in the areas of CD uniformity, placement accuracy, overlay, and CD linearity. In addition, a comparison will be made of the writing times achieved for advanced commercial production masks.
Poster Session
icon_mobile_dropdown
Analysis of defect classification and sizing information with a dedicated white-light/laser-confocal microscope review station
James Xu, Kent Norton, Bruce Worster, et al.
An integrated white light and laser confocal microscope system for photomask defect review and analysis is presented. Various sized NIST traceable latex spheres a well as defects on an industry standard VERIMASK are used to calibrate and characterize the performance of the system. It is demonstrated that the laser confocal microscope is capable of measuring features as small as 0.2 micrometer in size while the limit for the white light microscope is approximately 0.5 micrometer. Applications of the extended XY resolution and the sub-one-tenth micron depth sensitivity of the laser confocal microscope in defect classification and repair monitoring are explored and illustrated with examples taken from 6-inch conventional and phase-shift photomasks.
Is mask repair economic?
Wayne Smith
Mask repair cost's impact on mask cost is studied. The study focuses on 0.35 (mu) through 0.18 (mu) technology generations. General economic observations on mask repair are made. The study was conducted using a commercial cost of ownership model with sensitivity analysis conducted on salient parameters.
Mask technology for excimer laser projection ablation
James L. Speidell, Steven A. Cordes, Rajesh S. Patel
Excimer laser projection ablation is a dry, precise patterning process in which an intense beam of ultraviolet light from an excimer laser is used to directly pattern a material. This technique has been used in industrial applications for patterning both organic and inorganic materials. In the manufacturing of microelectronics devices, laser ablation is used extensively to pattern insulating layers in the multi- level thin film packages. Excimer laser projection ablation is very similar to optical projection lithography, both using a photomask or reticle which contains a master pattern. The mask used in a 1X projection laser ablation tool, however, must withstand significantly higher energy densities than conventional photolithographic masks. A number of mask technologies have been developed specifically for 1X excimer laser projection ablation. These masks include dielectric layers on quartz masks, thick films of aluminum on quartz masks, binary phase shifted grating masks and holographic masks. This paper presents a review of these mask types. Critical issues such as fabrication processes, advantages and disadvantages, cost and availability of each mask are discussed.
BACUS '97 Special Focus Program: Understanding and Meeting CD Specifications for Advanced Reticles
icon_mobile_dropdown
Demonstrating next-generation CD uniformity with today's tools and processes
Jacques A.C. Waelpoel, Jan B.P. van Schoot, Andrew G. Zanzal
It is now a widely held opinion that the current and projected reticle CD (critical dimension) uniformity specification demands will continue to task the ability of reticle manufacturers. Similarly wafer lithography tool suppliers are being challenged to demonstrate next generation printing capability in advance of the development of the required reticle manufacturing tools and processes. Although reticle manufacturers are continuing their diligent work in improving CD uniformity, there exists a window of time in which these specification needs for demonstration purposes by the wafer lithography tool developers exceeds those current deans of the broader semiconductor industry. This paper presents an approach to reticle manufacturing for the specific purpose of qualifying an advanced 4X reduction scanner. Typical e-beam reticles written on current generation tools in PBS [Poly(butene-1-sulfone)] resist and wet etched demonstrate CD uniformity of 65 nm - 80 nm expressed as total measured range in both the horizontal and vertical axis across the scanner field of 110 mm by 133 mm. This paper examines methodology employed using PBS and wet etching to generate a demonstration reticle which exhibits such CD range properties. Both reticle properties and wafer results are examined.
Poster Session
icon_mobile_dropdown
Attenuated phase-shift masks reducing side-lobe effect in DRAM peripheral circuit region
Haruo Iwasaki, Keiichi Hoshi, Hiroyoshi Tanabe, et al.
We applied deep UV attenuated phase shift masks (PSMs) to the quarter micron level contact hole pattern layer of a DRAM. There were two different hole sizes: 0.26 micrometer hole in the memory cell region, and 0.35 micrometer in the peripheral circuit region. We examined two methods to reduce the side lobe effects in the peripheral circuit region. The first method was a chrome (Cr) shield method: the peripheral circuit region was covered by Cr. The second method was a mask bias method: large mask bias was added to contact hole patterns in memory cells. Both methods sufficiently reduced the side lobe effect in the peripheral circuit region.