Proceedings Volume 2726

Optical Microlithography IX

Gene E. Fuller
cover
Proceedings Volume 2726

Optical Microlithography IX

Gene E. Fuller
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 7 June 1996
Contents: 11 Sessions, 86 Papers, 0 Presentations
Conference: SPIE's 1996 International Symposium on Microlithography 1996
Volume Number: 2726

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Plenary Session
  • Resolution Enhancement
  • Circuit/Device Applications
  • Lithography Simulation
  • Pushing the Limits
  • Advanced Masks
  • CD Control
  • Optical Proximity Effects
  • CD Control
  • 193-nm Lithography
  • Advanced Exposure Systems
  • Exposure Tool Subsystems
  • Advanced Masks
  • Optical Proximity Effects
  • Advanced Masks
  • Circuit/Device Applications
  • Resolution Enhancement
  • Lithography Simulation
  • Exposure Tool Subsystems
  • Circuit/Device Applications
  • Lithography Simulation
  • Pushing the Limits
  • Circuit/Device Applications
  • Lithography Simulation
  • Circuit/Device Applications
  • Lithography Simulation
  • Exposure Tool Subsystems
  • Pushing the Limits
  • Advanced Masks
  • Circuit/Device Applications
  • Lithography Simulation
  • Exposure Tool Subsystems
  • Lithography Simulation
  • Exposure Tool Subsystems
  • Lithography Simulation
  • 193-nm Lithography
  • Exposure Tool Subsystems
  • 193-nm Lithography
  • Resolution Enhancement
  • Exposure Tool Subsystems
  • Pushing the Limits
  • CD Control
  • Optical Proximity Effects
  • Lithography Simulation
  • CD Control
Plenary Session
icon_mobile_dropdown
Patterning ULSI circuits
The traditional scaling of feature sized to ever smaller dimensions which has driven the semiconductor industry for 30 years is being challenged by physical and cost limits. As we approach the development of the 180-nm generation, we have a quite different technology scenario facing us than we have seen in the past. The approaches being contemplated can be summarized in order of utility as (1) extensions of existing patterning methods, (2) nonlithography patterning approaches, (3) extensions of the optical projection/reduction approach, (4) new beam techniques, and (5) probe techniques. I will review the challenges in each of these categories and indicate where serious development efforts are needed to sustain technology scaling into the ULSI generations.
University-industry relations: what do we do now?
John A. Armstrong
I propose to discuss three topics that bear on the relations between industrial research labs and research universities. There are powerful forces of change at work on both of these parties; how we think about these changes, and how we react to them,, will have a definite effect on the future course of university-industry relations.
Resolution Enhancement
icon_mobile_dropdown
Challenges to depth-of-focus enhancement with a practical super-resolution technique
Tohru Ogawa, Masaya Uematsu, Koichi Takeuchi, et al.
A new technique, which combines weak quadrupole illumination and an attenuated phase- shifting mask, has been developed. 0.03 micrometers lithography with i-line can be performed with this technique. It is also confirmed that KrF excimer laser lithography is a powerful candidate for generating 0.18 micrometers -rule devices.
Viability of conventional high-NA KrF imaging for sub-0.25-um lithography
Timothy R. Farrell, Ronald Nunes, Robert Campbell, et al.
As the competitive pressures of the semiconductor industry drive to feature sizes below 250 nanometer, unconventional imaging approaches are being considered in order to preserve the cost effectiveness of optical lithography. To achieve minimum feature size with a usable process window, phase shift masks, off-axis illumination, and ArF lithography have been investigated with varying degrees of success. Unfortuanely, the maturity and flexibility of such techniques are questionable at this time. This paper investigates the extendibilty of traditional imaging approaches for use in the sub 250 nanometer regime. Aerial image simulations were used to set expectation levels by increasing lens numerical aperture versus prior state of the art exposure systems. Experimental data was then generated with an advanced 0.6 NA excimer laser based step and scan exposure system. Single point per field comparisons are made between simulations and experimental data covering linearity, depth of focus, and exposure dose window for feature sizes between 250 nanometers and 200 nanometers. In addition, data reviewing the ability to extend such performance across a 25 mm by 33 mm field size is reviewed.
Photolithography using the AERIAL illuminator in a variable-NA wafer stepper
Richard Rogoff, Guy Davies, Jan Mulkens, et al.
This paper shows the suitabilily of i-line photolithography for production at 0.30 tm. The process performance is demonstrated through the use of off-axis illumination, high NA projection lens, and a state of the art photoresist system. The minimum required depth of focus for a suitable 0.30 tm process is derived as 0.95 tm over at least a 10% process window. This will result in a 0.60 m common corridor over a square 22 mm imaging feId. In addition to the dense and isolated lines, a preliminary investigation of contact hole performance using chrome and phase shift masks was completed.
Signamization
This paper shows the suitability of i-line photolithography for production at 0.30 micrometers . The process performance is demonstrated through the use of off-axis illumination, high NA projection lens, and a state of the art photoresist system. The minimum required depth of focus for a suitable 0.03 micrometers process is derived as 0.95 micrometers over at least a 10 percent process window. This will result in a 0.60 micrometers common corridor over a square 22 mm imaging field. In addition to the dense and isolated lines, a preliminary investigation of contact hole performance using chrome and phase shift masks was completed.
Circuit/Device Applications
icon_mobile_dropdown
Wafer and chip deformation caused by pattern transfer
Akira Imai, Norio Hasegawa, Shinji Okazaki, et al.
In this paper, we have investigated the deformation of wafers and chips caused by Si3N4 film deposition and pattern transfer on Si wafer substrates using experiments and finite element analysis simulations. From the experimental results, the wafer deformation is non- linearly dependent on transferred patterns, and the difference between the chip deformation of the x-axis component and that of the y-axis component when L/S patterns are transferred is as much as 10-nm under the experimental conditions. The simulated results also show that pattern displacement depends on the total film area ratio in a chip, the size of the transferred pattern area and its position. In order to suppress wafer deformation when using a 300-mm- (phi) wafer smaller than that of 200-mm-(phi) wafer, it was found that the wafer thickness should be 1.5 times thicker than 200-mm-(phi) wafer.
Pattern transfer at k1=0.5: get 0.25-um lithography ready for manufacturing
Wilhelm Maurer, Kimihiro Satoh, Donald J. Samuels, et al.
In pattern transfer, as in any other method of information transfer, the output is usually a nonlinear function of the input. Lithography at the limit of resolution is an excellent object to demonstrate this. Printing structures smaller than 300 nm with a 4 X 0.5NA tool, the derivative of the pattern transfer function, or the ratio of pattern size variations on the wafer over pattern size variations at the mask level, is not a 4:1, as one would expect from the demagnification of the step and scan tool. In other words, below 300 nm, mask linewidth variations (for example butting errors of the mask writing tool) print at about twice their expected size. In the concept of the pattern transfer function, a mask defect is viewed as a localized variation in the linewidth of the mask. The printing of a mask defect therefore depends strongly on the slope of the pattern transfer function. Defects smaller than 200 nm on the mask already cause a significant linewidth variation on the wafer, if those defects are in a regular array of 250 nm lines/300 nm spaces or in 300 nm contact holes. Lithogrpahy in a manufacturing environment means to deliver the designed pattern over large areas using real masks. We discuss our strategies of how we try to minimize the influence of mask irregularities in 0.25 micrometers lithography for the development of the 256M DRAM. Although certain improvements are possible, the nonlinearity of the pattern transfer function at low k obviously demands extremely tight mask specifications beyond the limits of current tools and processes.
Application of deep-UV resist for 0.25-um metal and poly processing
William L. Krisa, Christopher J. Progler, Ken Brennan, et al.
Implementation of DUV (248 nm) into 0.25 micrometers production requires an understanding of the associated process complexity. With DUV resists, this encompasses addressing issues of profile integrity on various substrates, etch resistance, and adequate process margin to achieve optimum performance in production. A goal of implementing DUV processes directly into already established flows without modifications to substrates, forces the resolution to these issues. To investigate the ability of accomplishing this with DUV resists, this study concentrates on pattern capability over various bottom organic ARL (gate level) and TiN (metal) substrates. The first part of this study explores issues of resist profile integrity at the resist/ARL interface, resist and ARL etch rates and the resist/ARL systems are used. Improved performance over topography is also noted for specific resist/ARL combinations. Simulations of resist linewidth versus resist thickness show a large reduction in the swing curve amplitude for all ARLs with little difference in switch ratio between ARL materials. Experimental resist swing curves also demonstrate a large reduction in the resist swing curve for all ARLs. However, the resist profile at the ARL interface transitions from a very slight foot to a small undercut as resist thickness show similar capability between each ARL in suppressing standing waves, modeling shows that at least part of this profile change can be attributed to the phase and amplitude of the residual standing wave at the resists/ARL interface. Regarding process performance, a focus latitude of up to 1.0 micrometers with nearly vertical profiles is achieved for 0.25 micrometers equal lines and spaces. Etch rate results show a large difference between the materials with the ARL to resist ratios range from 0.7 to 1.2. The second part of this evaluation addresses patterning DUV resists on TiN/metal substrates. The amount of footing depends on the resist used and can be reduced for a given resist through process optimization. Using an optimized process for a given resist, a k1 factor of 0.53 is achieved with 1.1 micrometers of resist on TiN. Etch rate tests demonstrate adequate resist remaining after etching the full metal stack. These results demonstrate significant improvements in compatibility between DUV resist and new bottom organic ARLs or TiN. While fundamental issues that caused degradation of resist profiles have been reduced or eliminated, process flexibility is still limited by resist/substrate combinations that lead to poor performance. New generations of resists that overcome these compatibility issues allow for integration into existing flows without flow or substrate modifications. However, until more substrate tolerant DUV resists are developed, manufacturing with DUV will require layer specific resist processes.
Lithography Simulation
icon_mobile_dropdown
Approximate models for resist processing effects
Timothy A. Brunner, Richard A. Ferguson
Process windows are frequently generated from simulated aerial image profiles by use of a threshold model for the resist process, an assumption which is not accurate for many processes. In this paper, we present new computationally efficient methods for incorporating the effects of resist processing into simulated images. The First Order Model of development leads to the simple result that the resist linewidth W is smaller than the threshold linewidth Wthresh by an amount (Delta) W approximately equals 2 [ln(D(gamma) s)-1]/((gamma) s), where D is the resist thickness, (gamma) is the resist process non-linearity and s is the log-slope of the image. A Second Order Model based on a segmented development path is also presented. These models allow the prediction of resist linewidths based on calculated image profiles for any wet developed process: optical, X-ray or e-beam lithography, both positive and negative resists. The predictions of these models show good agreement with full PROLITH/2 resist profile simulations. We have also incorporated a Fickian diffusion of the intensity profile into our model, to account for acid diffusion, stepper vibration, lens aberrations, and other effects which reduce process resolution. Experimental process windows are well matched by such models, and are significantly different than threshold model predictions.
Mathematical and CAD framework for proximity correction
Fast lithography simulation and its use in optical proximity correction (OPC) is the topic of this paper. We summarize a model-based OPC system which uses simulation in a feedback loop to generate corrections to the mask. At the heart of our OPC system are tools for fast simulation of the optical and process physics of lithography. For image simulation, we apply a sum of coherent systems approximation to Hopkins partial coherence model and then use lookup tables for high speed sparse image simulation over arbitrary mask geometry. Image intensity simulation at a single point is achieved with O(Me) computation where Me is the number of polygon edges in a region surrounding the point. This allows more than 10,000 aerial image points per second and mask image perturbation speeds of 51,000 points per second on an HP700 workstation. A simplified physically based, empirically parameterized resist model is then used to determine edge placements, given the image intensity samples. Together, these systems make up a 'process-tuned' simulation model which can be used for OPC. The accuracy of the overall model is shown by comparing to empirical measurement data. By integrating the fast simulation tools with our OPC system, we can correct a 48 X 27 micrometers 2 area in 6 iterations at 96 sec/iteration.
Lithography model tuning: matching simulation to experiment
Stephen H. Thornton, Chris A. Mack
A method is presented for adjusting the input parameters of a lithography simulator to more accurately match a given set of experimental conditions. Using a dose-to-clear swing curve on bare silicon, the index of refraction of the photoresist is adjusted to account for relative resist thickness measurements made in the fab. The resist exposure rate constant C can be adjusted to account for dose calibration differences, or these differences could be included in the development parameters. The develop parameters can be tuned using the exposure margin, or a measurement technique called the Poor Man's DRM could be used to measure a new set of development parameters. Results of these tuning procedures are presented and the tuned set of parameters is shown to give good quantitative agreement of simulation to experiment.
Simulations and experiments with the phase-shift focus monitor
Simulation has been used to better understand the process parameters which affect focus monitor performance. Full resist process simulations were done using PROLITH/2. Exposure dose, partial coherence and focus monitor linewidth were varied, assuming an aberration-free lens. The focus monitor result was in good agreement with simulations of two traditional focus test approaches. Simulations were also done with optics having significant third order spherical aberration. In this case, the results of the two traditional focus methods differed with each other, and the focus monitor gave another significantly different result. The focal plane of the aberrated lens depends on what pattern is being printed. Determining the crossing point of focus monitor calibration curves with different partial coherence may allow the lithographic measurement of spherical aberration. This paper also outlines recommendations for the practical use of the focus monitor, along with two examples. The first example illustrates a lens heating problem when using a stepper at a non-standard (sigma) value. The second example demonstrates a focus problem at the edge of the wafer caused by a non-flat chuck.
Pushing the Limits
icon_mobile_dropdown
Top-surface imaging and optical proximity correction: a way to 0.18-um lithography at 248 nm
Anne-Marie Goethals, J. Vertommen, Frieda Van Roey, et al.
We present a description of a software tool and a methodology for easily creating photoresist development rate parameters in lithography simulation. The tool optimizes parameters using the modified simplex method. The methodology uses the tool to provide insight into the effects of the development rate parameters and to find usable parameters quickly. The reasoning behind the methodology are discussed as well as advantages and disadvantages. Results from three different lithography simulators are shown to agree well with experimental cross-section SEM data.
Effects of radially nonsymmetric pupil filters and multiple-pupil exposure
Rudolf M. von Buenau, Hiroshi Fukuda, Tsuneo Terasawa
In this paper, we discuss the effects of radially non-symmetric pupil filters on patterns containing only horizontal and vertical edges. Specifically, we point out that four-fold symmetry of the imaging properties can be achieved through multiple exposure of the same pattern with pupil functions that are either symmetric or anti-symmetric about two orthogonal axes. We show that such pupil functions can be sued to decrease the minimum feature size for certain bright field patterns and increase the corner sharpness for dark field patterns. We also briefly discuss the practical implementation of this multiple-pupil approach.
How focus budgets are spent: limitations of advanced i-line lithography
Andreas Grassmann, Rebecca D. Mih, Andreas Kluwe
In order to decide if a given process window is sufficient for volume production without suffering from a significant yield loss, a clear understanding of the process capability is required. Therefore we performed a statistical analysis of all potential contributions for process variations and drifts and evaluated their magnitude for state-of-the-art equipment and processes. Since lithography related fails are not uniformly distributed across the wafer we developed a model to simulate the focus errors across the exposure field and across the wafer. We also developed a yield model, which gives a realistic yield loss number for a given process window. By using these models we show areas of potential improvement, which allow support of processes with significantly less focus latitude. We also investigated field size dependence of focus control and compared the step and repeat and step and scan systems, showing a significant advantage for step and scan systems. All of these findings are not specific to the exposure wavelength, so that they can be easily applied to Deep UV lithography.
Migrating deep-UV lithography to the 0.25-um regime: issues and outlook
Kevin J. Orvek, Sasha K. Dass, Len Gruber, et al.
Experimental data from the current 0.35micrometers deep-UV process was used in conjunction with simulations of future 0.25micrometers lithography to provide a means of evaluating the possibility of meeting the National Lithography Roadmap goals for CD and overlay. This study found that the CD control issue has too many components to be captured by the single number listed in the Roadmap. The current magnitude of many of these components looks too large to justify their shrinking down to values consistent with the general 30 percent shrinks characteristic of our industry. For overlay, the Roadmap value is clearly attainable for matched steppers in controlled tests, however unlikely for daily product results on random- matched steppers in a production environment. This study also examined the impact of pushing deep-UV technology to the 0.25micrometers regime on the process latitudes of dense/isolated lines and upon the poly endcap.
Measurement of microlithography aerial image quality
Joseph P. Kirk, Timothy A. Brunner
The aerial image formed by a microlithography lens places fundamental limits on the size and density of patterns that are manufactured by that lens. In the past, the lithographer was unable to directly measure the dose distribution in this aerial image. Highly absorbing photoresists have continuous tone relief response to dose variations and this relief is a record of the dose distribution in an image. It is shown how high resolution measurement of that relief by an atomic force microprobe gives the lithographer a sampling of the spatial distribution of dose within the image.
Advanced Masks
icon_mobile_dropdown
Practical implementation of alternating PSM to memory device of sub-0.25-um technology
An alternating phase shift mask is very effective to memory devices which have highly repeated patterns. In order to apply the alternating phase shift mask to real device, we have investigated the design problems such as proximity effect, phase contradiction, phase transition, and linewidth variation. We also design various hard defects in order to check defect printability on wafer. Using i-line lithography with an alternating phase shift mask, we obtain useful focus latitude of 1.2micrometers for bit line of 256M DRAM. Deep UV alternating phase shift mask is used for isolation patterns with design rule of 0.16micrometers . The experimental and simulation results for phase-induced problems and defect printability on wafer are described in detail.
0.2-um lithography using i-line and alternating phase-shift mask
Patrick Schiavone, Frederic P. Lalanne
Alternating phase shift mask in association with the I-line resist process is applied to the gate level of 0.25micrometers and below design rules. An exposure latitude of 30 percent on 0.25micrometers isolated lines is deduced from electrical and atomic force microscope measurements of test wafers. Results on batch wafers confirm the ability of this standard process to print 0.25micrometers design rule circuits. It is possible, with minor process modifications, to print 0.18micrometers transistors with good dimension control for architecture studies.
Implementation of attenuated PSMs in DRAM production
Tatsuo Chijimatsu, Toru Higashi, Yasuko Tabata, et al.
We studied the use of attenuated phase shift mask (PSM) in DRAM production. There exists several problems with the use of an attenuated PSM compared to a conventional Cr mask. These include a need to form an opaque region, facilitate reticle alignment with a stepper, and optimize mask bias to prevent side peak printing. First, we investigated the characteristics of checkerboard patterns in achieving an opaque region. We confirmed the feasibility of making a mask to maintain opaqueness. Next we developed a mask fabrication process so to enable reticle alignment in some kinds of steppers by using an additional Cr layer under the attenuated layer. Finally, we tried to implement attenuated PSM in a previous generation stepper. We found that we must pay attention to lens aberration when optimizing mask bias.
Practical topography design for alternating phase-shifting mask
Topographical structures for a dual-trench type alternating phase-shifting mask whose shifters were made of perpendicular trenches with different depth alternately, have been successfully designed using direct Maxwell's equation solver. The structures could reduce the difference of the adjacent peak intensities of the grouped line image on the wafer due to light scattering effects at sidewalls of the trenches. Detailed design of the structures was performed in accordance with the concept of 'effective transmission' and 'effective phase error'. It was clear that the former could be controlled by shallow trench depth, and the latter, which was defined as the phase difference between 'effective phase difference' and 180 degrees, could be reduced by controlling the difference in depth between deep and shallow trenches. For 0.175micrometers lines and spaces, the optimum shallow and deep trench depths corresponded to approximately 270 degrees and 447 degrees in phase, respectively. After the optimization, the depth of focus obtained by exposure-defocus tree was about 0.9 times as large as that obtained for an ideal alternating PSM having rectangle-shaped distribution of complex transmission (Kirchhoff's assumption).
Development of a particle-detection system for phase-shifting masks
Hiroaki Shishido, Shunichi Matsumoto, Yukio Kenbo, et al.
Particles as small as 0.34 micrometers are detected on phase-shifting masks for 0.3 micrometer LSIs by the particle detection system PS-6000. The system detects the forward- scattered light from the particles using illumination through the rear surface of the masks.
CD Control
icon_mobile_dropdown
Imaging parameter optimization for advanced lithography based on STELLA
Rainer Pforr, Leonhard Mader
A methodology and software package STELLA (statistical evaluation of linewidth control for lithographic application) have been developed, which allow the optimization of imaging parameters for advanced optical lithography. The technique is based on calculating the CD variation distribution versus imaging parameters assuming specific process typical distributions of inter-die defocus and relative dose variation. As results a histogram of the CD distribution, the corresponding standard deviation, and the mean CD are obtained. With these numbers optimized processing conditions can be defined. The working scheme of our software package will be demonstrated. The technique will be compared to state-of-the-art imaging parameter optimization techniques. The applicability to real IC designs will be demonstrated. Our simulator allows consideration of the impact of basic resist performance parameters, like Gamma and dark resist thickness loss. Furthermore, it can deal with side lobe phenomena caused by phase-shifting masks, and considers resist feature slope criteria and resist feature loss criteria. Any NA, any scheme of illumination, and any type of mask can be applied. The simulator is well suited to compare various imaging techniques to each other. Simulation results will be compared with experimental data for advanced i-line using lithography circular and annular illumination, as well as binary and phase-shifting masks.
Comprehensive focus-overlay-CD correlation to identify photolithographic performance
Mircea V. Dusa, Stephen Dellarochetta, Allen C. Fung, et al.
In this study we evaluate the focus budget of an i-line stepper, examining the sources of focus erosion for a 0.40 micrometers process. The analysis first examines the best focus of the system as predicted by the several common tools currently used in the industry. Using the overlay focus- monitor, we then determine the value of lens aberrations such as astigmatism and field curvature. The results of lens heating examined for the lens using these common techniques. A model describing the focus aberrations is then developed and applied to the data. This model uses data derived from the focus monitor to determine lens errors such as coma, astigmatism and field curvature. Using this model, data gathered over various numerical aperture and partial coherence values are evaluated to determine the variation of lens aberrations over their range and the depth of focus. Finally, data consisting of critical dimension information gathered using an commercial, automated SEM is used to validate the predictions of the focus model.
Characterization and optimization of CD control for 0.25-um CMOS applications
Optical lithography, since many years the workhorse in manufacturing of integrated circuits, is being pushed to its limits. The extension of photolithography has been made possible by improvements in resist schemes and by resolution enhancement techniques. Although the resolution capabilities are available, maintaining CD-control will be one of the major challenges for photolithography engineers in the future. Traditionally, focus and exposure latitude are the principal criteria used in lithography. In this paper, we use an alternative method to quantify the performance of a lithographic process, based on an in-house developed software package Norman-Debora. By first modeling the CD-dependency on various input variables (focus, dose, resist thickness, reticle CD,...), Norman predicts the CD-distribution based on assumed variation intervals for these input variables. The goal of this paper is to compare the predicted CD spread by Norman with the experimentally measured CD distributions focused on the poly layer of a quarter micron CMOS process.
Novel optimization method for antireflection coating
Teruyoshi Yao, Eiichi Kawamura
Anti-reflection technology is necessary for controlling line widths. Minimization of the line width deviation in the gate layer is particularly important for improving yields and stabilizing device performance. We have developed a novel anti-reflection effect monitor (AREM) for the optimization of anti-reflection coating materials. Generally, the anti-reflection effect is quantified as the amplitude of the resist sensitivity curve against the resist thickness on an anti- reflection coated substrate. In AREM, a sample wafer is prepared with a gate structure and LOCOS step. Then anti-reflection material is deposited on the wafer and resist is subsequently coated on it. Here, the resist thickness changes gradually away from the step. Hundreds of isolated lines are patterned parallel to the LOCOS step at 0.1 micrometers intervals away from the step. Then each line's width is measured with an electrical probe and the curve of the line width versus the distance from the step is obtained, corresponding to the resist sensitivity curve against resist thickness. AREM is very accurate and can quantify the anti-reflection effect as a line width deviation.
Novel antireflection method with gradient photoabsorption for optical lithography
Toshihiko P. Tanaka, Naoko Asai, Shou-ichi Uchino
A new concept using the bottom antireflection layer with graded photoabsorption named GALA (gradient absorption layer) is described to resolve the problems with conventional antireflection methods, such as insufficient antireflection, substrate material, and structure dependence. The antireflection layer with high photoabsorption at the bottom eliminates the light reflected from the substrate and the graded photoabsorption suppresses the surface reflection of the layer. This new method can achieve extremely low reflection (less than 3 percent) for all substrates.
Optical Proximity Effects
icon_mobile_dropdown
Optical proximity effects and correction strategies for chemical-amplified DUV resists
Maaike Op de Beeck, Bert Bruggeman, Harry Botermans, et al.
Optical proximity effects (OPE) are narrowing the process window in the 0.25micrometers - 0.18micrometers CD range. Hence optical proximity correction (OPC) might be required. These proximity effects and correction strategies are studied in detail in this work. First, an evaluation methodology is derived for the three types of OPE (linewidth differences with pitch, end-of-line effects and corner rounding). Hence, the influence of various parameters on OPE is investigated for negative tone and positive tone resists, since clear differences exist in OPE for dark field and bright field masks. Linewidth differences with pitch are small for negative tone resists, end-of-line effects are less pronounced for positive tone materials. Obviously, optical parameters have an important influence on OPE. Also, loading effects during etch processes deserve attention. Aerial image based proximity correction is evaluated. With respect to CD variations with pitch, important improvements are obtained for some resists, but not for all materials. End-of-line effects and corner rounding are improved by the use of OPC in all our experiments. Superior proximity correction results are expected with the expansion of aerial image based OPC by implementation of resist models.
Evaluation of proximity effects using three-dimensional optical lithography simulation
The use of optical lithography modeling as a tool for evaluating proximity effects is described. An extension of the critical dimension error for a one-dimensional mask feature to a critical shape error for a two-dimensional mask feature is presented. Simulation is applied to the evaluation of mask shaping (also called optical proximity correction) using the critical shape error as a metric.
Fast and accurate optical proximity correction based on aerial image simulation
Tetsuro Hanawa, Kazuya Kamon, Akihiro Nakae, et al.
Because optical lithography requires precise CD control, we developed a fast, accurate proximity correction method based on aerial image simulation. Simple formulas using a linear combination of simulated aerial image intensities both at and around mask edge were found effective for fast, precise CD prediction. Using the developed CD prediction and the fine biasing correction methods, we verified that various two-dimensional patterns printed by an i- line stepper using modified illumination and binary intensity mask are satisfactorily corrected; i.e., CD deviations from designed values, line shortening and feature deformations are effectively reduced.
Customizing proximity correction for process-specific objectives
Michael L. Rieger, John P. Stirniman
Proximity correction compensates systematic distortions of fabricated integrated circuit structures by providing 'inverse' distorted feature shapes on the mask pattern. Nominally, the 'best' correction might be an inverse shape that minimizes residual deviation at every point in the fabricated feature. However, depending upon the application, the optimum correction may not be defined in such simple terms. In this paper we will discuss methods for optimizing proximity corrections for different fabrication ofjectives and constraints.
Optimization of stepper parameters and their influence on OPC
Rakesh R. Vallishayee, Steven A. Orszag, Eytan Barouch
An algorithm for the optimization of stepper parameters has been designed and implemented. The cost function used in this optimization is the contrast. The aerial image is computed using the computer code FAIM. First, the contrast of the image is calculated and the derivatives of the contrast with respect to the stepper parameters are evaluated. The computational cost of these calculations is only slightly more than that of one aerial image simulation. A conjugate gradient type algorithm is then used to obtain the minimum of the contrast.
CD Control
icon_mobile_dropdown
Influence of process latitude on exposure characteristics
Influence of process latitude on exposure characteristics is systematically investigates by using exposure-defocus and mask fabrication latitude methodology. It will be shown how wafer line width tolerance contributes to influence of exposure and mask line width latitudes on depth of focus (DOF) for several elemental patterns. For three test patterns, DOF process latitude functions which describe pattern fidelity are used to evaluate influence of the process latitudes. It will be concluded that larger wafer line width tolerance significantly decreases influence of the exposure and mask line width latitudes on DOFs.
193-nm Lithography
icon_mobile_dropdown
ArF MicroStep for 193-nm process development
Christopher Sparkes, Larry F. Thompson, Richard J. Travers
A cost effective wafer stepper system, the ArF MicroStep, has been developed for photoresist and process development at design rules of 0.18 micrometers to 0.13 micrometers using a 193 nm excimer laser illumination source. Development of the ArF MicroStep system was driven by customer requirements for a high numerical aperture exposure tool, with its configuration, specification and development mutually conducted between IC manufacturers, Integrated Solutions, Inc., and related equipment suppliers. The system was specifically designed for compatibility with imaging below 0.18 micrometers and is configured with a 10:1 catadioptic reduction objective, having variable numerical aperture from 0.4 to 0.6. During the manufacturing cycle, the ArF MicroStep and its reduction optics were characterized independently and verified to perform within the requirements of the overall system. Final system integration and test permitted verification of performance through exposures in photoresist. Exposures in a single level resist have demonstrated greater than 0.4 micrometers depth of focus for 0.16 micrometers features over the exposure field of the system, with dense structures down to 0.14 micrometers resolved by the ArF MicroStep. Using a top surface imaging process, 0.6 micrometers depth of focus has been demonstrated for 0.16 micrometers geometries, with dense structures down to 0.15 micrometers resolved.
Material limitations to 193-nm lithographic system lifetimes
Richard E. Schenker, Fan Piao, William G. Oldham
Several experiments are reported to better predict the 193-nm radiation-induced compaction rates of fused silica at lithographic intensities. Birefringence monitoring is capable of measuring the compaction-induced birefringence distribution from modest total fluences. For example, 6 hours at 350Hz with a per pulse energy density of only 1.1mJ/cm2 produces a relative compaction of about 38 ppB and corresponding relative refractive index change of 11 ppB which is easily measured. Moreover, this value of compaction is more than would be predicted by extrapolation from past higher fluence experiments. Compaction follows a non- linear dependence on pulse count. Compaction rates drop with continuing irradiation but do not saturate in the 1-10 ppm compaction range. The dependence of compaction on intensity is found to accurately follow an intensity squared rule that is all data over a wide range of pulse energy densities can be plotted via a single curve. Using this result, one can now scale higher energy density tests to predict damage rates of materials at lower fluences. Although compaction rates can vary by a factor of three over all sample types, we find less than 25 percent variation in compaction rate among UV grade samples.
Advanced Exposure Systems
icon_mobile_dropdown
Step-and-scan and step-and-repeat: a technology comparison
Martin A. van den Brink, Hans Jasper, Steve D. Slonaker, et al.
While the semiconductor manufacturing community is preparing for the transition from 0.35micrometers to 0.25micrometers technology, lithography equipment suppliers are preparing for the shift from step-and-repeat to step-and-scan systems. In addition, most wafer stepper users are planning to change from i-line to KrF laser wavelength technology. The question, however, is what are the advantages and disadvantages of scanners over steppers in a production environment. In this paper, we discuss the two different technologies using the following criteria: (1) throughput/cost of ownership, (2) CD control/depth of focus, (3) distortion and overlay. 248 nm lithography will be used for the 0.25micrometers process rule regime in combination with i-line systems being used for 50 to 70 percent of the lithography steps to reduce cost. Therefore, an ideal match is required between i-line systems and their DUV critical layer counterparts. For this reason, the economic equation of step-and-scan is determined by the total picture of matched DUV and i-line scanners. However, the comparisons between non-laser-based scanners and steppers and laser-based scanners and steppers are different. This paper discusses this subject using a combination of theoretical modeling and measured data. Imaging data from a new, DUV, double telecentric, 0.4 to 0.57 variable NA wafer stepper equipped with a variable coherence/annular illuminator is shown; thus proving that good imaging data at 0.25micrometers resolution at moderate cost is possible using wafer steppers.
Stepper exposure system for the quarter-micrometer age
Hirohiko Shinonaga, Mikio Arakawa
We at Canon are ready to offer three types of steppers as a solution of 0.25micrometers age photolithography. The feature summary of the respective steppers are shown. These three steppers share the same body structure and software platform for perfect mix and match usage. The combination of these three systems should allow the chip manufacturers to achieve the most cost effective 0.25 micrometers ULSI mass production. This paper focuses on the following two points: (1) the outcome of the performance on the new KrF laser based exposure system (FPA-3000EX3); (2) overlay experimental results (mix and match with FPA-3000i4 and FPA- 3000iW). The FPA-3000 EX3 is a newly introduced KrF laser based stepper for 0.25micrometers resolution, having a high numerical aperture and wide image field. The basic printing performance of the system, such as DOF and CD LINEARITY are explained in this paper. The cost effective production, with the mix and match of the lithographic tools, is becoming more important in the 0.25micrometers age. The performance of the high throughput stepper, FPA-3000iW is discussed from the viewpoint of mix and match with critical layer steppers.
KrF step-and-scan exposure system using higher-NA projection lens
A KrF step and scan exposure system using a projection lens has been developed. The exposure field is 25mm by 33mm which is large enough to fit two 256Mbit DRAM chips. The maximum numerical aperture is 0.6 and the maximum coherence factor of the illumination system is 0.75 for the maximum numerical aperture. Original design concepts for scanning technologies are introduced. Actual data of the system indicate the sufficient performance for 250nm design rule device production.
Micrascan III: 0.25-um resolution step-and-scan system
David M. Williamson, James A. McClay, Keith W. Andresen, et al.
Catadioptric step-and-scan lithography offers specific advantages over step-and-repeat all- refractive (dioptric) systems as resolution requirements drive to 0.25micrometers in volume production. For the Micrascan family of step-and-scan tools this step in the evolutionary path from 0.35micrometers to 0.25micrometers has involved changes to both the projection optics and illumination system.
Exposure Tool Subsystems
icon_mobile_dropdown
Lithographic lens testing: analysis of measured aerial images, interferometric data, and photoresist measurements
This paper shows and discusses the use of direct aerial image measurements and optical interferometry for the evaluation of advanced i-line lenses. These measurement techniques provide direct information on the image forming capabilities of a stepper lens such that assessments of field curvature, astigmatism and image asymmetry can readily be accomplished. The interaction with the photoresist is shown by directly using the measured aerial image and aberration data into photoresist modeling programs such as Prolith/2 and Solid-C. The link between the optical measurements and the photoresist processes is further established by a comparison of simulated and measured results.
Focus and exposure dose determination using stepper alignment
Peter Dirksen, Rudy J. M. Pellens, Casper A. H. Juffermans, et al.
The standard ASML alignment system in combination with modified marks is used to determine the primary imaging parameters of the stepper: focus and exposure dose. The method uses a standard chromium on glass reticle. The paper discusses system calibration and feedforward process control in production. A lens qualification at the specified resolution and swing curves are shown. The exposure dose measurements are compared with dose to clear measurements. The theory for optimizing the mark layout is presented.
Stepper overlay performance measurements using the air-turbulence-compensated interferometer
Air turbulence affects the performance of the helium-neon interferometer used to control the wafer stage of stepper or step and scan lithography systems. In this paper we describe the principles of operation and in-stepper performance of an air turbulence compensated interferometer designed to address these problems. Collinear combination of a two-wavelength compensation system using second harmonic interferometry, with the existing HeNe interferometer used for length measurement, provides a highly accurate system with real-time compensation for air turbulence. This paper reports on the hardware configuration and preliminary performance evaluation of an ATCI system which has been installed on a semiconductor wafer stepper. A brief overview of the signal processing algorithms is provided, showing the automatic compensation features and noise insensitivity of the algorithm.
Extremely narrow-bandwidth high-repetition-rate laser for high-NA step-and-scan tools
Juergen Kleinschmidt, Rainer Paetzel, Peter Heist, et al.
In this paper we discuss several resonator designs in terms of bandwidth, efficiency and lifetime of the major optical components. Experimental data are presented for a resonator combining the advantages of a long lifetime grating and etalon elements. Moreover, the limitations of these elements are overcome through the outcoupling scheme, ensuring optimum feedback over gas and tube lifetimes. In addition to low cost-of-ownership, this same resonator provides for an extremely narrow bandwidth ArF excimer laser development, using a single oscillator.
High-power KrF excimer laser with a solid state switch for microlithography
Hakaru Mizoguchi, Noritoshi Ito, Hiroaki Nakarai, et al.
New KrF excimer laser for microlithography KLES-G7 with a new simple solid state pulsed power circuit (SPC) is developed. This SPC has several advantages such as less maintenance cost and the higher reliability. The laser realizes 7.5W with 0.8 bandwidth, 600 Hz, 10mJ. The performance and the stability of the laser is demonstrated. The maintenance interval of the SPC is more than 10 X 109 pulse. The KLES-G7 reduces 20 percent of the photon cost compared with the old model. It will accelerate the mass production of after 64Mbit DRAM.
Efficient all-solid state 213-nm laser source for microlithography
Shigeo R. Kubota, Werner Wiechmann, Ling Yi Liu, et al.
We describe a 0.4W average power at maximum, frequency-quintupled Q-switched Nd:YAG laser at a repetition rate of 7 kHz, which is a potential light source for next generation microlithography. Calculated results for the conversion efficiencies considering pump depletion will be discussed. Our results allow to foresee further scaling up 213 nm power up to the 1W level by increasing the fundamental power.
Advanced Masks
icon_mobile_dropdown
Improvement of resist pattern fidelity with partial attenuated phase-shift mask
Tadao Yasuzato, Shinji Ishida, Kunihiko Kasama
To improve resist pattern fidelity, partial attenuated phase-shift mask (PA PSM) was developed. On this mask, some portions of opaque regions were changed to attenuated phase- shift regions. The performances of two PA PSMs (8 percent and 13 percent transmittance) were evaluated by using an NA equals 0.6, annular illumination i-line stepper. Resist pattern shortening of longer side was alleviated to the half of a conventional mask, and corner rounding was also improved without deteriorating process margin. Moreover, the width of attenuated region did not have much effect on the resist pattern size; almost the same pattern length was obtained with any phase shifted region width (0.2 approximately 0.4micrometers ). Therefore, we have a large process margin in this mask fabrication. Moreover, KrF PA PSM (7 percent transmittance) was fabricated and evaluated. The same effect was confirmed in KrF excimer laser lithography. In conclusion, PA PSM is a very promising technique for precise pattern formation.
Swing curve characteristics of halftone PSM with off-axis illumination for i-line lithography
Yong-Seok Choi, Hoyoung Kang, Woo-Sung Han, et al.
In this paper, swing curve characteristics of half-tone PSM (8 percent transmittance) with off- axis illumination are investigated. They are compared with the swing curve features of binary masks under the same off-axis illumination. I-line stepper (0.57NA) is used with annular illumination ((sigma) 0.6-0.4) for HTPSM and binary mask. Equal line and space patterns and isolated line patterns of 0.32 approximately 0.4micrometers CDs are focused to compare the performances of HTPSM and binary mask. Concerning dense lines, the swing heights of HTPSM are reduced that those of binary mask, by more than 20 nm. Isolated lines of both masks showed far severer CD variations than dense lines. The isolated lines of binary mask, of sub-0.35micrometers nominal CDs variations than dense lines. The isolated lines of binary mask, of sub-0.35micrometers nominal CDs, disappeared around the resist thickness for minimum CD. However the isolated lines of HTPSM, of sub-0.35micrometers nominal CDs, survived regardless of resist thickness, although the CD variations were still severe. These improved swing curve features of HTPSM for dense and isolated lines are attributed to the steep aerial image slopes of HTPSM for dense and isolated lines are attributed to the steep aerial image slopes of HTPSM. HTPSM with off-axis illumination is expected to be very profitable particularly for sub-0.35micrometers design rule devices in view of swing effect.
Optical Proximity Effects
icon_mobile_dropdown
Optical proximity correction of bit line pattern in DRAM devices
Yongbeom Kim, Chang-Jin Sohn, Hoyoung Kang, et al.
In the bit line patterns of high density DRAM, there has not been enough to process latitude because of the optical proximity effect. To correct this problem, we suggest TCM (transmittance controlled mask) as a sort of optical proximity correction which has the same pattern of mask with the controlled transmittance. The parameters of established mask including transmittance and bulge size were decided by simulation. After evaluating the aerial image measurement system, wafer was evaluated to exposure tool with i-line exposure source. As a result, application of TCM can improve the overlay margin more than normal mask and DOF with 0.4micrometers as compared with normal mask.
Advanced Masks
icon_mobile_dropdown
Application of alternating phase-shifting masks to sub-quarter-micrometer contact holes
Sung-Chul Lim, Jongwook Kye, Sang-Gyun Woo, et al.
The performance of alternating PSM and its modified type applicable to sub-quarter micron contact holes were studied via both simulations and experiments. We focused on the contact holes as follows: the pitch of one direction was fixed to 0.4micrometers (0.73(lambda) /NA) and the pitches of another direction were varied from 0.4micrometers to 1.6micrometers (2.9(lambda) /NA). Simulations and experiments were performed with a KrF excimer system (0.45 NA and 0.3 coherence factor). The alternating PSM provided benefits in printing highly packed contact holes, whereas the hybrid PSM having a mixed form of alternating PSM and outrigger PSM showed its effects for the contact holes packed only in one direction. It was found that the performance of PSM was strongly affected by duty ratios of the contact holes. In particular, the hybrid PSM improved both the roundness of contact holes and the depth-of- focus, enabling 0.45(lambda) /NA contact holes to be printed. Therefore, either the alternating or the hybrid PSM may extend the lifetime of the optical lithography.
Circuit/Device Applications
icon_mobile_dropdown
Fabrication of single/double crown-shaped capacitors on DRAM cell using phase-shift mask technology
John C.H. Lin, Daniel Hao-Tien Lee
Mostly, crown-shaped DRAM capacitor is formed by depositing a series of polysilicon and silicon oxide in a recess followed by etching back to form the vertical side-wall. In this paper, we are proposing a new method which is directly using crown-shaped photoresist pattern in conjunction with the chromless mask (or high transmission half-tone mask) to define the crown structure. A chromless 180 degrees phase shifters on transparent substrate (or high transmission half-tone phase shift mask) is used to create the 'destructive interference' between phase shifters and clear areas at the edges of the phase shifters to define 'dark areas' on the aerial image. The stacked capacitor pattern is defined as phase shifter region, therefore, the 'dark areas' on the edge of the phase shifter becomes the photoresist side-wall after exposing and developing. This crown-shaped resist side-wall then becomes the etching mask to form the crown-shaped capacitors. A special pattern layout of phase shift mask with two groups of phase shifters has been designed to form a double crown-shaped photoresist side- wall. The pattern includes the capacitor node phase shifter and a buffer shifter between two nodes. Lithography simulators, Depict-III, was used to simulate the aerial image intensity distribution of the phase shift mask layout. A single and double crown-shaped aerial image patterns have been simulated. The simulations have shown the results in agreement with the experiments, where a 0.15-0.25 micrometers wide vertical side-wall of a single crown pattern has been obtained. These new capacitors are estimated to increase the capacitance over the conventional thick capacitor by about 50 percent (for single crown) and 110 percent (for double crown).
Resolution Enhancement
icon_mobile_dropdown
Resolution improvement of isolated line pattern in quarter-micrometer level by layout-optimized assistant pattern method
Keiichiro Tounai, Naoaki Aizaki
In the previous report, we showed the optimized results of off-axis illumination (OAI) for 0.25 micrometers resist patterning. Wide DOF was obtained for dense patterns like 1:1 L and S pattern, however, DOF was small for the sparse patterns. In order to widen the DOF for isolated line patterns under the OAI, we have examined the assistant pattern method, which needs the only unresolved additional patterns beside the isolated pattern. Optimization has been carried out by light intensity simulation under the following criteria; CD variation within plus or minus 10 percent, resist thickness loss of 10 percent and unresolved assistant patterns. CD value has been defined by the threshold method of aerial images. The evaluation method by aerial image is useful for rough estimate because the calculation is very rapid. However, the difference from the experimental results cannot be ignored in some cases, especially, in important CD- focus characteristics. For more accurate evaluation, we also investigated the result difference using a simplified resist development model. This model is useful for rapid calculation as light intensity calculation. From the evaluation of the exposure dose and focus latitude (EFL), the optimal layout must be decided considering exposure dose latitude decrease by resist development process. We have additionally investigated the combination effect of assistant pattern and halftone phase-shifting under OAI. EFL was improved a little by halftone phase- shifting mask without assistant patterns, on the other hand, EFL was improved by combination of HPSM and assistant patterns.
Sub-quarter-micrometer contact hole fabrication using annular illumination
Miklos Erdelyi, Zsolt Bor, Gabor Szabo, et al.
Details of an experimental demonstration of a contact hole imaging system are reported in which the depth of focus is increased by a factor of about 3.5 using annular illumination. Due to spatial filtering and nonlinearity of the photoresist, the resolving power was enhanced by 52 percent and it was possible to pattern a 0.28 micrometers contact hole in photoresist deposited on a silica substrate. This technique is capable of fabrication sub-quarter micron holes using excimer laser radiation at 193 nm.
Lithography Simulation
icon_mobile_dropdown
Integrated CAD framework linking VLSI layout editors and process simulators
Chaitali Sengupta, Miklos Erdelyi, Zsolt Bor, et al.
As feature sizes in VLSI circuits extend into the far sub-micron range, new process techniques, such as using phase shifted masks for photolithography, will be needed. Under these conditions, the only means for the circuit designer to design compact and efficient circuits with good yield capabilities is to be able to see the effect of different design approaches on manufactured silicon, instead of solely relying on conservative general design rules. The integrated CAD framework accomplishes this by providing a link between a layout editor (Magic), advanced photolithographic techniques such as phase shifted masks, and a process simulator (Depict). This paper discusses some applications of this tool. A non- conventional process technique involving interferometric phase shifting and off-axis illumination has been evaluated using the tool. Also, a feature of the CAD framework which allows representation of a phase shifted mask, together with its layout analysis capability has been used to compact a piece of layout by inserting phase shifted elements into it.
Exposure Tool Subsystems
icon_mobile_dropdown
Improved method for the automated determination of E0 for lithography SPC
Mark E. Mason, Robert A. Soper, Cesar M. Garza Sr.
The clearing dose, E0 is a commonly used parameter for measuring lithography process stability. Unfortunately, it is often difficult to accurately determine E0 utilizing the common technique of visual inspection. In this work, we outline an automated technique for the determination of E0 that is suitable for the volume manufacturing environment. This technique takes advantage of the ability of currently available automated film thickness metrology tools to take a large volume of resist thickness data over a range of exposure energies. The resulting contrast curve is then sliced to isolate the linear region, regressed, and extrapolated to generate a value for E0 that is suitable for use in process control. This algorithm is reduced to computer code and tuned for optimum performance. Regression statistics indicate that this type of fit is quite robust, with R2 values typically in excess of 0.95. Capability studies show the repeatability of this method to be far superior to traditional techniques, with single wafer reproducibility well below 1mJ/cm2. This improved method for the determination of E0 enjoys the benefits of accuracy and automation as compared to traditional visual methods. In addition to presenting the technique described above, we show the correlation of the new technique with induced process 'drifts,' thereby demonstrating its usefulness as a process monitor.
Method for measuring absorbed energy density in photoresist in a laser pattern generation
The possibility of increasing the resolution and accuracy of the photomask fabrication process is discussed when using a laser pattern generator. The proximity effect is first taken into account in this technique. The redistribution of laser beam energy in photoresist, which results in pattern distortions, can be characterized and used for the distortion compensation. The method for measuring absorbed energy density in photoresist is proposed, and experimental results are obtained for conditions used for a standard-type of photomask manufacturing process.
Circuit/Device Applications
icon_mobile_dropdown
Effects of complex illumination on lithography performance
Gregory J. Stagaman, Ronald J. Eakin, John C. Sardella, et al.
In optical projection lithography of all types, optimum performance depends on the design and precise alignment of the source(s) and optical components that illuminate photomasks, as well as those for the projection lens. In this paper, we illustrate the effects of abnormalities in the illumination system; these abnormalities include asymmetric nonuniformity of the light source, obscurations, aberrations of the illumination optics, and telecentric error. 'Complex' illumination describes cases wherein all or part of the field of a stepper or scanning tool is illuminated asymmetrically. The interaction of complex illumination at the photomask with defocus or aberrations generates interference effects in the same manner as phase shifting or off-axis illumination, thereby modulating the image and, in many cases, shifting the image from its intended location. We calculate, from scalar coherence theory, quantitative influences on overlay for 0.35 micrometers lithography, and we determine selected tolerances for source uniformity and symmetry as a function of wavelength and coherence parameter. The effects of complex illumination are object-dependent, and we describe the variation with mask polarity, feature size, and proximity. We will consider the use of phase masks, the use of a scanned source and projection lens, and the use of off-axis illumination as special cases and describe their interaction with complex illumination in lithography. With the use of simulation software for lithography, we demonstrate the effects of complex illumination within photoresist patterns. We show that, for expected performance of illumination in a well-characterized step and repeat or scanning tool, the effects of complex illumination are seen to be small in comparison to expected alignment tolerances. For selected cases, we demonstrate that abnormalities arising from obstructed or incorrectly positioned components cause significant errors.
Lithography Simulation
icon_mobile_dropdown
Two new methods for simulating photolithography development in 3D
John Joseph Helmsen, Elbridge Gerry Puckett, Phillip Colella, et al.
Two methods are presented for simulating the development of photolithographic profiles during the resist dissolution phase. These algorithms are the volume-of-fluid algorithm, and the steady level-set algorithm. These methods are compared with the ray-trace, cell and level- set techniques employed in SAMPLE-3D. The volume-of-fluid algorithm employs an Euclidean Grid with volume fractions. At each time step, the surface is reconstructed by computing an approximation of the tangent plane of the surface in each cell that contains a value between 0 and 1. The geometry constructed in this manner is used to determine flow velocity vectors and the flux across each edge. The material is then advanced by a split advection scheme. The steady level set algorithm is an extension of the iterative level set algorithm. The steady level set algorithm combines fast level set concepts and a technique for finding zero residual solutions to the eikonal function. The etch time for each cell is calculated in a time ordered manner. Use of heap sorting data structures allows the algorithm to execute extremely quickly. A similar technique was submitted by J. Sethian. Comparisons of the methods have been performed and the results are shown.
Pushing the Limits
icon_mobile_dropdown
Image and resist profile by sublayer variation in deep-UV lithography
In order to make next generation ultra large scale integrated circuits, we have to form sub- quarter micron patterns. Among the several lithographic choices, the enhanced optical lithography techniques of using deep UV sources are strong candidates. The aerial image study shows the combination of annular illumination, binary intensity mask and pupil filter can be applied to all kinds of patterns. This optimum combination is applied to the resist profile study to find out the process latitude. We also investigated the process latitude variation by changing the sublayers such as anti-reflection coating, polysilicon, oxide and nitride.
Circuit/Device Applications
icon_mobile_dropdown
Fabrication of 1D gratings on photoresist for light-scattering and memory-effect measurements
An optical method of fabricating one- and two-dimensional diffraction gratings is described. As opposed to conventional gratings which feature regularly spaced grooves, these gratings have variable profiles which represent mathematical functions previously defined. These surface profiles are produced by a laser beam exposing photoresist-coated plates with a raster scanner under computer control. Two kinds of 1-D profiles for the gratings have been made: (1) Deterministic: the profile represents a mathematical function; and (2) Random: gratings possess random profile with certain statistical distributions. Several surfaces have been fabricated and characterized with a stylus profilometer. By changing surface deterministic and statistical properties, the light-scattering in the far-field can be controlled for many optical applications.
Lithography Simulation
icon_mobile_dropdown
Fast-marching level-set methods for three-dimensional photolithography development
James A. Sethian
The fast marching method, introduced by Sethian, is a numerical technique for solving the Eikonal equation, and results from combining upwind schemes for viscosity solutions of Hamilton-Jacobi equations, narrow band level set methods, and a fast min-heap algorithm. On a rectangular grid of N total points, the fast marching level set method computes the solution to the Eikonal equation from given initial data in O(N log N) steps. In a series of papers, we have applied this technique to a wide collection of problems, including construction of geodesics on surfaces, computer vision, and shape-from-shading. In this paper, we analyze the application of the fast marching method to photolithography development.
Efficient computational techniques for aerial imaging simulation
Douglas A. Bernard, Jiangwei Li, Juan C. Rey, et al.
We discuss computational techniques for calculating aerial image intensity distributions from large GDS II files recently implemented in Depict, a photolithography simulator for projection imaging, resist exposure, post-exposure bake and development. In particular, an algorithm for rapid and accurate evaluation of the mask Fourier transform over large domains containing non-uniformly positioned mask elements is implemented. By controlling aliasing errors within the context of a multiple level scheme, this algorithm renders feasible the simulation of aerial images across large portions of integrated circuits. The algorithm also allows overlapping phase mask elements obeying multiplicative transmission rules, and mask element merging. Accuracy for integration of the extended light source is also reported.
Circuit/Device Applications
icon_mobile_dropdown
Fabrication of submicrometer grating by holographic lithography and shadow deposition of metal or insulator layers
Lolita G. Rotkina, Alexander V. Lunev, Vladimir B. Smirnitskii, et al.
The grating resist patterns with period of 0.235-0.400 micrometers were fabricated by means of the holographic lithography with Ar laser (351.1 nm). The ordinary photoresists AZ-1350 and AZ-5214 were used. These gratings were used as the masks for the usual reactive ion etching as well as the complex substrates for the generation of the submicron metal grating patterns.
Lithography Simulation
icon_mobile_dropdown
More stable algorithm for rigorous coupled wave analysis applied to topography simulation in optical lithography and its numerical implementation
Seung-Gol Lee, Kyung-Il Lee, Jong-Ung Lee, et al.
This paper discusses a more stable algorithm of rigorous coupled wave analysis applicable to 2-D exposure simulation in an optical lithography. This algorithm can resolve a divergence problem inherently and can easily be implemented as a full vector model. 2-D exposure simulator based on this algorithm is developed and applied successfully to a very thick photoresist system. Intensity distributions inside the photoresist are simulated and compared with those of other vector models. The adaptive layering technique is introduced to the simulation of bleaching process in order to reduce the computation time. It is found that the technique can greatly reduce memeory size and computation time with reasonable accuracy.
Exposure Tool Subsystems
icon_mobile_dropdown
Xe2 excimer lamp (172 nm) for optical lithography
Hiroyoshi Tanabe, Yuko Seki, Jun-ichi Yano, et al.
A Xe2 excimer lamp (172 nm) has been used for submicrometer patterning of photoresist films. We resolved 0.35 micrometers lines and spaces using a contact printing system. We exposed a chemically amplified photoresist customized for ArF. The sensitivity of the photoresist was 130 mJ/cm$=2) or about ten times smaller than the sensitivity for ArF.
Pushing the Limits
icon_mobile_dropdown
DUV resist profile improvement on TiN-deposited metal layer
Chia-Hui Lin, Chun-Cho Chen, Jhy-Sayang Jenq, et al.
Over the past several years, there have been many publications concerning the sensitivity of chemically amplified (CA) resist to substrate contamination. Resist footing phenomena are found on SiN, BPSG, TiN...etc stack films. Many approaches, such as varying the film thickness, oxide deposition, etc., have been proposed to solve this problem, with the sacrifice of increasing process complexity. In this study, we tried to improve DUV photoresist profile on TiN/AlSiCu/BPSG stacked layers. A positive tone CA resist was used and the improvement was carried out through both thin-film and lithography treatments. Since many process parameters were optimized, Taguchi design-of-experiment method was utilized to save processing cost, time and effort. The results turned out that passivation of TiN with hydrogen can improve resist footing better than either oxygen passivation or no treatment. However, the improvement was less significant than lithography process parameters. It is believed that since HMDS serves as an interlayer between TiN substrate and DUV resist, optimizing dehydration hot baking, post baking and post-exposure baking processes apparently has a more direct and significant impact on resist profile improvement than thin-film treatments. It is suggested that in this specific problem, the optimization of lithographic parameters can result in satisfactory resist profile.
Advanced Masks
icon_mobile_dropdown
TiSix as a new embedded material for attenuated phase-shift mask
Wen-An Loong, Tzu-ching Chen, Shyi-Long Shy, et al.
In this paper, TiSix as a new material suitable for using as an embedded layer for an attenuated phase shift mask (APSM) is presented. TiSix thin film was formed by plasma sputtering under Ar gas of 26 sccm. The related characteristics of TiSix at 365 nm (i-line) wavelength are as follows: n (refractive index) approximately 3.6; k (extinction coefficient) approximately 1.2; R percent (reflection) approximately 36, (rho) (resistivity 1.0 approximately 8.3 (mu) (Omega) -cm (depends on substrate). For required phase shift degree (theta) equals 180 degrees, calculated thickness d180 is 3.6 which is suitable for APSM. T percent under visible wavelength at thickness d180 is 14 approximately 17 which is suitable for mask's alignment by laser beam. TiSix film has good electrical conductivity, therefore, suitable for e-beam direct-write in patterning mask. TiSix film is also highly resistant to strong acid, suitable for fabrication of mask.
Circuit/Device Applications
icon_mobile_dropdown
Investigation of the properties of photosensitive polyimide films
Warren W. Flack, Gary E. Flores, Lorna D.H. Christensen, et al.
Modem package designs generate a large amount of stress on the die which can be controlled using a thick film of polyimide over the passivation layer. Polyimide film thicknesses in excess of twenty microns at exposure are becoming common for very thin packages. The standard polyimide lithographic process frequently utilizes a trilayer film consisting of an adhesion layer, a polyimide film, and photoresist. A major advance in polyimide technology occurred with the introduction of photosensitive polyimide materials. These materials reduce the total number of process steps in the polyimide process. They also offer the opportunity to combine the passivation and polyimide lithography steps into one process level resulting in significant process simplification and manufacturing cost reduction. Consequently, there is a rapid increase in the use of photosensitive polyimides in the semiconductor industry. There are a number of important issues associated with photosensitive polyimide processing. Because most photosensitive polyimides are negative tone, residual film formation has a major impact on resolution and the usable process window. The high exposure doses required for thicker polyimide films exacerbates the residual film problem. Also, resolving small features such as fuse windows in DRAMs is frequently required in thick photosensitive polyimide layers. These small features result in polyimide height-to-linewidth aspect ratios that are comparable to many photoresist applications. Because of these requirements, photosensitive polyimide applications could benefit from detailed process characterization to enhance resolution and increase process latitude. Unfortunately, there is scant literature pertaining to lithographic performance and lithographic process modeling for photosensitive polyimide films. An extension of basic photoresist characterization techniques for thin films can be applied to thick photosensitive polyimide processes. The develop rate characteristics and lithographic performance for several commercial photosensitive polyimide products were studied at a thickness of 12 microns. Cross sectional SEM analysis, Bossung plots, and film retention plots are used to establish relative lithographic capabilities. These experimental results are used to study the effects of polyimide physical and chemical properties on lithographic performance.
Usage of an electrically controlled photomask for dry microlithography by femtosecond visible laser radiation
Moishe S. Kitai
The femtosecond visible laser radiation ranked among the possible modem light sourses for the optical microlithography. For the intensive femtosecond laser radiation the multyphoton excitation of high molecular electron states prevails over the linear excitation. The excitation of these states effects the ionisation of the molecules (or of the molecular groops), by this means the thin film of the photoresist is turned to plasma in a time of hundreds femtoseconds. Ablation of the material is principally caused by this process. As the experiments and the estimations imply, the treated surface after ablation is not subjected to thermic or mechanical stresses. An estimated thickness of the heat affected zone is not more than 0.02 ptm. The shape of the ablated surface correlates with the profile of the laser radiation intensity to the power P (the value P is in the region 2 - 4). The treated surface after ablation is smooth. The typical depth of ablation (per one laser pulse) is about 0.2-0.4 tm .This depth depends only weakly on the intensity of laser radiation and on the chemical composition of the photoresist. By the same procedure it is possible to treat the photoresists, the semiconductors, and some optical materials. The high degree ionized plasma ejected from the ablated surface under action of laser radiation in principle does not pollute the optical system. In this manner the ablation by femtosecond laser pulses is convinient to use in microlithography. In this article it is suggested a manner of the forming of the profile of laser radiation with the use of an electrically controlled photomask. The required profile of the laser intensity is formed by the matrix of transmitting and reflecting cells of the photomask. The state ofevery cell is electrically controlled by the computer. The states of the set are variable with the frequency that is less or equal to 25 Hz. The suggested system of the optical microlithography: 1) does not need for the collection of constant photomasks. This collection completely is replaced by the collection of controlling computer programs 2) does not use the process of any gas or liquid development, because of the process of ablation is used in this system. 3) is adaptable to the process of fabrication of special-purposed custom-made microcircuites, hologramms and other optical components. It is necessary only to change the computer program. 4) in princible makes possible the realization of ablation with the linear resolution 0.8 ptm. KEYWORDS: femtosecond visible laser radiation, electrically controlled photomask, ablation, fabrication of custom-made components, dry microlithography.
Lithography Simulation
icon_mobile_dropdown
Rigorous electromagnetic analysis of aerial image formation in photoresist
A full analysis of the aerial image formation in a photoresist layer is presented. Exact boundary matching of the E-field and H-field at the top and the bottom interfaces of the photoresist allows the exposing intensity distribution inside the photoresist layer to be exactly calculated. Two approximate models for special cases producing simple analytic expressions are also developed. Experimental results are demonstrated by holographic recording of gratings in the photoresist. It is proposed to use such gratings as inexpensive and easily fabricated electron microscope, and microlithographic calibration samples.
Overlay improvement through overlay modeling
The application of overlay modeling to the description of the observed and predicted overlay errors allows for the multipurpose use of the successful modeling technique in identifying and resolving overlay problems. The presented paper describes the application of overlay modeling in estimating the exposure tool alignment system sensitivity to process/tool interaction and its potential impact on overlay performance. The described methodology is applicable to the characterization of various alignment systems and its use is described in detail. Another use of the overlay model allowed us to uncover large field mismatch and translational errors due to process induced change in wafer size. This discovery prompted the development of new exposure tool capabilities to provide adequate compensation for these overlay components. It is shown that analysis of unmodeled (residual) components of overlay also provided valuable insights into the peculiarities of exposure tool and process/overlay interaction.
Exposure Tool Subsystems
icon_mobile_dropdown
Wafer-level measurement using autofocus
Norihiro Yamamoto, Katsuyoshi Kobayashi, Kenji Nakagawa
Because the depth of focus is decreasing with reduction of feature size, we cannot ignore the wafer leveling. In this issue, we report that we suppressed the wafer inclination error by using enhanced global leveling (EGL) in which the wafer inclination is measured by auto-focus on several sampling points, and confirmed the improvement of the line width control. The EGL method corrects the wafer leveling error on the basis of the wafer inclination calculated accurately by auto-focus measurement. Therefore, to ensure an accurate wafer inclination measurement, we optimized the auto-focus measurement parameters for EGL. As a result, we found the suppression of the leveling gap within 0.2 micrometers or less in 22 mm square field when we made the auto-focus measurement at the centers of the adjacent shot. And we confirmed the improvement of the line width control on real device wafers with EGL.
Lithography Simulation
icon_mobile_dropdown
Effect of numerical aperture and partial coherence to the resist sidewall angle
The modeling of the resist development is an important tool in the study of lithography. Many papers reported the importance of the develop rate change near the resist surface, but Mack's lumped parameter model ignored the develop rate change near the resist surface and they treated the absorption coefficient as a constant. We included the resist surface effect by changing the absorption coefficient as a function of resist depth and we can predict more realistic resist sidewall angle near the resist surface. We also noticed that the resist sidewall angle can be changed by numerical aperture and partial coherence variation. Higher numerical aperture and smaller partial coherence give not only better resolution at the resist bottom, but also more vertical sidewall angle around the resist surface.
Exposure Tool Subsystems
icon_mobile_dropdown
Probe-beam scan-type autofocus system using position-sensing detector for sub-half-micron lithography tools
Dohoon Kim, Byung-Ho Nam, Kag Hyeon Lee, et al.
The depth of focus in sub-half micron lithography is about plus or minus 5 micrometers , several factors, such as field image curvature, circuit topography, wafer flatness error and auto-focus errors, reduce the usable focus margin. To minimize these focus margin decreasing factors, multi-point focus detection and/or field chip leveling control should be required before every exposure. Even the cases, global deviations of wafer and chuck flatness errors are being corrected with the good field leveling system, the focus sensing accuracy must stay in the plus or minus 0.075 micrometers region for all the exposure field. In the conventional optical auto- focus systems, small spot sizes probe beam was used, and the focus signal can be affected strongly by local variations in reflectance, scattering on the wafer surface and wafer flatness. Whereas probe beam scan type auto-focus system, newly developed in this work, has a large focus measurement area, and it averages out the errors which decrease the usable depth of focus. In this work, a new optical auto-focus system for sub-half micron lithography tools will be presented and its characteristics and applications will be discussed. It is composed of a probe beam scan mirror and a position sensing detector, and is insensitive to the structures on the wafer surface. Also, the theoretical analysis of focus measurement error, per the probe beam width and wafer pattern topology will be discussed.
Lithography Simulation
icon_mobile_dropdown
Alternate rigorous method for photolithographic simulation based on profile sampling
Rigorous models for simulations in optical lithography have become increasingly important as the feature sizes being formed are ever closer to the resolution limit. The novel approach of integral equation system method with sampling along the profile (IESMP) is investigated and compared with other rigorous approaches such as the rigorous coupled-wave analysis (RCWA) and the time-domain finite-difference method. The IESMP, essentially based on a parameterization of the topography being simulated and able to treat all kinds of structures, including those with vertical boundaries and with overhanging parts, is a very flexible and exact method. So, it could serve as a gauge for the comparison of different algorithms and codes. As it is shown, IESMP and RCWA calculations for TM polarization already differ for shallow metal structures.
193-nm Lithography
icon_mobile_dropdown
Advancing optical lithography using catadioptric projection optics and step-and-scan
Harry Sewell
Resolution requirements of below 200nm require the introduction of high-NA catadioptric projection optics and the development of short-wavelength laser illumination. The numerical aperture (NA) of the projection optics available for step-and-scan lithography has now been increased form 0.5 to 0.6 and KrF excimer-laser illumination introduced. This paper examines the initial performance results achieved using the high-NA (0.6) optics illuminated with a KrF excimer laser. Experimental data, using TDUV-009-PM, TDUV-010-PM, and CGR resists is used to illustrate the performance of 0.5 and 0.6 NA optics. Depth of focus and resolution are measured, and initial optics performance results are provided. The linearity of grouped and isolated line features is used to illustrate the lithographic resolution. Lithographic simulations (PROLITH and SPLAT) are used to show the effects of quadrupole illumination for the enhancement of depth of focus with 200nm lithography. The next generation step-and-scan lithography, which uses ArF (193nm) excimer illumination, is discussed. It is indicated that the step-and-scan technique will allow optical lithography to extend to 180nm resolution and below.
Exposure Tool Subsystems
icon_mobile_dropdown
Micrascan adaptive x-cross correlative independent off-axis modular (AXIOM) alignment system
Stan Drazkiewicz, Gregg M. Gallatin, Joe Lyons
As optical lithography approaches the 0.25 micrometers performance level, overlay performance approaches 75 nanometers (nm). This in turn requires alignment systems to work to tighter budgets. This paper presents the theory of operation behind the AXIOM off-axis alignment system. We have examined the advantages of using the AXIOM system, and have implemented a trade-off strategy. Our discussion includes an overview of the AXIOM alignment sensor head (sensor head) system. We also provide the benefits of the sensor's broadband incoherent light source illumination when used to achieve process insensitivity. In addition, we provide the benefit of being a bright and dark field system. We performed modeling to evaluate how various alignment targets interact with illumination. Modeling assessments highlighted the advantages of separately detecting the left and right diffracted order of a target. The sensor head can examine a target by using both bright field, left and right orders simultaneously. Examining a target helps us obtain more information and further reduces process sensitivity. Finally, we verified performance with multilevel data and compared it to industry standards.
193-nm Lithography
icon_mobile_dropdown
193-nm imaging using a small-field high-resolution imaging resist exposure tool
Nadeem Hasan Rizvi, Malcolm C. Gower, Dominic Ashworth, et al.
A 193nm excimer laser microstepper has been developed for deep UV photolithography research at this wavelength. The system incorporates a x10, 0.5NA, 4mm field diameter, high-resolution imaging lens of either all-refractive or catadioptric design. An all-fused silica refractive lens has been used in the results reported here to carry out exposures in polymethylmethacrylate and polyvinylphenol photoresists. Well-resolved images of 0.2micrometers dense lines and spaces and 0.35micrometers diameter contact holes have been produced in PMMA and polyvinylphenol resists.
Resolution Enhancement
icon_mobile_dropdown
Lithographic performance at subquarter micrometer using DUV stepper with off-axis illumination technology
Chang-Ming Dai
The process windows of L/S (equal line and space) features in critical dimension range of 0.25 to 0.20 micrometers with various illumination apertures are theoretically and experimentally studied in this paper. The purpose of this study is to evaluate the process capability of current state-of-the-art deep ultra-violet KrF stepper for 0.18 micrometers patterning by using off-axis illumination (OAI) technology. It is experimentally found that, by using OAI techniques, 0.2 micrometers L/S patterning can be achieved with enough depth-of-focus (DOF) if dummy lines are put on the edges of main patterns. Without OAI techniques (all lines should clearly be resolved), it is very difficult to achieve production worthy processes for 0.20 micrometers patterning because the image DOF had been dropped to around 1.0 micrometers . Comparing the performance among these apertures, the annular 2/3 aperture with NA equals 0.50 and (sigma) equals 0.8 is slightly better than annular 1/2 aperture with NA equals 0.55 and (sigma) equals 0.8 for 0.24 micrometers L/S feature patterning, while improvement becomes large for that of 0.20 micrometers L/S feature patterning. In the case of energy latitude, the energy latitude is about plus or minus 2 mj/cm2 for every aperture and feature, and the discrepancy among these apertures is very small. In simulation work, process parameters such as resist, development and optical systems were calibrated based on the results of conventional aperture obtained by experimental works. Prediction of experimental works can be achieved to a comfortable level, except for the case of annular 2/3 with NA equals 0.50 and (sigma) equals 0.8.
Exposure Tool Subsystems
icon_mobile_dropdown
Design considerations and performance of 1-kHz KrF excimer lasers for DUV lithography
Richard G. Morton, Igor V. Fomenkov, William N. Partlo, et al.
The operation of 1 kHz KrF lasers for DUV lithography applications requires a design which minimizes perturbations to the optical and electrical properties of the gas present, at one millisecond intervals in the lasing region and vicinity. The optimum design results from a compromise between electrical and fluid dynamic requirements, since these cannot be simultaneously fully satisfied. Other constraints on a commercially viable design are those rooted in issues such as manufacturability, safety, cost, compatibility with fluorine, and service lifetime of the resulting structure. CYMER has successfully engineered a laser which produces linear average power output scaling with pulse repetition rates to 1 kHz at a line- narrowed bandwidth of less than 0.8 pm. The stabilized pulse energy is 10 mJ with a FWHM of approximately 15 nS, producing an average power of 10W at 1 kHz pulse repetition rate. The 3(sigma) value of pulse energy stability is 5 percent. In addition, the chamber exhibits low fluorine consumption and a lifetime in excess of 2 billion shots. Measured performance data are presented along with a general system layout and facilities requirements.
Pushing the Limits
icon_mobile_dropdown
Lithographic performance at 0.3- to 0.35-um patterns by using i-line stepper with off-axis illumination technology
Chin-Lung Lin, Chang-Ming Dai, Chih-Yung Lin
The process performance of i-line annular and quadruple off-axis illumination apertures with various opening sizes for pattern feature in the range of 0.4micrometers to 0.3micrometers were addressed in this paper. The process performance was theoretically and experimentally studied in terms of DOF performance and proximity effect. For L/S features, imaging resolution on wafer can only be improved to 0.35micrometers for annular aperture and to 0.30micrometers for quadruple aperture by using stepper with NA equals 0.48 and coherent factor of 0.62. In terms of opening ring size, smaller sized holes for quadruple or ring for annular leads to larger DOF. But for single line feature, DOF performance is much better by using quadruple apertures than by using annular aperture and DOF performance is not strongly dependent on the opening size of aperture stops. Comparing the process performance of single and dense line features, quadruple aperture can benefit in process control in 0.30 to 0.35micrometers patterning. However, proximity effect between L/S and single line becomes worse as the imaging feature gets down to 0.3micrometers . This large proximity effect is also related to the opening size of ring or hole in aperture stop. Therefore optical proximity correction is needed in 0.3-0.35micrometers feature patterning.
CD Control
icon_mobile_dropdown
Postexposure baking-temperature effect on resist profile with bottom antireflective coating
Chang-Ming Dai, Chin-Lung Lin, She-Chang Tai, et al.
Thin film interference effects in photoresist are the most serious issues for device production in sub-half micron patterning. These effects change the fraction of the energy available for photoresist absorption and subsequently cause serious line width fluctuation. One of the most realistic candidates from the point of view of the device mass production is the development of an organic bottom anti-reflective coating (ARC). Because organic ARC has high absorption characteristics of incident light, the standing wave in photoresist could be diminished. However, up to now, organic ARC still has some issues in resist profile (i.e. footing). Usually, the processes of organic ARC is optimized by tuning its thickness. Very little effort has been done on the optimization of post exposure bake. In this paper, the effects of post exposure bake temperature on resist profile and process windows, including energy latitude and focus latitude, of single line and dense line features will be discussed. The swing ratio is improved from 15.5 percent for the case without BARC to 1.2 percent for the case with ZHRi BARC of thickness 1010A (at top of reflectance curve). In term of PEB effect, PEB temperature of 90 degrees C is better than other conditions except it still has slight standing wave. With BARC, it can not only improve DOF process window from nothing to 0.8 micrometers of 0.35 micrometers dense and single line features but also reduce its proximity effect. Comparing the resist profile between BARC at top and bottom of reflective swing curve, the footing is much severe for the case of BARC with thickness at bottom of swing curve in HRi or XHRi material.
Optical Proximity Effects
icon_mobile_dropdown
Evaluation of OPC efficacy
Franklin M. Schellenberg, Hua Zhang, Jim Morrow
In this paper, we introduce standard metrics for the evaluation of three common problems in lithography: 1-D linewidth variation, line-end pullback, and 2-D corner rounding. Metrics that indicate both the magnitude of the problem and the quality of the formed features are presented. These can be used with digitized scanning electron microscope images of features formed from masks with and without overall process correction (OPC) to numerically determine the efficacy of the OPC techniques. All metrics tend to 0 in the case of perfect pattern fidelity.
Lithography Simulation
icon_mobile_dropdown
Method of easily extracting resist development parameters for lithography simulation
Kevin D. Lucas, Vladimir V. Ivin, Vladislav Kudrya, et al.
We present a description of a software tool and a methodology for easily creating photoresist development rate parameters in lithography simulation. The tool optimizes parameters using the Modified Simplex Method. The methodology uses the tool to provide insight into the effects of the development rate parameters and to find usable parameters quickly. The reasonings behind the methodology are discussed as well as advantages and disadvantages. Results from three different lithography simulators are shown to agree well with experimental cross-section SEM data.
CD Control
icon_mobile_dropdown
Characterization of resists and antireflective coatings by spectroscopic ellipsometry in the UV and deep-UV range
Pierre Boher, Jean-Philippe Piel, Christophe Defranoux, et al.
Antireflective coatings and resists are characterized precisely by spectroscopic ellipsometry from 800nm to UV and deep UV 193nm. A procedure based on the use of a polynomial dispersion law to take into account the optical indexes of the ARC in the region where it is transparent is developed and tested on samples with different thicknesses. The values obtained by this procedure are shown to be in perfect agreement with grazing x-ray reflection measurements made on the same samples. The procedure is valid even for very thin ARC. We show that using this thickness, the optical indexes can be extracted directly in all the wavelength range of the SE measurements. Practical example including a top ARC, a resist and a bottom ARC is analyzed in the same way. The physical parameters of the entire trilayer structure are deduced at the different working wavelengths. The expected reflectance performance are simulated using the same physical model and the same software.