Proceedings Volume 2724

Advances in Resist Technology and Processing XIII

cover
Proceedings Volume 2724

Advances in Resist Technology and Processing XIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 14 June 1996
Contents: 7 Sessions, 70 Papers, 0 Presentations
Conference: SPIE's 1996 International Symposium on Microlithography 1996
Volume Number: 2724

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Plenary Papers
  • Deep-UV Resist Processing
  • Deep-UV Resist Materials and Fundamentals
  • Materials for 193-nm Photoresists
  • Novolac/DNQ Resist Materials and Fundamentals
  • Electron Beam Resist, Resist Stripping, and Resist Hardening
  • Antireflective Layers: Materials, Applications, and Performance
  • Deep-UV Resist Processing
Plenary Papers
icon_mobile_dropdown
Patterning ULSI Circuits
The traditional scaling of feature sized to ever smaller dimensions which has driven the semiconductor industry for 30 years is being challenged by physical and cost limits. As we approach the development of the 180-nm generation, we have a quite different technology scenario facing us than we have seen in the past. The approaches being contemplated can be summarized in order of utility as (1) extensions of existing patterning methods, (2) nonlithography patterning approaches, (3) extensions of the optical projection/reduction approach, (4) new beam techniques, and (5) probe techniques. I will review the challenges in each of these categories and indicate where serious development efforts are needed to sustain technology scaling into the ULSI generations.
University-industry relations: what do we do now?
John A. Armstrong
I propose to discuss three topics that bear on the relations between industrial research labs and research universities. There are powerful forces of change at work on both of these parties; how we think about these changes, and how we react to them, will have a definite effect on the future course of university-industry relations.
Science and technolgy policy in the 104th Congress
Zoe Lofgren
After decades of growth in federal R&D funding, these programs are slated for a one-third cut. Programs to develop technology jointly with the private sector are the focus of deeper cuts, or elimination. These actions are the result of budget policy changes by the new Majority in Congress; they do not result from a rational reexamination of federal science and technology policy. These policies could have long-term consequences for high-technology industries, especially in California. I will review some of the events of the past year and anticipate the actions of the coming year. I will also try to set out an active agenda for the science and engineering community to follow in helping make decisions at the federal level.
Deep-UV Resist Processing
icon_mobile_dropdown
Lithographic performance of an environmentally stable chemically amplified photoresist (ESCAP)
Will Conley, Gregory Breyta, William R. Brunsvold, et al.
Improved stabilization of chemically amplified photoresist images can be achieved through reduction of free volume by film densification. When the host polymer has good thermal stability, the softbake temperature can be above or near the glass transition temperature (Tg) of the polymer. Annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions, and overall formulation coupled with high NA (numerical aperture) exposure systems afford 200 nm linear resolution with excellent post-exposure delay stability. In this paper, lithographic data is shown for the improved ESCAP photoresist system (now called UVIIHS) currently under development for DRAM and logic device technology. We review the photoresist system, along with process- and formulation-related experiments on device levels and substrates demonstrating excellent 250 nm and sub-250 nm process windows.
PED-stabilized chemically amplified photoresist
Takayoshi Tanabe, Yasutaka Kobayashi, Akira Tsuji
The influence of the baking condition on PED stability and the resist profile of a chemically amplified (CA) photoresist was investigated. One of the most serious problems for the use of CA photoresists is the 'T-top' formation. A resist process with high temperature bake has been suggested to prevent this problem. During our development of this type of photoresists, however, a problem of standing wave was observed when the resist was processed with high temperature softbake. The role and the effect of the baking temperature for softbake and PEB were discussed. Our investigation suggests that: (1) Low temperature softbake reduces standing wave. (2) High temperature PEB prevents T-top. Another problem, pattern deformation, came out when the combination of low temperature softbake and high temperature PEB was used. Too fast deprotection rate and hence too fast gas evolution rate at high temperature PEB is thought as the reason for the pattern deformation. Stepwise PEB is effective to prevent both T-top and pattern deformation. The first PEB at low temperature decomposes the protecting groups in the body of the resist film without pattern deformation and the subsequent high temperature PEB eliminates T-top at the surface of the resist film. We also investigated the role of high temperature PEB on prevention of T-top. We found that an acid generated from photo acid generator (PAG) kept the ability to decompose the protecting group even though the acid was coupled with ammonia, a basic contaminant from the environment. The activity of the ammonium salt of the acid is thought to be the key factor on environmental stability.
Quarter- and subquarter-micron deep-UV lithography with chemically amplified positive resist
Yasunobu Onishi, Kazuo Sato, Kenzi Chiba, et al.
The resist performance for quarter- and sub-quarter-micron domains using partially t- butoxycarbonylmethylated poly(4-vinylphenol) (BOCM-PVP) as a polymer dissolution inhibitor is reported. This resist contains some additives to improve resolution and process stability. This resist has high resolution, with linearity down to 0.225 micrometer L & S at 38 mJ/cm2 on a KrF excimer laser stepper (NA equals 0.5, sigma equals 0.5) with a COG mask. Using a halftone phase shifting mask, 0.175 micrometer L & S patterns are resolved with a 1 micrometer depth of focus (DOF) on a KrF excimer stepper (NA equals 0.5, sigma equals 0.7, 1/2 annular illumination). The line width change vs. PEB temperature ((Delta) CD/(Delta) T) is 1.3 nm/degree. The line width shift over time between exposure and PEB is within plus or minus 0.01 micrometer even after 1 hr delay in a basic- contamination-free environment (NH3 less than 1 ppb).
Undercut elimination in DUV negative systems: application to lithography and etching of metal levels
Francoise Vinet, Michel Heitzmann, Thierry Mourier, et al.
When a negative tone DUV chemically amplified resist is used on TiN, an undercut at the resist/TiN interface can be observed. This effect leads to a limitation of the performance and optimal use of these resists. In our study of the Shipley SNR 200 resist, a typical representative of these formulations, this undercut has been quantified versus soft bake (SB) and post exposure bake (PEB) temperatures, and for different types and times of development. The results obtained show that for high SB temperatures (greater than 120 degrees Celsius), undercut is minimized, whereas for SB lower than 120 degrees Celsius, undercut occurs. Whatever the SB conditions, undercut significantly increases for PEB temperatures above 120 degrees Celsius. The influence of the development parameters is negligible. By using both DSC thermal analysis and the wafer curvature measurement (WCM) technique, the glass transition of the SNR 200 resist has been determined at 115 degrees Celsius. This result clearly correlates the diffusion mechanisms involved in the undercut phenomenon with the viscoelastic properties of the resist. For these optimized lithographic conditions as well as for the metal etch conditions, a 100% electrical yield for isolation patterns was obtained down to 0.35 micrometer spaces.
Reduction of substrate dependency of chemically amplified resist
Jun-Sung Chun, Cheol-Kyu Bok, Ki-Ho Baik
In the case of silicon nitride films acid pretreatment can eliminate resist scum so that we can get clean resist profiles. The acid used, called Clean D treatment for photoresist strip, normally consists of a mixture of sulfuric acid (80% water) and hydrogen peroxide (80% water). ESCA (electron spectroscopy by chemical analysis) was used to examine the surface of the films after cleaning with acid and to monitor the changes in atomic percents of the films with time. Considering all the analytical data, this acid treatment to silicon nitride makes the film surface oxide-rich resulting in forming barrier layer between substrates and protons from PAG (photo acid generator). For BPSG boro-phosphorous silicate glass) films the mechanism of the formation of resist foot is quite different from that of silicon nitride. Improved resist profiles on BPSG were obtained by the dehydration bake. Therefore it could be speculated that the formation of resist scums on silicon nitride films are due to the nitrogen in films and on the BPSG moisture. O2 plasma surface pretreatment was also reviewed. It is quite certain that these two methods, acid and O2 plasma treatments are very effective, economical and simple process. However, there are delay time effects after pretreating films unlike other conventional oxide capping layers. This problem is also discussed in detail.
Free volume variations during exposure and PEB of DUV positive resists: effect on dissolution properties
Laurent Pain, Charles Le Cornec, Charles Rosilio, et al.
The influence of extra free volume content, generated during spin-coating, on the lithographic performance of DUV chemically amplified positive resists has been reported in several papers. During exposure and PEB, the deprotection reaction, together with the evolution of the protecting group, constitute a new source of free volume. As investigated in this study, in addition to the chemical modifications resulting from the deprotection reaction, the free volume content, and its variations during PEB, may affect the dissolution properties of the exposed areas.
Postexposure bake characterization and parameter extraction for positive deep-UV resists through broad-area exposure experiments
Marco Antonio Zuniga, Andrew R. Neureuther
This paper presents a methodology for extracting post exposure bake reaction and diffusivity parameters through broad area exposures, without the need for FTIR measurements. The parameter estimates are obtained by varying processing conditions as to achieve the same dissolution rate, and hence the same level of deprotection. Experiments conducted with APEX-E resulted in the following reaction parameter estimates, K1 equals 2.31/sec, K2 equals 0.0038/sec and m equals 1.71, which are in close agreement with values obtained with FTIR data. To calibrate the acid diffusion, top-to-top contact diffusion experiments can be used. Results for APEX-E gave diffusivity estimates for an exponential diffusion model of Do equals 5.6e-6 and (omega) equals 5.8. An analysis of variance conducted on the data shows a constant diffusion model has considerable lack of fit.
Substrate effects of silicon nitride on i-line and deep-UV lithography
Byeong-Chan Kim, Hoon Huh, Jaejeong Kim
Thin films of silicon nitride (Si3N4) which has been taking a crucial role as an etch or oxidation barrier specially in the local oxidation of silicon (LOCOS) process affect optical lithographic performances seriously. In this study, substrate effects of Si3N4 were delved through two kinds of points of view. Thin films underlying below the photoresist have induced thin-film interference effects which have caused in turn the degradation of the fidelity of the pattern transfer in i-line lithography. Recently, the chemically amplified resists mainly applied in DUV lithography have been revealed vulnerable to the chemical contamination from the substrate as well as the optical disturbances. We investigated the substrate effects of Si3N4 thin films which represent the optical and the chemical effects simultaneously to the chemically amplified resists, in which the optical aspect and the chemical influences were studied separately. Consequently, we determined the optimum thickness of Si3N4 substrate to reduce the thin-film interference effects and contrived the favorable methods for the chemical passivation of Si3N4 substrate which was confirmed to be effective with the quantification of chemical state at the surface.
Substrate effect in chemically amplified resist
Shigeyasu Mori, Takeo Watanabe, Kouichirou Adachi, et al.
SiN substrate effect in chemically amplified (CA) resist has been investigated by surface analysis and evaluating the pattern profile of CA negative tone resist. Fine profile can be replicated on SiN substrate treated with oxygen plasma optimized condition. Undercut profile can be affected by adsorbed materials on SiN substrate from thermal desorption spectroscopy (TDS) analysis results. From the results of electron spectroscopy for chemical analysis (ESCA), it is found that Si-N bonding is replaced to Si-O bonding while SiN substrate is treated with oxygen plasma. Relations between footing length and oxygen plasma treatment condition suggest that undercut profile due to the concentration of nitrogen on the surface of SiN substrate. At the interface between the SiN substrate and the CA resist, the SiN substrate works as base existing water, and quenches photo-generated-acids. The mechanism of substrate effect of SiN is clarified. Reducing the SiN-substrate effect by treating the surface with oxygen plasma, fine resist pattern without undercut and footing is formed on SiN substrate.
Stable process for chemically amplified resists using a new adhesion promotor
Masayuki Endo, Satoko Kawasaki, Akiko Katsuyama
We have developed a stable process for chemically amplified resists against the airborne contamination using a new adhesion promoter. The new adhesion promoter does not produce ammonia when it decomposes and its trimethylsilyl group adheres to a substrate. We have applied this new adhesion promoter to KrF excimer laser lithography. The excellent 0.30 micrometer pattern profiles were achieved without skin-layer or T-top profiles after leaving the exposed wafer in the atmosphere of the new adhesion promoter for 15 minutes before PEB. We also found that the adhesion strength of the new adhesion promoter to a substrate is as good as the conventional adhesion promotor and obtained large depth of focus latitude using the new adhesion promoter.
Method of comparing chemical contrast with resist contrast
Gregory M. Wallraff, Juliann Opitz, Gregory Breyta, et al.
This report describes a simple method for quantifying the extent of reaction occurring in positive tone chemically amplified photoresists. It involves comparing the amount of photoacid-induced deprotection (measured by FT-IR) to the resist thickness loss (e.g. the characteristic curve for resist contrast) measured as a function of incident dose. This method compares what we have termed the 'chemical contrast' to the resist contrast. It potentially provides a way to identify factors other than deprotection reactions (such as cross-linking, other chemical reactions or the dissolution inhibition/promotion for the PAG itself) which affect the dissolution properties of chemically amplified resists. This method can also be used to correlate lithographic performance with the actual chemistry occurring in the resist film. Results for a variety of different resist systems are described.
Deep-UV Resist Materials and Fundamentals
icon_mobile_dropdown
Design of high performance chemically amplified resist
Takaaki Niinomi, Hiroshi Tomiyasu, Yasuhiro Kameyama, et al.
The lithographic performance of chemically amplified positive resists has nearly reached at the level of application to quarter-micron level with regard to their resolution and sensitivity. However, it is hard to say that the problem of post exposure delay (PED), which contains formation of 'T-top' shape or 'foot' profiles, has been completely solved. We studied structure effect of both a dissolution inhibitor and a protecting group on the problem. It was shown that a resist film having a dissolution inhibitor derived from trisphenol looses less amount of acid by evaporation compared with one having bisphenol type dissolution inhibitor. With regard to easiness of cleavage of the protecting group, IR measurement has confirmed that the dissociation of t-BOC occurs during PEB whereas that of THP occurs during exposure. Molecular orbital calculation showed that acetal group can be protonated easier than carbonate group and that both of them will have no barrier to cleave after protonation. Bases on the above findings, we have obtained the high performance resist by applying these findings.
Application of photodecomposable base concept to two-component deep-UV chemically amplified resists
Satoru Funato, N. Kawasaki, Yoshiaki Kinoshita, et al.
The use of photodecomposable bases (PDB) offers distinct benefits to the general lithographic performance, and in particular, to the stability of the latent image in positive tone chemically amplified deep UV resists (CAR). The PDB concept utilizes radiation sensitive basic compounds, such as triphenylsulfonium hydroxide (TPSOH), which are coformulated as additives to the resist formulation. In the exposed resist sectors the PDB is decomposed into neutral fragments, which do not interfere with the simultaneously produced acid from the photolyzed photoacid generator (PAG). In the unexposed regions the PDB remains active and effectively neutralizes acid molecules diffusing into these areas. The successful integration of the PDB concept into acetal-based three component systems has been described previously. Its usefulness for standard two component materials, consisting of a polymer partly reacted with acid-labile protective groups, such s t-BOC or acteal/ketal protected ploy-4-hydroxystyrene (PHS), and a PAG is investigated in this paper. The effects of the PDB on the latent image stability, and additional resist properties, such as transparency, sensitivity, contrast, standing waves, etc. are discussed in detail. Finally, a new high performance deep UV CAR material incorporating the PDB concept is presented.
Synthesis and lithographic performance of highly branched polymers from hydroxyphenylmethylcarbinols
James R. Sounik, Richard Vicari, Ping-Hung Lu, et al.
A new synthesis pathway for 4- and 2-hydroxymethylcarbinol (4- and 2-HPMC) has made a new class of highly branched polymers readily available. The polymers, which are isomers of polyhydroxystyrene, show unexpected dissolution behavior in aqueous bases which differs from the solubility characteristics seen for the linear polymers obtained by free-radical polymerization. This behavior is traced back to the influence of the changing bond types in the co-polymerization series on the kinetic parameters. With respect to lithography, the absorption of the polymers is too high to make them attractive as DUV resist materials. Although their bond structure shows all bond types that also exist in novolaks, the HPMC polymers are found to be more PHS-like than novolak-like in their performance with DNQ sensitizers. However, they are compatible with DNQ/novolak resists, and can be used in resin blends with novolaks without phase separation.
Effect of substituent groups of phenol-derivative dissolution inhibitors on inhibition efficiency
Naoko Kihara, Satoshi Saito, Takuya Naito, et al.
This paper focuses on the inhibition efficiency of t-Boc derivatives of bis-phenols. Several t- Boc derivatives of bis-phenols were synthesized and their inhibition effects were evaluated in novolac resin and poly (4-hydroxystyrene) (PHS). When the novolac resin was used as the matrix polymer, the inhibition effects were thought to be governed by both the hydrophobicity and molecular size of the inhibitors. On the other hand, in the case of PHS, the hydrophobicity of the inhibitor molecule was thought to be the dominant factor responsible for decreasing dissolution rates. In addition, the effects of the basic components of the developers were also investigated. Solutions of sodium hydroxide, tetramethylammonium hydroxide and tetraethylammonium hydroxide were employed as developers. The effects of the basic components on the dissolution rates of the resists varied with the matrix polymer of the resist. The dissolution rate of novolac resin was higher in NaOH solution than in the developers consisting of organic bases. However, the dissolution rates of novolac resin samples containing dissolution inhibitors were lower in NaOH solution than in developers consisting of organic bases. This phenomenon may be attributable to the hydrophilic properties of the cation of a developer.
Halation reduction for single-layer DUV resist processing
Hiroshi Yoshino, Toshiro Itani, Shuichi Hashimoto, et al.
In order to apply single layer resist processing to 0.25-micrometer patterning, the effect of topography was studied in KrF excimer laser lithography, using a two-dimensional resist profile simulator with vector model. In particular, we simulated resist transmittance dependence on depth of focus (DOF) and halation, by considering a conventional (non- bleaching type) DUV chemically amplified positive resist. Here, we varied the step angle of the topographic substrate (height 0.1 micrometer) and the distance between step and resist pattern. Moreover, we investigated the influence of two optical resist characteristics, photo- bleaching and photo-coloring, from the viewpoint of halation reduction. For a highly reflective substrate such as polysilicon, the optimum transmittance (DOF greater than or equal to 1.0 micrometer) of the non-bleaching type resist with a resist thickness of 0.7 micrometer was determined to be 40 - 50%. In such a non-bleaching type resist, a good profile was obtained when the distance between the step and the resist pattern edge was more than 0.3 micrometers. Moreover, it was found that photo-coloring in the resist film was effective for halation reduction.
Acetal-based three-component CARs: a versatile concept to tailor optical properties of resists
Munirathna Padmanaban, Yoshiaki Kinoshita, N. Kawasaki, et al.
Cost and yield effective IC fabrication requires the use of a large variety of substrates with distinct reflectivity, which may cause problems during the lithographic process either due to reflective notching and standing wave formation on highly reflective substrates, or trapezoidal resist patterns and deterioration of resolution, when a substrate with low reflectivity is employed. Reflectivity problems become more evident, when i-line radiation is replaced by DUV illumination tools. In addition, the non-bleaching nature of state-of-the-art chemically amplified resists further aggravates the reflectivity issues. It is therefore generally accepted that substrate reflectivity and resist transparency have to be closely matched to gain maximized lithographic performance, i.e., dissolution characteristics, resolution, depth-of-focus and exposure latitude. We have reported previously that poly-N,O-acetals act as effective dissolution inhibitors/promoters for PHS-based chemically amplified DUV resist materials. Alkylsubstituted poly(benzaldehyde-N,O-acetal)s are basically transparent in the 248 nm wavelength region, and therefore do not contribute to resist absorption. On the other hand, poly(naphthaldehyde-N,O-acetal)s are quite strong absorbants in the deep UV region. It was found that certain benz- and naphthaldehyde poly-N,O-acetal derivatives exhibit essentially identical inhibition and dissolution properties combined with similar cleavage kinetics. By both, physical mixing or co-condensation, of these materials, it is possible to adjust the optical resist absorption to precalculated values between approx. 0.30 - 0.80 micrometer MIN1 solely by poly-N,O-acetal selection without deterioration of other important resist properties. Basic chemistry, physico-chemical and optical properties of the resists are discussed in detail. Lithographic results including SEMs prove the versatility and efficiency of this approach.
Manipulation of the thermal properties of positive DUV polymers
Roger F. Sinta, George G. Barclay, Timothy G. Adams, et al.
The thermal properties of the blocked polymers used in chemically amplified positive DUV photoresists are critical in determining ultimate resist performance as well as in the selection of processing parameters. Recently it has been shown that baking these resists at or above the glass transition temperature (Tg) significantly improves the delay stability of the resist. However in order to utilize this annealing concept two thermal properties, the Tg and the thermal decomposition temperature (Td), of the blocked polymer must be controlled.
Narrow polydispersity polymers for microlithography: synthesis and properties
George G. Barclay, C. J. Hawker, Hiroshi Ito, et al.
The major component of a photoresist formulation is a matrix resin, which therefore has the greatest effect on resist performance. At deep-UV wavelengths the resins of choice are linear phenolic polymers, such as poly(4-hydroxystyrene) (PHOST), which have excellent absorption characteristics within the DUV region. This paper demonstrates the synthesis of a range of narrow polydispersity PHOST polymers (Mn equals 2,000 - 30,000; PD equals 1.1 - 1.4) via a 'living' radical polymerization technique. Further, the effects of polydispersity and molecular weight on the dissolution behavior and thermal properties of these polymers are reported.
Characterization of Shipley's positive deep-UV experimental resists: deblocking studies
James F. Cameron, Arturo J. Orellana, Martha M. Rajaratnam, et al.
Characterization of the resist chemistry and related processes within several of Shipley's new experimental positive deep UV resists is reported. These resists are unique in that they function by a combination of acid catalyzed deprotection and conversion of a dissolution inhibiting photoacid generator. The resist chemistry is characterized in terms of deblocking efficiency, acid generating efficiency and changes in dissolution rate. In addition, a knowledge of both the deblocking and acid generating efficiency within these resists allowed the catalytic chain length and deprotection volume to be calculated for each resist.
Photosensitization in dyed and undyed APEX-E DUV resist
John L. Sturtevant, Will Conley, Stephen E. Webber
One of the principle concerns in the design of deep-ultraviolet (DUV) photoresist systems is optimization of the optical absorbance of the resist at 248 nm. Conventional novolak resists absorb strongly (OD greater than 1/micrometer), and are therefore not useful in the DUV. Most DUV formulations consist of a poly(hydroxystyrene)-based resin, a photoacid generator (PAG), and perhaps an additional component (crosslinker, dissolution inhibitor, dye, contamination stabilizer). There are multiple available PAGs, such as aryl onium salts, which have been designed to undergo efficient direct photolysis upon irradiation at 248 nm. Certain PAGs, however, are nearly completely transparent at this wavelength, yet are seen to function well in acid-catalyzed DUV resist systems. This is attributed to photosensitization of the PAG by the resin. Steady-state and dynamic fluorescence quenching and acid generation measurements were used to study this phenomenon and data for several systems are presented. It is concluded that both electronic energy migration and photoinduced electron transfer can play important roles in acid generation and that where possible, these functions should be incorporated into the design of high photospeed resist systems. Additional data is presented for a positive-tone photoresist with a photosensitizing dye component.
Chemically amplified negative-tone deep-UV photoresist based on poly(alkoxy styrenes) containing acetal groups
Joo Hyeon Park, Seong-Ju Kim, Ji-Hong Kim, et al.
Fully and partially pendant acetal group substituted polyvinylphenols were synthesized by the methods of free radical polymerization and chemical modification on polyvinylphenol, respectively. The glass transition temperature (Tg) of the partially acetal-substituted polyvinylphenols is in the range of 135 degrees to approximately 148 degrees Celsius, which is enough to overcome the thermal treatments for resist processing. The partially acetal- substituted polyvinylphenols are promising materials for chemically amplified negative tone deep UV photoresist.
Completely water-processable and other chemically amplified resists from maleic anhydride copolymers
Alexander M. Vekselman, Graham D. Darling
A new family of resists is presented that makes possible an all-water (sub)micron scale lithography. It was found by infrared (IR) and thermo-gravimetric analysis (TGA) studies, that polymers with vicinal carboxylic acid moieties undergo thermo-acid-catalyzed dehydration and anhydride formation at a lower temperature, than upon simple uncatalyzed thermolysis. Carboxylic acid moieties allow the use of water for spin-coating, developing, and stripping. For example, ethylene- or methyl vinyl ether-alt-maleic anhydride copolymers with a photo- acid generator can be spin-coated from water to form clear films. Mild heating at 110 - 10 degrees Celsius for 20 - 60 s before and after greater than or equal to 25 mJ/cm2 of UV irradiation, produces a latent image that is developable in water within seconds. Similar acid- catalyzable alcohol elimination was observed with some monoesters, for example poly(styrene- alt-maleic acid monoethyl ester). The difference in reactivity and hydrophobicity between exposed and non-exposed areas also follows functional developing, e.g., the introduction of polyamino compounds or metal ions selectively into non-exposed resist areas.
Novel main chain scission positive-tone photoresists for 248-nm lithography with wide post-exposure processing latitude as an alternative to chemically amplified systems
Neil D. McMurdie, James B. O'Dwyer
While 248 nm chemically amplified resists systems offer excellent photospeed, they have introduced a number of processing difficulties including: environmental sensitivity, post exposure bake delay, acid diffusion, and critical dimension (CD) control. A novel positive acting resist which does not require diffusive dark reactions could eliminate some of these problems. One such class of resist would be those which utilize main chain scission to produce the latent image. If a main chain scission resist could be produced with good etch resistance and with E0 less than or equal to 50 mJ/cm2, it may be able to compete with the chemically amplified systems because of the ease of processing. We report the use of the novel photocleaving monomer 2,6-dinitro-1,4-benzenedimethanol which has been incorporated into positive tone 248 nm resist polymers. These resists operate both by molecular weight reduction and soluble functionality increase. The polymers also contain aromatic moieties which enhance their etch resistance profiles. Exposed films are developed in standard aqueous base (TMAH) developers and are stable for several days after exposure. Photospeeds are near or below the target E0 of 50 mJ/cm2. The use of these novel resists in the production of 0.25 to 0.35 micron 1/s is presented.
Negative-tone resist system using vinyl cyclic acetal crosslinker
Wu-Song Huang, Kim Y. Lee, K. Rex Chen, et al.
Most high performance negative tone resists are chemically amplified systems. The chemistry involves a creation of acid during photo-exposure and subsequent crosslinking of the polymer matrix during post-exposure bake. The commonly used crosslinkers are epoxies, melamines, benzyl alcohol and benzyl acetates. In light of the high reactivity of vinyl group on vinyl ether type compounds, literature has suggested that photochemical addition reaction of a polymer- bearing pendant vinyl ether with various thiol compounds can potentially be highly sensitive negative-type photoresists. Recently, bis-dihydropyrane derivative has been used for the first time to develop high performance negative tone resists for DUV, E-beam and x-ray applications. A cyclic acetal system based on acetal blocked aromatic aldehyde has also been demonstrated to be a good crosslinker for negative DUV resist. In order to take advantage of the above chemistries, we have investigated a crosslinker, 3,9-divinylspirobi(M-dioxane) (DVSDO), which contains both cyclic acetal groups and vinyl groups. Different loadings of DVSDO from 8% to 17% were formulated in combination with triphenyl sulfonyl triflate and N-sulfonyl triflate derivatives in polyhydroxystyrene matrix. One composition contains 8% N- sulfonyloxy derivative, 12% DVSDO in 20% solid of polyhydroxystyrene has shown resolution to 0.35 micrometer from Canon 0.37 NA DUV stepper. It also shows promising resolution in E-beam lithography. Varying the post apply bake (PAB) temperatures and post exposure bake temperatures (PEB) demonstrate a great dependency of sensitivity to baking temperature. The sensitivity increases with decreasing PAB, while decreases with decreasing PEB. Insufficient baking time (less than 4 - 5 minutes) at lower PEB temperature 90 degrees Celsius causes significant film loss after development in 0.14N TMAH for 60 - 75s. On the other hand, when the PEB temperature is too high (greater than 120 degrees Celsius), the resist's resolution is degraded. Recent literature report has shown that high resolution can be achieved on negative resist system by raising PAB temperature. However, most of the known resist systems cannot tolerate high temperature, due to background crosslinking. The crosslinker, DVSDO, introduced in this paper seems to have higher heat resistance toward thermal induced background crosslinking. The resist formulated here can tolerate 140 degrees Celsius bake without seeing residues on the unexposed area. In this paper, we also discuss some IR studies on the crosslinking mechanism in addition to the lithographic evaluation.
Design and properties of new deep-UV positive photoresist
Sang-Jun Choi, Si-Young Jung, Chang-Hwan Kim, et al.
A new class of photodefinable polymer based on di-tert-butyl malonate protecting group was developed. A novel alkyl malonated copolymer was synthesized by copolymerization of di- tert-butyl malonylmethyl styrene (DBMST) with 4-acetoxystyrene (AST), and the subsequent deprotection of acetoxy group. Exposure of the material to deep-UV light followed by postbaking results in significant changes in solubility and polarity due to the formation of carboxylic functions which were produced on the polymer chain through the photogenerated acid catalyst (chemical amplification). This resist resolved 0.24 micrometer line-and-space patterns, formulated from di-tert-butyl malonate-protected polyhydroxystyrene (PHS) and triphenylsulfonium (TPS) triflate, with the aqueous base development using a KrF excimer laser stepper (NA 0.45) with a dose of 44 mJ/cm2.
Materials for 193-nm Photoresists
icon_mobile_dropdown
Protecting groups for 193-nm photoresists
Two versions of 193-nm single layer resists based on acrylic polymer chemistry have been described previously. The version 1 resist is a tool-testing version and is based on a methacrylate terpolymer structure. Its etch resistance analogue (version 2 resist) contains alicyclic compounds attached to the acrylic backbone. Key to enabling the performance of version 2 resist are the use of steroid additives which behave principally as thermomechanical modifiers to improve the mechanical properties of these rigid polymers through plasticization. We used the tertiary-butyl ester protecting group in these resists for thermal stability and other considerations. This paper describes an investigation of the impact of acid-cleavable protecting group structure on the properties of a series of model acrylic polymers. In this investigation, factors such as thermochemical stability, reactivity to photogenerated acid, and dissolution properties of exposed films as a function of dose were examined. A new highly reactive protecting group is introduced in this study, the tetrahydrofuranyl ester (THF) of methacrylic acid. Additionally, we introduce a new polymer family (polynorbornenes) with superior etch resistance, significantly broadening the polymer chemistry available for the construction of new 193-nm photoresists.
Bilayer resist approach for 193-nm lithography
Ulrich P. Schaedeli, Eric Tinguely, Andrew J. Blakeney, et al.
Tremendous efforts to extend optical lithography beyond the quarter micrometer boundary, which is currently achievable with KrF-excimer laser lithography, are ongoing. One-hundred- ninety-three nm lithography, using ArF-excimer lasers, is believed to be the technology of choice to approach the ambitious sub-0.2 micrometer resolution target. Single layer, positive tone resist systems, which can be developed with aqueous base, would be preferred. However, it might well turn out that the targeted requirements can only be fulfilled by resist systems which involve some type of dry etch steps. This paper focuses on a positive tone bilayer resist system, which is based on novel silicon containing methacrylate polymers bearing acid labile side groups. Due to a unique combination of monomeric building blocks, polymers with high silicon concentrations and, at the same time, high thermal flow stability are obtained. Hardbaked novolac is used as the planarizing layer. Resists systems based on the new silicon containing polymers demonstrated 0.175 micrometer resolution capability, a thermal flow stability greater than 120 degrees Celsius, and an etch selectivity ratio greater than 20.
Evaluation of cycloolefin-maleic anhydride alternating copolymers as single-layer photoresists for 193-nm photolithography
We have developed a fundamentally new class of photoresist matrix resins for use in 193 and 248 nm lithography based on cycloolefin-maleic anhydride alternating copolymers. When used in three-component formulations with cholate-based dissolution inhibitions (DIs) and conventional photoacid generators, these copolymers afford positive-tone resists with potential sub-0.25 micrometer image fidelity. The resists exhibit high contrast (3 - 5.5) and high sensitivity (3 - 5 mJ/cm2 at 248 nm, depending on exact formulation) with low loadings (ca. 1 wt%) of triphenylsulfonium salt photoacid generators. These formulations are sufficiently transparent to be used at 193 nm without further modification.
Limits to etch resistance for 193-nm single-layer resists
Roderick R. Kunz, Susan C. Palmateer, Anthony R. Forte, et al.
An important aspect of single-layer resist use at 193-nm is the inherently poor etch resistance of the polymers currently under evaluation for use. In order to provide the information necessary for resist process selection at 193 nm, we have projected the ultimate etch resistance possible in 193-nm transparent polymers based on a model we have developed. First, a data base of etch rates was assembled for various alicyclic methacrylates. This data base has been used to develop an empirical structure-property relationship for predicting polymer etch rates relative to novolac-based resist. This relationship takes the functional form normalized rate equals -3.80r3 plus 6.71r2 minus 4.42r plus 2.10, where r is the mass fraction of polymer existing as cyclic carbon. From this analysis, it appears as though methacrylate resists equal in etch resistance to deep UV resists will be possible. Early generations of methacrylate-based 193-nm resists were also evaluated in actual IC process steps, and those results are presented with a brief discussion of how new plasma etch chemistries may be able to further enhance resist etch selectivity.
Novel alkaline-soluble alicyclic polymer poly(TCDMACOOH) for ArF chemically amplified positive resists
Katsumi Maeda, Kaichiro Nakano, Takeshi Ohfuji, et al.
We have developed a novel ArF resist polymer poly[carboxy- tricyclo(5.3.2.0)decanylmethyl methacrylate] [poly(TCDMACOOH)], which has a carboxyl substituent on the tricyclodecanyl group. This polymer exhibited good solubility (1.93 micrometer/sec) in a 2.38% TMAH solution, high transparency (70%/micrometer) at 193 nm, and a good dry-etching resistance for CF4 gas (1.2 times the etching rate of novolac resin). Furthermore, the resist composed of the partially protected copolymer poly(TCDMACOOH60-TCDMACOOtBu40) with a photoacid generator exhibits a resolution of 0.18 micrometer L/S using an ArF exposure system (NA equals 0.55).
Dissolution behavior of alicyclic polymers designed for ArF excimer laser lithography
Takeshi Ohfuji, Katsumi Maeda, Kaichiro Nakano, et al.
We have analyzed the dissolution rate of alicyclic polymer resists designed for ArF excimer laser lithography with an in-house laser dissolution rate monitor. The analyzed polymers were methacrylate terpolymer with a tricyclodecanyl group and carboxy-tricyclodecanylmethyl methacrylate copolymer which has a polar carboxylic acid in alicyclic groups. We found that the alicyclic polymer dissolution rate was affected very little by developing conditions such as developer concentration or kind of developer. Furthermore, when we measure the dissolution rate as a function of the polar molecule content, we found that the dissolution rate of the alicyclic polymer is similar to that of conventional polyvinylphenol (PVP) polymers. We also demonstrate that the polymer dissolution rate can be predicted from the percolation theory for both conventional PVP polymer and alicyclic polymers. Moreover, the percolation theory can be applied to chemically amplified positive resists while taking the contribution of the protective group to polarity into consideration. These findings should be very useful for theoretical resist design and material development.
TSI process performance in a transformer-coupled plasma dry develop tool
John M. Hutchinson, Yosias Melaku, Wendy Nguyen, et al.
We investigated dry development of resist in the Lam Research TCP 9400SE plasma etcher to meet process specifications for tea 180 nm lithography generation. A full-wafer imaging interferometer was integrated onto the tool, and used to measure etch rates, uniformities and stability of same in-situ. Etch rates of greater than 5000 A/min and selectivities of greater than 15:1 of silylated to unsilylated resist can be obtained in oxygen plasmas in the TCP in the electrode temperature range studied. However, lateral etching (undercut) underneath patterned oxide islands was measured to be approximately 30 nm/min for a typical oxygen process and could not be eliminated in pure oxygen plasmas. To control the lateral etching, we investigated the use of SO2 addition to the oxygen discharge. SO2 addition was found to eliminate the lateral etching component of the resist etch and reduce the etch lag effect, while having a minimal reduction in the overall resist etch rate. We have used the SO2 process to minimize the effect of over-etch on developed resist profiles.
Block and random copolymer resists designed for 193-nm lithography and environmentally friendly supercritical CO2 development
Allen H. Gabor, Robert D. Allen, Paula M. Gallagher-Wetmore, et al.
The concept of using block copolymers as resist materials is presented. Both the demonstrated enhancements of block copolymers, compared to random copolymer resists, as well as benefits still to be exploited are discussed. In our own research, block and random copolymer 193 nm resists were prepared using the monomers tert-butyl methacrylate (t-BMA) and 3- methacryloxypropylpenta-methyldisiloxane (SiMA). The resists have a high resistance to oxygen plasma reactive ion etching, making them suitable for the imageable layer of a bilevel resist system. Of particular interest is the development behavior of the copolymer resists. After exposure, the block copolymers develop better in aqueous base than the corresponding random copolymers. Thus, it appears that incorporating the hydrophobic (but etch resistant) siloxane component into the resist as a distinct block is an effective way of achieving aqueous base solubility with copolymers of t-BMA and SiMA. The copolymers with higher silicon- concentrations are also developable as negative tone resists using environmentally friendly supercritical carbon-dioxide. Thus, without using organic solvent, these resists are developable in both positive and negative tones. Some of the future benefits of using block copolymers that we envision include diffusion barriers for photo-generated acid and passivation of reactive substrates.
Novolac/DNQ Resist Materials and Fundamentals
icon_mobile_dropdown
Effect of low-molecular-weight dissolution-promoting compounds in DNQ-novolac positive resist
Yasumasa Kawabe, Shiro Tan, Fumiyuki Nishiyama, et al.
Effects of a dissolution promoter (DP) on the lithographic behavior of DNQ-novolac resists were investigated. The key structure/property relationships of the DP in relation to other parameters of the resist components were identified. In this work, we observed: (1) A significant improvement in resolution and photospeed when the DP was appropriately used. (2) Improvements in resist profile to increase its steepness. (3) Novolac dispersivity was the key for obtaining a beneficial effect from DP incorporation into the resist matrix. (4) Molecular size of the DP influenced resist resolution. (5) The PEB process effectively acts to improve dissolution discrimination. The dissolution rate analysis indicated that the DP incorporation, when optimized, accelerated dissolution of exposed resist film while decreasing the dissolution rate of unexposed film. This results in resolution improvement by increasing the dissolution contrast. DP also enhanced the surface induction in the resist film dissolution, which resulted in improvement of the profile steepness. We are proposing a 'selective dissolution model' to account for the influence of the DP to the resist dissolution. This model assumes a critical dissolution rate differentiation between the DP and the other components, i.e., a microscopically uneven dissolution of the resist film components at the dissolution front, which leads to the above unique dissolution behavior. PAC or DP diffusion in the resist film were found to be critical in obtaining the discussed DP effect in a real resist film.
Contrast-boosted resist using a polarity-change reaction during aqueous base development
Shou-ichi Uchino, Takumi Ueno, Sonoko Migitaka, et al.
A high-contrast resist, called a contrast boosted resist (CBR), using a water-repellent compound that changes into hydrophilic compounds during aqueous base development has been developed for electron-beam (EB) lithography. TBAB, 1,3,5-tris(bromoacetyl)benzene, was identified as the best water-repellent compound for the CBR. A CBR composed of novolak resin, hexamethoxymethylmelamine, 1,3,5-tris(trichloromethyl)triazine as an efficient acid generator, and TBAB enables the definition of 0.225-micrometer line-and-space patterns with an exposure dose of only 2 (mu) C/cm2 using an EB writing system (acceleration voltage: 50 kV). The polarity change caused by the reaction of the TBAB with the base as well as crosslinking of the novolak resin by the TBAB are assumed to enhance the contrast in the CBR.
Novel class of highly selective divanillin-based PACs
Arturo N. Medina, Lawrence Ferreira, Sobhy P. Tadros, et al.
A new class of diazonaphthoquinone (DNQ) photoactive compounds (PACs) based on the divanillin core is introduced in this paper. The general structure of these PAC backbones is shown in Formula 1. The divanillin structure possesses unique electronic characteristics which influence its DNQ-SO2Cl esterification reactions to be highly selective. The most reactive site for esterification in Formula 1 is one of the divanillin hydroxyls despite the typically higher steric hindrance. Surprisingly, the esterification product is then significantly deactivated towards esterification at the other previously equivalent divanillin OH. The result of using 3 equivalents of DNQ-SO2Cl to esterify tetraphenolic species is the formation of high percentages of the specific triester in which the second divanillyl OH remains unesterified. The deactivation of the second divanillin OH after the initial esterification indicates some interaction between the two o,o-biphenol rings despite its inability to be coplanar for conjugation of (pi) electrons because of steric hindrance. Possible explanations for this interaction are explored using molecular simulation tools. Diverse members of the divanillin PAC family have been prepared from phenols of varying structure and hydrophobicities. These PACs were tested lithographically and the results correlated with PAC backbone structure. The characteristic dissolution rate behavior of the resist formulations based on triesterified PACs, measured as a function of exposure dose, generally show high discrimination and strong inhibition, even with the more hydrophilic PACs. These formulations typically exhibited high resolution, wide focus latitude, and exposure margins greater than 2.0 in lithographic screening.
Bake mechanisms in novolak-based photoresist films: investigation by contact angle measurements
Emilienne Fadda, C. Clarisse, Patrick Jean Paniez
Bakes are important steps in lithographic processes. In this work, bake mechanisms are studied using the contact angle measurement (CAM) technique on pure novolak spin-coated films. Both the dispersive and basic components of the surface energy are measured at room temperature on samples previously processed at different bake temperatures, by additional bake steps of 20 degrees Celsius, starting from room temperature up to 200 degrees Celsius. The importance of two main parameters, namely the glass transition temperature (Tg) of the polymer and the hydrogen-bonding tendency of the casting solvent is emphasized in the description of the evaporation mechanisms of residual solvent molecules.
Selectively esterified novolac resins: a study of resist properties as a function of novolac molecular weight and composition
Alfred T. Jeffries III, David J. Brzozowy, Bernard T. Beauchemin Jr., et al.
Supercritical fluids were used to fractionate a conventional 60 meta/40 para cresol novolac into five narrow polydispersivity fractions. Single and multiple fractions were esterified with a DNQ moiety and recombined to obtain a resist having essentially the same molecular weight and composition as the original parent novolac. Fractions 1 - 4 were esterified. An experimental design was constructed and the resulting selectively esterified novolacs were used to study resist properties as a function of the molecular weight and composition of the esterified fractions. Positive resists prepared from fraction 2 (MW 870 and 69% m-cresol), and fractions 2 and 4 (MW 3064 and 75% m-cresol) exhibited better performance compared to resists prepared from other selectively esterified fractions and the randomly esterified parent novolac.
Factors affecting the dissolution rate of novolac resins II: developer composition effects
Clifford L. Henderson, Pavlos C. Tsiartas, Logan L. Simpson, et al.
Resist function depends upon photoinduced changes in the dissolution rate of phenolic polymer films in aqueous base. The events that occur as the molecules in these films move from the glassy state into solution are not well understood. This paper presents an extensive study of the influence of developer composition and concentration on the dissolution rate of novolac films in aqueous base. The dissolution rate is shown to be a function of both the cation and anion composition and concentration in the developer at a constant pH. When salts are added to the base, the rate first increases, reaches a maximum, and then decreases with increasing salt concentration. In the concentration regime below the maximum, the rate increases linearly with cation concentration and is independent of anion composition. The dissolution of novolac is dependent on cation concentration and not ionic strength in this regime. In the concentration regime above the maximum, the composition of the anion has a marked influence on the rate.
Diffusion limitations in high-resolution lithography
Lothar Bauch, Ulrich A. Jagdhold, Monika Boettcher, et al.
The reduction of the resist thickness, needed for high resolution lithography, increases thin film effects. The PRIME-process is used as an example of high resolution lithography (structure width less than 0.2 micrometer). In the paper the influence of the resist thickness and the DNQ-diffusion is studied by simulations and experiments. As a result it is to conclude, that the diffusion of DNQ has to be minimized to about 20 nm caused by the lateral dimension of the structures.
Application of DNQ-based microlithography to patterning bioactive molecules and cells
Dan V. Nicolau, Takahisa Taguchi, Hiroshi Taniguchi, et al.
Photochemically induced surface functionality manipulation of Diazo-Naphto-Quinone/novolak polymeric films was used for controlling the specificity of the attachment and growth of neuronal cells and biologically active molecules (proteins and peptides) patterning. Different microlithographic techniques (standard positive tone, negative tone image reversal based on catalyzed decarboxylation, positive tone of image reversal resist, and surface imaging based on silylation), controlling the surface hydrophobicity and surface concentration of carboxylic groups, were assessed in the view of the suitability as microlithographic techniques for patterning biologically active molecules and cells. It was found that DNQ-based materials and techniques can be easily transferred in bio-microlithography, which is the building of laterally ordered architectures with biological structural elements.
Lithographic characterization of AZ 7800 high-resolution photoresist
Stanley A. Ficner, Oghogho Alile, Ping-Hung Lu, et al.
Progress in high resolution resists has contributed substantially to the continuing success of i- line lithography. We report here on a resist development as well as complete lithographic characterization of AZR 7800 photoresist, a new commercial high-resolution i-line resist. Results to be reported are resist optimization via statistical experimental design, linearity, focus and exposure latitudes for different feature types in bright and dark field exposures. AZR 7800 photoresist is 2.38% TMAH compatible and achieves 0.28 micrometer final resolution on a 0.63 NA stepper at a photospeed of ca. 170 mJ/cm2. The focus latitude is for 0.35 micrometer line and space features is 1.2 micrometer; exposure latitude is 24%. The resist performance can be further increased by combination with the AZR BARLiTM bottom coat. AZR 7800 photoresist uses a fractionated novolak resin and a speed enhancer component to simultaneously achieve high photospeed and good thermal stability. The concept differs from the conceptually similar tandem novolak approach proposed by Hanabata in that the speed enhancer is not a novolak. The diazonaphthoquinone sensitizer makes use of another concept first proposed by Hanabata, that of regioselective subesterification. AZR 7800 photoresist will be used as an example to discuss the merits of the 'standard recipe' for advanced i-line resists that has evolved in the last years.
Diazonaphthoquinone-5-sulfonate composition variants: synthesis and properties
Anthony Zampini, Harold F. Sandford, William J. Cardin, et al.
The influence of reaction variables on the formation of polyhydroxybenzene diazonaphthoquinone sulfonate esters was investigated. Solvent polarity, base, reactant concentration and reaction temperature were all found to have large effects. High solvent polarity was observed to promote formation of highly esterified products. The condensation of 2,3,4-trihydroxybenzophenone with diazonaphthoquinone sulfonyl chloride in dimethylacetamide or N-methyl-2-pyrrolidone resulted in a diester isomer distribution that was different than that observed in acetone, 1,4-dioxane, methyl ethyl ketone, tetrahydrofuran and gamma-butyrolactone. Further, the initial sulfonate esters were formed under kinetic control. In the presence of base and 2,3,4-trihydroxybenzophenone the esters equilibrated to form a common, thermodynamically favored mixture. The equilibration rate was fast in solvents having high polarity. An equilibration scheme is proposed involving phenolate ion displacement processes.
Standing waves reducing additives
Medhat A. Toukhy, G. McCormick
Small molecules added to novolak/diazonaphthoquinone based resists plasticize their dry film coatings. This has a significant effect on standing waves reduction. Such additives allow the photo active compounds (PACs) to diffuse at reduced temperatures. Raw dissolution rate data is highly reliable for detecting standing waves. The effect of the additives on standing waves reduction is a direct function of their molar concentration in the film. Additives that are more alkaline soluble than the novolak matrix are generally preferred to avoid reducing the resist photo speed. These additives may cause serious loss in resist inhibition unless the interaction efficiency of the novolak/PAC system is sufficiently high. Non-reflective substrates are necessary to isolate and examine the effect of additives on resist dissolution without thermal or standing wave interferences. Optimal resist formulations using such additives provide high contrast systems with reduced standing waves applied over reflective substrates.
Isolation of novolak resin at low temperature
M. Dalil Rahman, Daniel P. Aubin, Dinesh N. Khanna
It is a well known fact that novolak resin undergoes chemical structure changes using vigorous synthesis conditions, such as under high acid catalyst loading and high temperature during isolation. Under such conditions, the structure of novolak resin is rearranged through quinone methide intermediate. We have also observed that the rearranged novolak resin does not perform well in photolithography. In this paper we discus the alternate isolation procedure, eliminating high temperature vacuum distillation. In this process a typical resin synthesis is performed using cresylic acids, catalyst and a solvent with the addition of formaldehyde over time. At the end of the condensation period, distillation is applied using a sub-surface high forced steam. Distillation is performed until all the unreacted cresols are removed. At this point the temperature is raised up to 140 degrees Celsius, and the vacuum is slowly drawn to 35 mm Hg to remove residual water. After the volatiles have been distilled off, the vacuum is released and a solvent is added to adjust the solid content. We discuss in this paper, the properties of the resin in the application of photolithography.
Dissolution behavior of novolak resins
K. Rex Chen, George M. Jordhamo, Wayne M. Moreau
Novolak and polyhydroxystyrene (PHS) constitute the film forming polymers of positive resists. Laser interferometry was used to study the dissolution and film morphology of spun cast films. PHS forms uniform films with polymer chains preferentially orientated. Novolak resins exhibit disruption of ordering throughout the depth of the film. Thicker (greater than 1 micrometer) films of novolak are more disordered. Casting solvents can also alter film morphology. Micron thick films of novolak resins, except for monodisperse fractions, show disruption of order, especially in layers at the vicinity of the substrate. Thicker novolak films exhibit more disorder due to solvent/surface tension gradients. PHS films of higher Tg are not sensitive to coating disruptions. The addition of surfactants eliminates global radial phase separation of diazoquinone and novolak and restores uniform orientation in plane of dissolution by neutralizing surface tension driven gradients.
Electron Beam Resist, Resist Stripping, and Resist Hardening
icon_mobile_dropdown
High-performance TSI process for e-beam using vapor-applied crosslinking silylating agents
Mathias Irmscher, Bernd Hoefflinger, Reinhard Springer, et al.
The use of the SAHR (silylated acid hardened resist)-process for e-beam direct writing enables a high resolution in thick resists because the resolution-limiting effect of the forward scattering is eliminated. However, the volume expansion combined with the drop in glass transition temperature during silylation by monofunctional agents prevents, in spite of using the flow- effect reducing pre silylation development (PSD), the faithful preparation of irregular subquarter-micron patterns. In order to eliminate the flow problem and ensure high silicon contrast as well as low swelling of the silylated resist region, we evaluated mixtures of dimethylsilydiethylamine (DMSDEA) and bis(dimethylamino)methylsilane [B(DMA)MS] as silylating agents. A bifunctional agent share of 30% restrains the flow effect without decreasing the silicon concentration in contrast to the use of pure DMSDEA. A short, dilute alkaline development before silylation increases the dependence of silicon depth on exposure dose and reduces as a consequence the CD-deviations of irregular pattern elements due to the pattern-related backscattering. The realization of a 0.15 micrometer pattern in 0.7 micrometer thick resist has been demonstrated.
Evaluation of the dry resist octavinylsilsesquioxan and its application to three-dimensional electron-beam lithography
Hans W. P. Koops, Sergey V. Babin, Mark A. Weber, et al.
Structurization of three-dimensional surfaces has become more and more important for micro- mechanics, micro-electronics, and micro-optics. It is widely accepted that resist processes present fewer hazards to personnel and environment than conventional wet resist processes. Octavinylsilsesquioxan is investigated as a dry negative tone resist. It is employed to structure 250 micrometer deep steep surface steps, to modify fabricated three-dimensional structures with dot gratings for metrology applications, and to generate optical micro-lenses of 6 micrometer to 150 micrometer diameter on wafers and on the end of monomode fibers. The negative tone dry resist, also known as V-T8, enables coating of arbitrary substrates by evaporation in high vacuum. After exposure it is developed in high vacuum by a dry thermal treatment at 200 degrees Celsius. The resist is characterized using layers with a thickness in the range from 50 nm to 1 micrometer. Electrons with an energy ranging from 5 keV to 50 keV are used. The sensitivity of V-T8 films is 40 (mu) C/cm2 at 20 keV, which is orders of magnitude higher than that of other dry resist systems. The resist exhibits high dry etch resistivity. Its contrast is increased from 0.7 to 2.1 using plasma etching in CF4 as a post-development step.
Deep-UV hardening of deep-UV resists
George M. Jordhamo, Wayne M. Moreau
Deep UV (200 - 300 nm) or electron beam hardening has been used extensively to enhance the thermal flow resistance of i-line based diazoquinone-novolak resists. Since novolaks have Tg below 125 degrees Celsius, the images require stabilization for processing at elevated temperatures. The images of hardened resists are able to withstand elevated temperatures of greater than 150 degrees Celsius such as encountered in ion implantation or reactive ion etching. The next generation of positive resists are based on polyhydroxystyrene (PHS) either as a homopolymer with acid labile additives or as copolymer with acid labile groups. Since the Tg of PHS is above 130 degrees Celsius, the resists based on homopolymer have inherent stability to temperatures in the 150 - 200 degrees Celsius range. However, many formulations use copolymers with groups which lower the Tg to 100 - 150 degrees Celsius and thus the processing of unhardened images at elevated temperatures may cause distortion. We have investigated the photo/thermochemical stabilization of PHS by deep UV (200 - 300 nm radiation). Irradiation of films or in solution of PHS causes photoexcitation of the phenol portion of PHS inducing quinone formation and crosslinking. The reaction is aided by the presence of oxygen and heating. Exposure at elevated temperatures of 125 degrees Celsius reduces the dose to gel by 40% while exposure in air reduces the dose requirement by 50%. In the deep UV (240 - 260 nm) region the darkening reaction is due to quinone formation. ESCA studies of photolyzed PHS confirm the incorporation of oxygen into the backbone and into the aromatic ring of PHS. The presence of photoacid from PAG enhances the photocrosslinking of PHS up to 40%. Photostabilized images are able to withstand temperatures in excess of 200 degrees Celsius.
Excimer laser photoresist stripping
Menachem Genut, Ofer Tehar-Zahav, Eli Iskevitch, et al.
A new method for stripping the most challenging photoresists on deep sub-micron technology semiconductor wafers has been developed. The method uses a combination of UV excimer laser ablation and reactive chemistry to strip the photoresist in a single dry process, eliminating the wet acids or solvents often used following ashing of high dose implantation (HDI) and reactive ion etching (RIE). The stripping process combines new removal mechanisms: chemical assisted UV excimer laser ablation/etching, laser induced chemical etching of side walls and residues, and enhanced combustion. During the laser pulses photolysis of the process gas occurs, UV laser radiation breaks the photoresist polymer chain bonds, and the photoresist (including foreign materials imbedded in it) is ablated. The combustion is ignited by the ablative impact of laser radiation and enhanced by the radicals formed during photo-thermal decomposition of the process gases. Following this process, the volatilized products and gases are evacuated. The optimum laser stripping conditions were developed to provide a wide process window for the most challenging stripping conditions, such as after HDI and RIE (metal, polysilicon), without causing damage to the wafer devices. A photoresist stripping system based on the described technology was designed and built. The system has been designated as the L-StripperTM and provides stripping time of 0.15 s/(micrometer cm2).
Negative resists for electron-beam lithography utilizing acid-catalyzed intramolecular dehydration of phenylcarbinol
Sonoko Migitaka, Shou-ichi Uchino, Takumi Ueno, et al.
Acid-catalyzed intramolecular dehydration of phenylcarbinol is used to design highly sensitive negative resists for electron beam lithography. Of the phenylcarbinol resists evaluated in this study, the resist composed of 1,3-bis(alpha-hydroxyisopropyl)benzene (Diol-1), m/p-cresol novolak resin, and diphenyliodonium triflate (DIT) shows the best lithographic performance in terms of sensitivity and resolution. Fine 0.25-micrometer line-and-space patterns were formed by using the resist containing Diol-1 with a dose of 3.6 (mu) C/cm2 in conjunction with a 50 kV electron beam exposure system.
Exposing of surface layers of PMMA structures by low-energy (1000-6000 eV) electron irradiation
Tatyana Borzenko, Yuri I. Koval, Vladimir A. Kudryashov
This work is devoted to the development of a new submicrofabrication method based on low energy electron irradiation of polymer resist structures. The penetration depth of electrons must be less than the structure's height and width. Electrons reflected from the substrate expose the side walls of structures, and after development of the exposed surface layer the narrow zone around the residuary part of resist can be used for the formation of submicron elements. Calculations of the absorbed energy density distribution in the resist that determines the development result were performed. Both energy and angle peculiarities ofelectron scattering by solids were taken into account in the calculations. As the first step in the calculations the efficiency of side wall exposure, i.e., the fraction ofthe substrate irradiation dose, was estimated. After that, equidose surfaces ofabsorbed energy density were piotted using the calculated distribution ofthe latter within stmcture profiles. The dependence of the size of elements created by this method on the substrate irradiation dose was obtained with the help of the threshold development model. The limits of the irradiation parameters within which the method is valid were also determined from the calculations. Key words: electron resist, PMMA, low energy electron irradiation, absorbed energy density, self-alignment, backseattered electrons.
Photoresist stabilization for ion implant processing
Matthew F. Ross, William R. Livesay, Vladimir Starov, et al.
In this study, a non-thermal photoresist stabilization process is considered for ion implant processing. The stabilization process utilizes a flood electron beam system that uniformly exposes the entire thickness of the photoresist film. A photoresist stabilization process is critical for some ion implant processes to reduce out-gassing, provide thermal stability, and facilitate its subsequent removal. Stabilization becomes more critical for advanced photoresists where, due to the high photoactive compound content, the thermal stability of the photoresist is low and the post ion implant removal process becomes more complicated. In this evaluation three i-line photoresists are considered, OiR-32, OiR-32 medium dye, and OiR-897 10i. The non-thermal aspect of the electron beam stabilization process eliminates the shrinkage and flow associated with thermal stabilization processes. Parameters evaluated include critical dimension variation, thermal stability, and post ion implant photoresist removal. The electron beam stabilized photoresist shows significantly reduced post implant shrinkage and critical dimension variation compared to UV/thermal processing. The thermal stability of the photoresist is dramatically improved by the electron beam stabilization process. Finally, the post ion implant removal processing is improved, as indicated by the elimination of popping and contamination during a standard removal process. Thus, the electron beam process is demonstrated to provide improved thermal stability, reduced critical dimension variation, and improved microwave downstream plasma photoresist removal characteristics after ion implant processing.
High-throughput process optimization using the EZ technique
Medhat A. Toukhy, Karin R. Schlicht, Patricia Morra, et al.
The greatest advantage of the EZ method is that it provides a simple, rapid and reliable technique to screen and evaluate the lithographic process. Thorough lithographic and dissolution rate examination can be performed for only the final selections of resist materials and process.
Development of two new thick-film photoresists
Stanley A. Ficner, James Hermanowski, Ping-Hung Lu, et al.
This paper describes the development of two advanced new thick film photoresists. Statistical experimental design was used to investigate the performance characteristics of a select group of novolak resins and diazonaphthoquinone photoactive compounds. After these initial formulation experiments, evaluations of the best candidate were carried out on an Ultratech stepper using current production photoresists as a benchmark. The main evaluation criteria were used as outputs of the designed experiment (resolution, side-wall profile, t-topping, and photosensitivity) and then correlated with resin characteristics and relative photosensitizer concentration. The results of these studies led to the development of two formulations for specific photoresist thicknesses: EXP AZR 9147 photoresist for 5 micrometer and EXP AZR 9262 photoresist of 24 micrometer. These final formulations show improvements in all evaluated performance fields versus the current production benchmarks.
New contributions to automated processing using different photoresists for microelectronics fabrication
Dumitru Gh. Ulieru
The actual trends of significant reduction in feature size and increases in complexity of semiconductor devices need advances in materials, processes and equipment. In terms of material advances, positive photoresists have formed increasing usage for the majority of the resists used in devices manufacture. The processes equipment permitted to develop temperature control -- both developer liquid and atomizing nitrogen and fluid dispensing. Automated spray development of positive photoresists is a feasible processing operation. The change in application variables, flow rates, temperature and spray time have different degrees of influences on critical dimensions. Adjustment of spray time provide an element of flexibility, useful in optimization of positive process. Developer concentration, composition and machine variable have a pronounced effect on develop results regardless of whether spray or immersion development is used. The use of in line infrared ovens for the soft bake step appears feasible as another part of automating positive resists processing. As a consequence composition of developer solution needs to be controlled closely if consistent dimensional control is to be achieved. Some differences in optimum expose-develop relations can be expected in comparing infrared (IR) baking with convection baking. Wafer to wafer within cartridge uniformity of spray developed images appears comparable for both convection and infrared baking. The methods proposed for different photoresists are considered to combine the effectiveness of controlled spray development with minimal usage of developing fluid. Completely with IR soft bake the methods can be useful for all microelectronics fab.
New process for resist removal after lithography process using adhesive tape
Tatsuya Kubozono, Yutaka Moroishi, Yoshio Ohta, et al.
A novel concept for the resist removal after the photolithography in the wafer process is introduced. This new process is to peel off the resist layer with a special adhesive tape. A very little contamination on wafers is confirmed. Especially the metal contamination with this new process is very little compared with conventional O2 plasma ashing. Therefore the electrical properties of oxide layer and substrate are significantly improved. This new process should be very effective for the production of front-edge devices because of this improvement. In this paper, along with these observations, the mechanism of the removal is discussed. The monomer in the adhesive layer migrates into the resist layer and the two layers consolidated together. Very fine microanalysis technique to detect this phenomenon in situ is also explained.
Antireflective Layers: Materials, Applications, and Performance
icon_mobile_dropdown
Highly absorbing ARC for DUV lithography
The properties of a new anti-reflective coating for 248 nm lithography are described. It is formed by thermally cross-linking a spin-on organic coating, and has an absorbance greater than 12/micrometers. It is compatible with UVIIHS and APEX-E photoresists. Thin films (less than 600 angstrom over silicon substrates) are found to completely suppress standing waves, to reduce EO swing curves to less than 3%, and to offer good CD control over typical field oxide topography. The etch rate was found to be comparable to that of the APEX-E photoresist.
Latitude of the BAR process compared to the monolayer and TAR processes for 0.35-um design rules at gate level
Sandrine Andre, Andre P. Weill
The aim of this paper is to investigate experimentally the performances of three photolithographic processes, the monolayer, AQUATARR and BARLiR processes, for 0.35 micrometer patterning using the exposure-defocus (E-D) tree technique. Parameters of practical importance are considered such as exposure latitude and depth of focus for dense and isolated features, and their overlapping latitudes, i.e. the proximity effect. The influence of the resist thickness (minimum and maximum incoupling thicknesses) and the impact of a 50 nm sizing of the mask have also been evaluated. Using the BARLiR process, significant improvements have been demonstrated for proximity and CD swing effects. The results highlight the problems of pushing i-line lithography to the 0.35 micrometer regime and demonstrate that, to achieve acceptable CD control, a BAR process must be used.
Critical dimension control for i-line 0.35-um device using a new antireflective coating
Daniel Claire Baker, Elliott Sean Capsuto
Because of the tighter linewidth control requirements for 0.35 micrometer gate, adequate critical dimension control could not be achieved with a single layer photoresist. A top-side anti-reflective coating (TARC) was found to have limited line width control benefit and did not effectively reduce reflective notching. Since TARC or a single layer resist system could not adequately control linewidth variation a joint project between a bottom-side anti-reflective coating (BARC) supplier and user was undertaken to develop a new generation i-line material to address the gate linewidth control and reflective notching issues seen at 0.35 micrometer design rules. The newly developed BARC has improved optical properties compared to previous i-line compatible material as well as improved manufacturability. The new BARC is spin cup compatible with photoresist, is compatible with standard edge bead removal chemicals, has low defect density as spun, and is room temperature stable. Also, the spun material is resistant to chemical intermixing with a variety of resists having various safe solvent systems. This leads to excellent resist profiles. The joint development approach allowed quick selection of the best BARC material, optimization of the material's formulation and also timely verification of the performance for an actual 0.35 micrometer application. Prolith/2TM reflectivity simulations were used to predict and then verify optimum BARC process setup. Swing curve simulations were compared to actual product intradie linewidth variations for single layer resist, TARC, and BARC processes. Profiles for several resists on different BARC thicknesses were studied for image quality, resolution, and linewidth control.
Enhanced i-line lithography using AZ BARLi coating
T.-S. Yang, Taeho H. Kook, W. A. Josephson, et al.
A combined wet/dry develop process using AZR 7800 resist is described which achieves final resolution of 0.25 micrometer lines and spaces after transfer into the semiconductor substrate. The process utilizes AZR BARLiTM bottom coating both as an antireflective and as an etch enhancement layer. Features which are not resolved after the wet development step can be transferred linearly in the dry development step, which allows the introduction and removal of lithographic bias in a feature-size independent way. The resist process used employs very short bake times at high temperatures to achieve improved resist densification, which leads to substantial gains in thermal stability.
Removable organic antireflection coating
John L. Sturtevant, Linda J. Insalaco, Tony D. Flaim, et al.
Preliminary studies with Brewer Science CD9 ARC have shown that high-intensity ultraviolet exposure results in significant changes in film properties, including thickness, plasma etch resistance, and develop rate. This process has been studied over a range of temperatures and exposure conditions, and their results are interpreted in terms of competing polymer main chain scission and crosslinking reactions. The process represents a path to improved etch performance, and the possibility exists for use of Brewer ARC in a bi-layer portable conformable mask resist scheme.
Optimization of i-line resist process for 0.5-um polysilicon gate structures using a top-coat layer
Jean-Paul E. Chollet, Marie-Therese Basso
For the manufacturing of 0.5 micrometer devices and below, the control of the gate CD must be accurate to guarantee the yield of circuits. To overcome the swing curve effect, a dyed resist gives a partial answer. The profiles and the resolution of the resist are decreased. The reduction of swing curve is not adequate. A top antireflective coating (TARC) is a recent industrial solution. The process is not complex, the throughput is maintained and there is not a modification of the hardware of the track. The thickness of the resist coated over the topography (LOCOS 250 nm) is however not equal. Consequently, the thickness of the TARC AQUATAR must be adjusted to 64 nm over topography to the minimum swing ratio (R1 reduced to zero). This paper studies the influence of the thickness of AQUATAR and the thickness of the resist on the final CD after etching over topography. To minimize the CD range of gate structure due to swing curve effect it is found necessary to modify the thickness of top arc from 64 nm to 45 nm over topography.
Modeling of bottom antireflection layers: sensitivity to optical constants
Bottom anti-reflective layers provide a number of benefits including significant reduction in swing curve amplitude and reflective notching. The key to understanding how a bottom antireflective layer improves lithography lies in the interaction of the thin film system with the exposing radiation. Bottom antireflective layers function primarily via their absorption which is significantly larger than that of the overlying photoresist at the actinic wavelength. In the simplest physical picture, a bottom antireflective layer must be thick enough to effectively extinguish radiation that has passed through it twice, with the turning point being at the substrate/bottom antireflective layer interface. It might therefore seem that the larger the bottom antireflective layer absorption coefficient, the better the performance. More precise studies show that this simplistic view is incorrect. We have modeled the general photoresist/bottom antireflective layer/substrate film stack using the standard theory of thin film optics. It follows from the complete mathematical model that at very high absorption coefficients, bottom antireflective layers may act as mirror elements of their own. Reflection from the bottom antireflective layer/photoresist interface comes both from differences in the absorption coefficients of the two materials (the dominant effect), as well as from differences in the refractive indices. Theory therefore predicts an optimum set of optical constants for every desired film thickness range, a relationship which can be summarized in simple contour diagrams.
Deep-UV Resist Processing
icon_mobile_dropdown
Calibration of chemically amplified resist models
Chemically amplified (CA) resists are expected to provide the majority of the lithography capability for 0.25 micron feature sizes. The sensitivity of CA resists to processing conditions makes implementation of a resist system very dependent on the tool set (stepper, track, etc.) used. Modeling of these systems can be useful in optimizing a process for a particular tool set. However, the modeling parameters are also sensitive to the target tool set. Variations in dose calibration between different steppers, the differing temperature ramps found in contact and proximity bakes, and batch to batch variations between resist materials are examples why resist model parameters require calibration to each tool set. An ideal calibration procedure would entail in-situ measurement techniques at each processing step. The techniques would analytically determine chemical, physical, and kinetic quantities relevant to the resist system and processing conditions. Methods previously used have included interferometric measurement of photobleaching, FTIR measurement of the deprotection extent, in-situ DRM measurements, etc. Unfortunately, few if any fabs are equipped with the necessary in-situ techniques for complete model calibration.
Examination of isolated and grouped feature bias in positive-acting chemically amplified resist systems
DUV positive acting chemically amplified resists are imaged by exposure to light, to generate acid, followed by exposure to heat, to activate acid catalytic deprotection of the resin. There are four mechanisms for imaging the photoresist polymer. The mechanism that dominates depends on the temperature range being examined. The lowest temperature region is effectively non-catalytic. The next three regions are catalytic. The lithography for each one of these regions is unique. In the lowest temperature catalytic region, the isolated line features require more exposure than grouped lines to attain target sizing. In the next higher temperature region, the relative sizing for the two types of lines are reversed. The highest temperature region is a result of thermal degradation of the polymer. This paper reviews experimental isolated line to grouped line bias dependence on PEB temperature for an acid catalyzed resist; and then, using experimentally determined modeling parameters, and the lithographic simulator, PROLITH/2, interprets this phenomena to be a result of the degree of acid diffusion within each catalytic region.