Electron-beam and x-ray lithographic characteristics of the optical resist ARCH
Author(s):
Anthony E. Novembre;
Regine G. Tarascon-Auriol;
Omkaram Nalamasu;
Linus A. Fetter;
Kevin J. Bolan;
Chester S. Knurek;
Norbert Muenzel;
Heinz E. Holzwarth
Show Abstract
The multi-component, positive acting, chemically amplified deep-UV ((lambda) equals 248 nm) resist ARCH, has been evaluated for use in proximity x-ray and electron-beam lithography. Characterization of the x-ray lithographic response of ARCH resist using a pulsed laser point source ((lambda) equals 1.4 nm) proximity print stepper resulted in a process producing sub-0.20 micrometers features at a dose of 22 mJ/cm2. A time delay study was conducted in the helium environment of the x-ray exposure tool and the results indicate that a > 30 min delay period after exposure produced no change in the resist image profile. Electron- beam exposures using a JEOL JBX 5D-II tool operating at 50 KeV delineated patterns below 0.10 micrometers on silicon. The measured exposure sensitivity was in the range of 8-16 (mu) C/cm2. Time delay experiments performed in the vacuum environment of the e-beam exposure tool over a 15 hr. time period resulted in no line size variation. These results suggest that ARCH resist represents a common material platform for the various advanced lithography programs currently under investigation for fabrication of circuits having
Fabrication of 64-Mb DRAM using x-ray lithography
Author(s):
Ronald DellaGuardia;
Chet Wasik;
Denise M. Puisto;
Robert H. Fair;
Lars W. Liebmann;
Janet M. Rocque;
Steven C. Nash;
Angela C. Lamberti;
George J. Collini;
R. French;
Ben R. Vampatella;
George G. Gifford;
V. Nastasi;
Phil Sa;
F. Volkringer;
Thomas Zell;
David E. Seeger;
John M. Warlaumont
Show Abstract
This paper describes results achieved from the fabrication of 64Mb DRAM chips using x-ray lithography for the gate level. Three lots were split at the gate level for exposure with either Micrascan 92 at IBM's Advanced Semiconductor Technology Center (ASTC) or x-ray at the Advanced Lithography Facility (ALF) containing a Helios super-conducting storage ring and a Suss stepper. The x-ray mask was fabricated at MMD (Microlithographic Mask Development Facility) as a two-chip mask containing one chip which had zero defects. To achieve adequate overlay performance between the x-ray exposed gate level and previous optically- printed levels, the mask was fabricated with an intentional magnification correction. The alignment scheme for both Suss and Micrascan was first order to an ASM zero level, and second order to each other. Results from the first lot show 90% of the chips tested achieved a +/- 140 nm target for the Suss to Micrascan overlay. Critical dimension control (across wafer and across chip) was measured and found to be comparable between Suss and Micrascan. Electrical performance was comparable to the optical wafers. Chips were fabricated with zero defects in many of the 1 Mb segments. There were also x-ray fabricated chips which demonstrated 63 Mb addressable bits.
Sub-0.1-um NMOS transistors fabricated using point-source x-ray lithography
Author(s):
Gee E. Rittenhouse;
William M. Mansfield;
Avi Kornblit;
David N. Tomes;
Raymond A. Cirelli;
John Frackoviak;
George K. Celler
Show Abstract
As transistor features shrink into the deep submicron range, a corresponding reduction in the optical wavelength used to pattern such features has also continued. Currently, advanced optical steppers found in ULSI production applications operate at a wavelength of 365 nm with 248 nm optical lithography present in process development facilities and 193 nm lithography in the early stages of research. By reducing the wavelength still further to below 1.5 nm, x-ray lithography represents the ultimate limit of this paradigm. In this paper we present the experimental results of the first MOSFETs ever fabricated using a laser plasma-source x-ray stepper. These transistors were patterned using a mix-and-match lithography scheme where the gate level was printed using a 1.4 nm plasma-source x-ray stepper while the other layers were patterned using an optical stepper operating at a wavelength of 248 nm (DUV). The minimum gate length of these transistors is 0.12 micrometers with an effective channel length of 75 nm.
Micromachining using Helios
Author(s):
Dean Morris;
Andreas Schmidt;
Raul E. Acosta;
Massimo Gentili;
Romano Maggiora;
David E. Andrews
Show Abstract
Micromachining using Deep Etch Lithography (the LIGA process) has been the subject of intensive research since the 1980's. Efforts are underway to produce a wide variety of microstructure products, from fuel injectors in the automobile industry to micropumps for high precision fluid delivery in the medical industry. This paper describes a successful demonstration of the patterning step of this process that was recently performed at the IBM Advanced Lithography Facility, East Fishkill, on Helios, the Oxford Instruments 0.7 GeV compact synchrotron. A number of test microstructures were produced in PMMA resist, including waveguides, micromotor components and miniature optical components. The critical dimensions in some of these test samples were below 2 micrometers . The required exposure times ranged from a few minutes for small areas, to a few hours for the largest areas (60 X 100 mm2) and thickest resists (500 micrometers ). The spectral requirements for achieving the desired results in varying thickness resists are discussed. The demonstration showed that Helios is well-suited as a source for micromachining, despite the fact that it was designed as an optimized source for conventional x-ray lithography, which requires a lower x-ray energy.
Magnification correction for proximity x-ray lithography
Author(s):
Alek C. Chen;
J. P. Silverman
Show Abstract
A magnification mismatch between exposures levels represents a significant source of potential overlay errors in proximity x-ray lithography. We describe an approach using mechanical forces applied to the x-ray mask to adjust the relative magnification of the mask and wafer. Experiments have been performed which demonstrate the potential for magnification adjustment of more than 10 ppm. In addition, finite element modeling been used to understand the experimental results and develop an optimized arrangement of forces so as to provide magnification adjustment while adding virtually no distortion.
Quantitative evaluation of in-plane deformation due to mask holding in x-ray lithography
Author(s):
Takashi Hifumi;
Tohru Itoh
Show Abstract
We report the evaluation of tool-holding deformation using the displacement between the X-ray mask pattern and the pattern replicated on a wafer. The origins of the displacement between the mask and replicated pattern are wafer-holding deformation, run-out, thermal effect and mask-holding deformation. To estimate in-plane deformation (IPD) due to wafer holding, we develop a numerical method, where conservation of distance along a neutral plane is taken into consideration. Using this method, the displacement between the mask and replicated pattern becomes free of IPD due to the difference in wafer out-of-plane deformation (OPD). We also evaluate run-out and thermal effect using two kinds of masks with high and low absorber coverage, and obtained run-out values of 1.7 nm/micrometers horizontally and 2.8 nm/micrometers vertically. The residual displacement equals IPD due to mask holding on the exposure tool after eliminating the IPD due to wafer holding, run- out and thermal effect. We apply these procedures to the following two masks: Si substrates adhered to a frame along the entire periphery and those adhered to frame at one point. IPD due to mask holding with one- point adhesion is not observed within the accuracy of the analysis.
Performance of IBM's EL-4 e-beam lithography system
Author(s):
James D. Rockrohr;
R. Butsch;
W. A. Enichen;
Michael S. Gordon;
Timothy R. Groves;
John G. Hartley;
Hans C. Pfeiffer
Show Abstract
IBM's latest electron beam mask maker, EL-4, is online at IBM's Advanced Mask Facility (AMF) in Essex Junction, Vermont. The EL-4 system is a 75KV shaped beam lithography system utilizing a Variable Axis Immersion Lens (VAIL) designed to produce 1X or NX masks for 0.25 micrometers lithography ground rules, extendable to 0.13 micrometers . It is currently producing NIST-style X-ray membrane masks with pattern sizes over 30 X 30 mm2. This paper will give a brief description of the EL-4 tool and its operating features, specific measures used to enhance tool stability and accuracy, and measurement data from masks recently produced on the tool.
0.25-um lithography using a 50-kV shaped electron-beam vector scan system
Author(s):
Mark A. Gesley;
Terry Mulera;
C. Nurmi;
J. Radley;
Allan L. Sagle;
Keith P. Standiford;
Zoilo C. H. Tan;
John R. Thomas;
Lee Veneklasen
Show Abstract
Performance data from a prototype 50 kV shaped electron-beam (e-beam) pattern generator is presented. This technology development is targeted towards 180-130 nm device design rules. It will be able to handle 1X NIST X-ray membranes, glass reduction reticles, and 4- to 8-inch wafers. The prototype system uses a planar stage adapted from the IBM EL-4 design. The electron optics is an 50 kV extension of the AEBLE%+TM) design. Lines and spaces of 0.12 micrometers with < 40 nm corner radius are resolved in 0.4 micrometers thick resist at 50 kV. This evolutionary platform will evolve further to include a new 100 kV column with telecentric deflection and a 21-bit (0.5 mm) major field for improved placement accuracy. A unique immersion shaper, faster data path electronics, and 15-bit (32 micrometers ) minor field deflection electronics will substantially increase the flash rate. To match its much finer address structure, the pattern generator figure word size will increase from 80 to 96 bits. The data path electronics uses field programmable gate array (FPGA) logic allowing writing strategy optimization via software reconfiguration. An advanced stage position control (ASPC) includes three-axis, (lambda) /1024 interferometry and a high bandwidth dynamic corrections processor (DCP). Along with its normal role of coordinate transformation and dynamic correction of deflection distortion, astigmatism, and defocus; the DCP improves accuracy by modifying deflection conditions and focus according to measured substrate height variations. It also enables yaw calibration and correction for Write-on-the FlyTM motion. The electronics incorporates JTAG components for built-in self- test (BIST), as well as syndrome checking to ensure data integrity. The design includes diagnostic capabilities from offsite as well as from the operator console. A combination of third-party software and an internal job preparation software system is used to fracture patterns. It handles tone reversal, overlap removal, sizing, and proximity correction. Processing of large files in a commercial mask shop environment is made more efficient by retaining hierarchy and using parallel processing and data compression techniques. Large GDSIITM and MEBES data files can be processed. Data includes timing benchmarks for a 1 Gbit DRAM on both proximity and reduction reticles. The paper presents 50 kV results on silicon and quartz substrates along with examples of overlay to an external grid, field butting, and critical dimension (CD) control data. Selective experiments testing system stability, calibration accuracy, and local correction software implementation on a VAX control computer are also given.
New electron optical column with large field for nanometer e-beam lithography system
Author(s):
Hiroya Ohta;
Takashi Matsuzaka;
Norio Saitou
Show Abstract
An electron beam lithography system for nanometer devices has been developed. The target specifications of the system are a Gaussian beam diameter of 10 nm and a beam current of 1 nA, an acceleration voltage of 50 kV, a 500 micrometers X 500 micrometers deflection field and an overlay accuracy of 10 nm (3(sigma) ). To realize such high performance, the following two technologies have been developed for the design of the electron optical column: (1) a low aberration objective lens system with a one stage electrostatic deflector and (2) a thermal field emission (TFE) gun system with a low energy spread and a high brightness Zr/O/W cathode. The exposed results shown are a 30 nm isolated line and a 40 nm lines and spaces. An overlay accuracy of 10 nm are also obtained. This system is capable of being put into practical use in the fabrication of nanometer devices.
Conductivity and reproducibility of e-beam-induced deposited tungsten lines
Author(s):
Klaus T. Kohlmann-von Platen;
L. Schmidt;
Wilhelm H. Bruenger
Show Abstract
The e-beam induced deposition process with the precusor gas W(CO)6 is investigated with the aim of improving the conductivity of the deposits. For this purpose, lines are deposited on KELVIN structures of gold on siliconoxide. A non-ohmic behavior is observed, if the connection of the deposits to the gold is incomplete. The reproducibility of the process mainly depends on the stability of the current density. Keeping the current density constant, the deviations of the conductivity are less than 20%. By increasing the dwell time and by lowering the beam energy and the beam step size (BSS), the specific resistance decreases down to 10-3 (Omega) cm. We conjecture that at a beam energy of 1 keV and a current density of 60-70 A/cm2 the conductivity and the reproducibility might further be improved.
Exposure and characterization of superstructure gratings for DBR lasers generated by direct write electron-beam lithography
Author(s):
Ralf Steingrueber;
Herbert Engel;
R. Loeffler;
C. Sakkas
Show Abstract
Semiconductor lasers with a wide tuning range can be fabricated with the use of super structure gratings (SSG). In this paper we give a short introduction to SSGs, the technical problem of their realization and the existing techniques to generate SSGs. A method is presented to generate 'true' continuously chirped gratings overcoming the technical restrictions. Furthermore we make a proposal how to characterize SSGs in resist profiles.
High-energy (100-keV) e-beam lithography applied for fabrication of deep-submicrometer SAW devices on lithium niobate and quartz
Author(s):
Christine A. Kondek;
Louis C. Poli
Show Abstract
Fabricating submicron feature size Surface Acoustic Wave (SAW) devices on Lithium Niobate and Quartz allows one to take advantage of their unique piezoelectric material properties and operate at higher frequencies. With the recent availability of high performance, high energy e-beam nanowriter tools such as the Leica/Phillips EBPG-HR5 resident at this facility, SAW devices with very narrow line/space transducer gratings can be investigated. Utilizing very high energy (100 keV) direct write electron beam lithography (EBL), allows for processing of deep submicron features with an associated wider process latitude. This is specially desirable when applying EBL to high average Z materials such as lithium niobate. A previously presented paper demonstrated 400 and 500 nm line/space interdigitated transducer fingers on quartz and lithium niobate substrates. E-Beam lithography (30 keV) was used with two and three level, positive and negative tone processes respectively. In this current work a bilevel positive tone process is used by the authors, and involves first spinning a preparation of (1:1) ZEP-320-37 (Nagase Chemical) positive e-beam resist. A commercially available conductive polymer known as TQV-501 (Nitto Chemical) is then spun onto the wafer and serves as a charge removal vehicle. The TQV-501 film is removed by the development procedure. Xylene is used as the developer. Contact pads and interdigitated transducer elements are realized by e-beam metal deposition and lift off process. We will show a direct write positive tone process for the fabrication of deep submicron (400 nM and smaller) interdigitated transducer gratings on Lithium Niobate and Quartz substrates. An improved process dose latitude is seen because of the reduced expected proximity effect at high beam energy.
Focused ion beam deposition of new materials: dielectric films for device modification and mask repair and tantalum films for x-ray mask repair
Author(s):
Diane K. Stewart;
Andrew F. Doyle;
J. David Casey Jr.
Show Abstract
Two processes have been developed to enable both focused ion beam (FIB) repair of advanced masks and FIB device modification. Silicon dioxide- based films can be deposited by rastering a focused ion beam across a surface onto which a combination of siloxane and oxygen gases have been adsorbed. The deposited material exhibits sufficient dielectric strength to be used for FIB modification of devices. Applications of FIB dielectric deposition include: (1) Local passivation. (2) Backfilling vias to allow for probing buried metal layers without contacting exposed metal layers. (3) Electrical isolation between crossed metal lines. (4) Optically transparent films for phase shift mask repair. In the first half of this paper we discuss the gas delivery system, and the material and electrical characteristics of the films, as well as describing typical device modifications using FIB dielectric films. In the second half of the paper we describe a process for deposition of tantalum- containing films using a tantalum-based organometallic precursor for repair of clear defect on X-ray masks. Although FIB gold films are adequate for repair of gold-absorber, silicon-membrane X-ray masks, gold films are not acceptable in the fab line, and tantalum is preferred for repair of either tungsten or tantalum absorber X-ray masks.
EBR900 processes in e-beam and laser beam lithographies for photomask fabrication
Author(s):
Masa-aki Kurihara;
Minoru Komada;
Hisashi Moro-oka;
Naoya Hayashi;
Hisatake Sano
Show Abstract
The performance of EBR900 (Toray) as a resist used for e-beam and laser beam lithographies has been investigated. EBR900 has high sensitivity to both e-beam and laser beam exposures. It has high resolution and good dry-etch durability. The e-beam process was optimized by designing a new type of developer, MA50, which is composed of an amine and an aqueous solution of potassium hydroxide. The addition of the amine was found to be effective in improving the performance with regard to profile, CD uniformity, and defect quality. Its performance is stable (e.g., CD deviation is less than 0.03 micrometers for a shelf time of a month); this makes CD control easier. Its CD linearity in the laser beam process is down to 0.6 micrometers , being better than that in the e-beam one (down to 1.0 micrometers ). Its dissolution rate and conversion yield of the photosensitizer were estimated in order to explain the superiority of the laser process. A comparison of its performance between e-beam and laser beam processes for next generation photomask fabrication was presented. In conclusion, EBR900 meets the requirements for 64 Mb DRAM reticles for the use in e- beam and laser beam lithographies.
Approach to fabricating defect-free x-ray masks
Author(s):
Ikuo Okada;
Takashi Ohkubo;
Yasunao Saitoh;
Misao Sekimoto;
Tadahito Matsuda
Show Abstract
A Ta absorber x-ray mask fabrication process has been developed that virtually eliminates mask defects. Since most mask defects originate in 2 micrometers thick SiN deposits, the surfaces of the deposited SiN films are polished to make them as smooth as possible. To eliminate the contamination that occurs in fabrication processes, such as back-etching of the substrate, a wet-cleaning technique is employed that uses a strong acid like H2SO4 + H2O2. By using these polishing and cleaning techniques, the defect density can be reduced to less that 5 defects/cm2. To carry out x-ray mask inspection by an electron-beam x-ray mask inspection system, die-to-die comparison of printed resist patterns is introduced. A focused ion beam x-ray mask repair system is used to repair mask defects. Clear repairs are made with Ta deposited on a Ta absorber. The repaired Ta absorber patterns have high chemical durability and are not damaged by strong acid wet- cleaning. This mask fabrication process has led to the production of virtually defect-free x-ray masks with a reasonable yield.
High-resolution repair of photomasks using a deep-UV-laser-based defect repair system
Author(s):
John W. Herman;
Yung-Ho Chuang;
Baorui Yang;
Minchuan Wang;
Stephen P. Palese
Show Abstract
A laser-based defect repair system developed at Excel/Quantronix is designed to repair photomasks with submicron resolution. The laser of the repair unit consists of a gain-switched Ti:Sapphire system configured to operate at 248 nm. The deep-UV light is obtained by frequency tripling the fundamental Ti:Sapphire wavelength. The Ti:Sapphire laser system generates pulses with temporal duration of approximately 12 ns and pulse energies up to approximately equals 50 (mu) J at repetition rates from single-shot to 500 Hz. This solid-state laser system provides good pulse-to-pulse stability and excellent beam quality, features that are necessary for high resolution repairs. The repair unit is based on a state-of-the-art air-bearing positioning system with +/- 15 nm in-position stability. The accompanying optical system is designed to include a high-resolution microscope providing transmitted light illumination (248 nm and 365 nm) and reflected light illumination. A series of CCD cameras provide high resolution viewing at various magnifications. The system capabilities include submicron repair of both clear and opaque defects in an open-air environment by laser ablation and laser-assisted photolytic deposition. The optical system for laser processing and delivery is designed for diffraction-limited performance. Clear and opaque repair results performed on binary photomasks are presented.
Recent results in the development of an integrated EUVL laboratory tool
Author(s):
Daniel A. Tichenor;
Glenn D. Kubiak;
Steven J. Haney;
Rodney P. Nissen;
Kurt W. Berger;
Richard William Arling;
Avijit K. Ray-Chaudhuri;
Khanh B. Nguyen;
Richard H. Stulen;
John B. Wronosky;
Jay D. Jordan;
Tony G. Smith;
Joel R. Darnold;
P. M. Kahle;
A. A. Jojola;
Stewart M. Kohler;
Ruben S. Urenda;
David R. Wheeler;
John E. Bjorkholm;
Obert R. Wood II;
Gary N. Taylor;
Richard S. Hutton
Show Abstract
The demand for smaller critical dimensions in advanced computer chips has driven projection lithography to shorter wavelengths. Deep ultraviolet systems, operating at 248 nm, are commercially available and 193 nm lithography is under development. This paper describes a research and development program to extend this trend to extreme ultraviolet (EUV) wavelengths, in the range of 11 nm to 14 nm. Research and development activities are concentrated in four major areas: (1) aspheric reflectors required in an advanced EUV camera, (2) surface- imaging resists having the required resolution and sensitivity, (3) defect-free reflecting substrates required for mask fabrication, and (4) a compact source of EUV radiation. Each of these issues is discussed and efforts to address them are described. An intermediate EUVL laboratory tool, currently under construction, will also be described. This system uses a 10x reduction Schwarzschild camera and a magnetically levitated wafer stage driven by a digital feedback controller. This system represents the first attempt at integrating all major subsystems into an EUVL laboratory tool, suitable for use in device fabrication experiments.
Extreme UV resist technology: the limits of silylated resist resolution
Author(s):
Gary N. Taylor;
Richard S. Hutton;
Susan M. Stein;
Craig H. Boyce;
Obert R. Wood II;
Bruno LaFontaine;
Alastair A. MacDowell;
David R. Wheeler;
Glenn D. Kubiak;
Avijit K. Ray-Chaudhuri;
Kurt W. Berger;
Daniel A. Tichenor
Show Abstract
Silylated, dry-developed resists have demonstrated superior resolution, beyond that of their solution-developed resist counterparts. However, the implementation of plasma-developed resists in the manufacture of integrated circuit devices has not reached volume fabrication despite the fact that silylated resists minimize various imaging pitfalls including thickness variations over topography, limited depth of focus, thickness or swing curve effects and reflection4nduced exposure variations. This is exemplified with deep-UV lithography at 248 nm in which silylated resists have printed 200 urn features with a 0.53 NA exposure tool. Plans for implementing silylation technology are not imminent because of the conviction that solution-developed resists will meet the specifications for 250 urn design rule devices. Yet, will actual yields using solution-developed resists be adequate, will the processing and materials costs be acceptible and will the processes be extendable to much smaller features (0.2 pm) with or without optical tricks? For silylated resists, on the other hand, the issues are the availability of production worthy equipment, the complex nature of the processing, yields which may be adversely affected by particles produced during the plasma development process, process latitude, sensitivity and cost. For extreme UV lithography (EUVL) the silylated resist issues are somewhat different. This paper examines various aspects of silylated, plasma-developed resists to determine if they have the potential of resolving 100 nm features in thick (700 nm) resist films needed for device fabrication. The starting point is DUV performance. We have used a bi!ayer resist comprised of Shipley XP-8844 n hard-baked Shipley MP-1807 to resolve 200 nm lines and spaces (l/s) in 800 nm thickness films using 72 mJ/cm doses of 248 nm light, imaging at a Rayleigh k1 value of 0.43. It has been achieved because of advanced, Si-rich silylating reagents, control of plasma development conditions in a helicon-source etcher, control of thermal processing to minimize acid catalyst migration in the chemically amplified resist and control of flow during silylation. Applying the above k1 value to imaging at 13.5 nm with (NA) 0.08 predicts a 1/s resolution of 73 nm for EUVL. In practice this can't be achieved yet, but we report here on new developments in plasma etching and silylated resist flow control that are helping to reach this goal. Certain processing phenomena may limit resolution. In the imaging layer, migration of acid catalyst during and after exposure may blur the image. During and after silylation, swelling and flow may enlarge certain regions nonspecifically. Another factor is anisotropic etching of the planarizing layer such that no undercut of the masking layer occurs even upon * Present address: Dept. of Chemical Engineering, Mass. Inst. of Technology, Cambridge, MA 02139 ** Present address: Lawrence Livermore National Laboratory, P0 Box 808, Livermore, CA 94550 overetching. A final factor is absorption, which for EUVL, is almost independent of the resist, but is sensitive to wavelength. At 13 .5 nm the absorbance is so high that only surface imaging resist schemes are likely to give high resolution imaging in thick (0.70 pm) features. This paper provides an overview of developments in each of these areas that may limit silylated resist technology. Methods are described that minimize silylated resist flow, uniformly and reproducibly remove Si surface residue from exposed areas and reduce undercut during plasma developmentand overetch. Usin these methods we were able to obtain the first thick film images of sub 200 nm 1/s at reasonable EUV doses of 24 mJ/cm . The incremental understanding that has contributed to these results is discussed. Keywords: lithography, EUV, resists, silylated, plasma develop
Printability of substrate and absorber defects on extreme ultraviolet lithographic masks
Author(s):
Khanh B. Nguyen;
Avijit K. Ray-Chaudhuri;
Daniel A. Tichenor;
Richard H. Stulen;
Rodney P. Nissen;
Kurt W. Berger;
Phillip H. Paul;
Donald M. Tennant;
Linus A. Fetter;
David L. Windt;
John E. Bjorkholm;
Richard R. Freeman
Show Abstract
This paper reports results from a study of defect printability for extreme ultraviolet lithographic masks (EUVL). Imaging experiments were preformed with an EUVL mask containing programmed defects. The mask contained defects of different sizes and locations with respect to the absorber patterns. Since an EUVL mask consists of absorber layer patterned above a multilayer-coated substrate, both substrate defects, located below the reflective coating, and absorber defects, located above the reflective coating, were included on the programmed defect mask. The absorber layer was patterned using a process previously described by Tennant et al. Imaging was performed using a 10X Schwarzschild camera operating at 13.4 nm with a numerical aperture of 0.08, corresponding to a Rayleigh resolution of 0.1 micrometers . This system has an effective exposure field of 0.4 mm diameter. Both positive-tone and negative-tone resists were used. Measurements of the defect-induced linewidth variations on the printed resist lines were performed with scanning electron microscopy (SEM) and atomic force microscopy (AFM). Results show that substrate defects are more printable compared to defects of the same sizes located above the reflective coating. In addition, defects located in the center of a clear region in lines-and- space pattern are more printable compared to those located nearer to the absorber lines.
At-wavelength testing of optics for EUV
Author(s):
Kenneth A. Goldberg;
H. Raul Beguiristain;
Jeffrey Bokor;
Hector Medecki;
Keith H. Jackson;
David T. Attwood Jr.;
Gary E. Sommargren;
James P. Spallas;
Ralph E. Hostetler
Show Abstract
Optical systems for extreme ultraviolet (EUV) lithography require optical elements with wavefront aberrations limited to a fraction of an EUV wavelength to achieve diffraction-limited performance. Achieving wavefront and surface figure metrology at this level of accuracy is one of the key challenges in the development of EUV lithography. We have successfully built and operated a prototype EUV point diffraction interferometer which is capable of performing wavefront measurement of EUV optical elements at their operational wavelength. Initial experiments to characterize the interferometer, and to measure the optical wavefront diffracted from a Fresnel zone plate lens are discussed.
Characteristics of the x-ray/EUV emission from spherically pinched and vacuum spark sources
Author(s):
Liyan Zhang;
Emilio Panarella;
B. Hilko;
Haibo Chen
Show Abstract
In this paper we describe the performances of two kinds of high-flux radiation sources that have been developed at Advanced Laser and Fusion Technology, Inc. The first kind is the spherical pinch which exploits the principle of spherical convergence of strong shock waves in noble gases to generate a hot plasma at the center of a spherical vessel. The temperature of the central plasma can be high enough for emission of broadband radiations from the UV to the soft X-ray region of the spectrum. The second kind is the vacuum spark in which a capacitor is discharged through two properly shaped electrodes in a high vacuum. During the discharge minute spots of hot plasmas are formed on or around the electrodes and strong line radiation (characteristic of the electrode materials) can be generated in the soft X-ray region. High repetition rate operation of the vacuum spark may lead to the dosage required by the submicron lithography technology.
Geometry of x-ray point source proximity printing, Part I: linewidth control.
Author(s):
Peter A. Hollanda
Show Abstract
In this paper the relationship between exposure geometry and intrafield linewidth variations is investigated. The results indicate that (1) minimum linewidth occurs at the center of field where the optical axis intersects the mask/wafer planes, (2) width of vertical and horizontal lines increase linearly with site coordinates x and y, respectively, (3) source-mask field centering errors increase the intrafield linewidth variation, (4) linewidth measurement accuracy is site-dependent because of sloping effect that vary with x and y but remain constant along a column or row, and (5) current intrafield linewidth data characterizing linewidth control in x-ray point-source proximity printing systems.
Experimental study of proximity effect corrections in electron-beam lithography
Author(s):
Jianguo Zhu;
Zheng Cui;
Philip D. Prewett
Show Abstract
The computer aided proximity effect correction program CAPROX has been used to correct structures written at 20 keV electron beam energy. A new method has been developed to determine proximity parameters for a given e-beam/resist/substrate system. Proximity parameters for PMMA and EBR-9 and chemically amplified negative resist AZPN114 have been determined, and compared with those obtained from the established 'doughnut method'. The new method provides more reliable and accurate values, particularly for the forward scattering range. The dependence of (alpha) , (beta) and (eta) on resist thickness was also measured and the sensitivity of proximity correction using CAPROX to variation in all three scattering parameters was demonstrated. The new method improves CD linewidth linearity and accuracy in the range 0.1 approximately equals 4.0 micrometers , achieving linewidth for both lines and gaps within 100 nm of designed size.
Assessment of silicon carbide x-ray mask overlay performance in the IBM Advanced Lithography Facility x-ray stepper
Author(s):
Kurt R. Kimmel;
Alek C. Chen;
Lynn A. Powers;
Ben R. Vampatella
Show Abstract
This paper presents the results of a study to explicitly assess the performance of silicon carbide masks by directly measuring overlay accuracy and precision of exposures made on a state-of-the-art commercially available x-ray stepper, the Suss XRS200/3. The work was done using a mask fabricated at IBM from silicon carbide coated wafers obtained from HOYA Electronics Corp. with exposures completed at IBM's Advanced Lithography Facility (ALF) using synchrotron-generated radiation. The mask pattern design contains many overlay measurement fiducials, resolution patterns, and alignment verniers, and two sets of three alignment marks: one set inboard (kerf) and one set outboard. The performance of an imaging-based alignment system, such as the ALX system on the Suss XRS200/3 steppers, varies depending upon the optical characteristics of the alignment marks on the mask and wafer.
Application of smoothing techniques to relief-type resist surfaces generated by direct write electron-beam lithography
Author(s):
Herbert Engel;
Joerg Wengelink;
Ralf Steingrueber
Show Abstract
Complex relief-type resist surfaces are of increasing interest for applications in optical and photonic devices. They can be fabricated by using direct write electron beam lithography. The crucial point in the fabrication of such reliefs is the inexact approach to the desired resist profile and the increased roughness of the resist surface. This work focusses on three important steps towards a better realization of smooth profiles, i.e. an improvement in beam position accuracy, a reduction of exposure errors caused by the insufficiency of the conversion software and a smoothing technique applied after development. The introduced techniques are expected to considerably improve the functionality of relief type devices.
Low-voltage electron-beam lithography linked to photolithography
Author(s):
Lothar Bauch;
Monika Boettcher;
Ulrich Haak;
Ulrich A. Jagdhold
Show Abstract
An advanced resist process is introduced connecting the high resolution of low voltage electron beam lithography (LV-EBL) and the high productivity of photolithography using a surface imaging technique. A method to overlay these two exposures will be introduced. A pattern transfer below 100 nm is achieved.
Creation of a topology in metal phthalocyanine layers
Author(s):
Elena Fedorovna Reznikova;
Stalina A. Prokhorova;
T. V. Basova;
B. M. Ajupov;
Vladimir P. Naz'mov;
I. A. Makarov;
Igor K. Igumenov;
Jurij H. Krieger
Show Abstract
The influence of synchrotron radiation on the vacuum-deposited layers of copper and aluminum phthalocyanines was investigated. For creation of patterns in these layers the x-ray radiation exposing and vacuum thermal development were used. It was established that the layers of copper phthalocyanine possess the properties of both positive and negative vacuum x- ray resist upon radiation exposure of 5 kJ/cm3 and 35 kJ/cm3 accordingly. The layers of aluminum phthalocyanine possess the properties of only negative vacuum x-ray resists. The possibility of creating submicron topology in layers of metal phthalocyanines has been demonstrated.
Master masks for big patterns by electron-beam lithography
Author(s):
Vladimir A. Zlobin;
V. I. Mamonov;
Olga G. Vasiljeva
Show Abstract
Modern technologies for power semiconductor devices, laser and micro optics, micromechanics requires microlithography of patterns having a large are up to 100 cm2 with complicate precise drawing. The electron beam lithography (EBL) tools with variable shape beam have good prospects for this purpose, but their application has a few problems in case of the tasks pointed above. The main problems are a great volume of information and a large exposure time of such patterns. We propose the system for preparation of the exposure data having more than 100 MB volume that consists from set of personal computers, network adapters, and software. The preparation of graphic information and exposure strategy are presented. The optimum exposure conditions are determined by program modeling the exposure process in dependence on the statistic distribution of sizes of EBL figures. Our method permits to decrease the exposure time in several times under certain conditions and brings that nearer to theoretical limit Tmin equals SD/IBmax, where Tmin is minimum exposure time, S is exposure area, D is dose density, IBmax is maximum beam current. This approach is valid if the basic factor limiting the writing speed is IBmax. The developed computer system and writing strategy was applied us for mask making on modified ZBA-21 tool. These masks were meant for production of power semiconductor and laser optics devices.
Study of EUV contact lithography with a compact laser plasma source
Author(s):
Yubin Guo;
Futian Li
Show Abstract
It is performed by optimizing the source that experiments to demonstrate the feasibility of a small laser for EUV contact lithography. Using copper, steel and tungsten targets, the depth of development of DCPA resists exposed through 50 1/mm, 100 1/mm Cu free-standing nets are obtained for times from 10 to 40 min. A method of adding a pinhole is used to estimate the qualities of pattern of developed resist.
Resolution and components of critical dimension variation in x-ray lithography
Author(s):
Kathleen Early;
David Trindade;
Quinn J. Leonard;
Franco Cerrina;
Klaus Simon;
Mark A. McCord;
Daniel J. DeMay
Show Abstract
We report on an IC lithographic resolution study in which APEX-E resist on polysilicon coated wafers was exposed to synchrotron x radiation through a high-resolution mask that contained Au-electroplated features ranging in size from 0.5 down to 0.15-micrometers . Exposures were made at mask-to-substrate gaps ranging from 20 to 35 micrometers and at doses from 100 to 134 mJ/cm2. We probed the wafers with an SEM, both before and after etch, and electrically. From the electrical linewidth probing, we found that for isolated lines and 1:2 L:S patterns the feature widths were linear down to 0.18-micrometers . For the 1:1 and 2:1 L:S arrays, the widths were linear down to 0.25-micrometers . Dense and isolated lines down to 0.25-micrometers exhibited +/- 15% dose latitude over a 10-micrometers gap range. Contact holes were examined only by SEM. The smallest size that printed was nominally 0.225 micrometers , but was measured to be 0.20-micrometers after etch. Critical dimension uniformity, calculated with each feature type allowed its own mean value, was approximately equals 40 nm (3(sigma) ), including intrafield and across wafer variation. The mask CD uniformity was approximately equals 30 nm (mean + 3(sigma) ). The wafer-to-wafer CD variation was found to be 6 nm (3(sigma) ) and the electrical test-to-test CD variation was 3 nm (3(sigma) ). We use regression analysis to separate the component of CD variation that is assignable to intrafield form that assignable to interfield. The regression analysis to separate the component of CD variation that is assignable to intrafield from that assignable to interfield. The regression analysis indicates that these components of CD variation are systematic rather than random. The main contributor to the interfield component may be polysilicon etch. The intrafield error is believed to be caused predominantly by beamline nonuniformity and not by errors on the 1x mask.
Repair of photo- and x-ray masks by LCVD using nitrogen laser
Author(s):
Elena Fedorovna Reznikova;
Vladimir V. Chesnokov;
Galina I. Zharkova;
Oleg A. Makarov;
Vladimir P. Naz'mov;
Igor K. Igumenov
Show Abstract
The use of laser-induced chemical vapor deposition (LCVD) of chromium and rhenium film patterns for reporting of photomask defects and LCVD of rhenium, gold and platinum film pattern for repairing similar defects of X-ray masks was demonstrated. Initial compounds were Cr(CO)6, Re2(CO)10, Me2Au(dpm) and Pt(hfa)2. The high marginal sharpness and the thickness uniformity of deposited films was provided by the use of powerful nanosecond pulse laser, the protective system for a delineation of a irradiation zone and the laser beam microscanning in limits of this zone. The scheme of the set for the direct laser deposition of film micropatterns was presented.
Toward a commercial gas field ion source
Author(s):
William B. Thompson;
A. Armstrong;
S. Etchin;
Raymond Hill;
Sigfried Kalbitzer;
R. Percival;
A. Saxonis;
Christoph Wilbertz
Show Abstract
Focused ion beam systems have traditionally used liquid gallium as the ion source material. It may now be possible to have high current density focused beams of gas ions like hydrogen, helium, neon, and oxygen. This paper discusses the progress recently made toward the commercialization of an alternative to gallium, the gas field ion source, or GFIS.
Transfer mask for high-aspect-ratio microlithography
Author(s):
Yuli Vladimirsky;
Olga Vladimirsky;
Volker Saile;
Kevin J. Morris;
J. Michael Klopf
Show Abstract
For conventional patterning (optical or x-ray microlithography and micromachining) a mask with a substrate reasonably transparent to desired radiation is used. A new technique - transfer mask or sacrificial patterning - is described in this paper. The technique is based on forming absorber pattern directly on the surface of the sample. This method is suitable for any radiation (visible light, UV, X-rays, electron and ion beams) and allows use of a conventional master masks (optical or x-ray) with low, medium, high (submicron) resolution to achieve patterns with desired aspect ratio. Multiple exposures and sequential developments can produce patterns with extremely high aspect ratio. New lithography techniques, such as in-situ development, UV and x-ray radiation assisted chemistry (etching and deposition), can be easily realized by using this transfer mask technique. Forming the transfer mask directly on the sample opens new possibilities not available with the conventional masks: exposure of samples with curved surfaces and dynamic deformation of the sample surfaces during the exposure, etc.
Error measure comparison of currently employed dose-modulation schemes for e-beam proximity effect control
Author(s):
Martin C. Peckerar;
Christie R. Marrian
Show Abstract
Standard matrix inversion methods of e-beam proximity correction are compared with a variety of pseudoinverse approaches based on gradient descent. It is shown that the gradient descent methods can be modified using 'regularizers' (terms added to the cost function minimized during gradient descent). This modification solves the 'negative dose' problem in a mathematically sound way. Different techniques are contrasted using a weighted error measure approach. It is shown that the regularization approach leads to the highest quality images. In some cases, ignoring negative doses yields results which are worse than employing an uncorrected dose file.
Lithography and the future of Moore's law
Author(s):
Gordon E. Moore
Show Abstract
The definition of"Moore's Law" has come to refer to almost anything related to the semiconductor industry that when plotted on semi-log paper approximates a straight line. I hesitate to review it's origins and by doing so restrict it's definition. However, today I will review the history and past performance relative to predictions and show where the advances have come from. I will leave the future performance up to you. Certainly continuing on the same slope doesn't get any easier. It presents a difficult challenge to the industry. The original paper that postulated the first version of the "law" was an article I wrote for the 3 5th anniversary issue of Electronics Magazine in 1 965 . My assignment was to predict what was going to happen in the semiconductor components industry over the next ten years -- to 1975 . In 1965 the integrated circuit was only a few years old and in many cases was not very well accepted. There was still a large contingent in the user community who wanted to design their own circuits and who considered the job of the semiconductor industry to be to supply them with transistors and diodes so they could get on with their jobs. I was trying to emphasize the fact that integrated circuits really did have an important role to play.
Lithography for ULSI
Author(s):
Shinji Okazaki
Show Abstract
ULSI has heavily depended on developments in optical lithography. However, optical lithography is now facing a major obstacle due to exposure wavelength limitations. to overcome this obstacle, not only the use of shorter wavelengths, but also such new technologies as superresolution techniques, electron beams, and X-ray lithography are being intensively investigated. This paper reviews recent developments in these technologies and discusses the major issues. The difference in lithographic activities between Japan and the U.S. is also discussed. Finally, recent development sin lithography for experimental 1-Gb DRAMs are presented.
SEMATECH and the national technology roadmap: needs and challenges
Author(s):
Karen H. Brown
Show Abstract
In 1994, the National Technology Roadmap for Semiconductors was revised, updated to reflect the latest information, and published. Lithography continues to be a cornerstone for semiconductor process and productivity. The roadmap activity is sponsored by the SIA. The Technical Working Group (TWG), which does the actual work of updating the roadmap, is comprised of member from SEMATECH, SEMATECH FTABs, SRC, industry, universities, and government. One of SEMATECH's key goals is to insure that the activities we pursue are well-aligned to the roadmap. The Lithography roadmap makes clear the desire of semiconductor manufacturers to stay with optics as long as possible since shifts to nonoptical technology are viewed as much higher investment and risk. It is perceived that optics can be a viable manufacturing solution through at least the 0.18-micrometers generation. The TWG and SEMATECH FTAB meetings highlighted the need for greatly increased emphasis and resources on 193-nm technology to bring it to pilot production in 1998 for the 0.18-micrometers generation. SEMATECH has since worked with the industry to define more detailed needs and requirements for a successful 193 program and is working with industry and the government to insure that the technology is available when needed in manufacturing. The needs are clear...cost-effective lithography for each generation. The challenge is how to get there from here.