Proceedings Volume 2091

Microelectronic Processes, Sensors, and Controls

Kiefer Elliott, John R. Hauser, James A. Bondur, et al.
cover
Proceedings Volume 2091

Microelectronic Processes, Sensors, and Controls

Kiefer Elliott, John R. Hauser, James A. Bondur, et al.
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 15 February 1994
Contents: 6 Sessions, 41 Papers, 0 Presentations
Conference: Microelectronic Processing '93 1993
Volume Number: 2091

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • RTP
  • Cluster Processing
  • Plasma Generation, Process Development, and Damage Testing I
  • Plasma Generation, Process Development, and Damage Testing II
  • Sensors and Applications
  • Tool and Process Control
  • Sensors and Applications
RTP
icon_mobile_dropdown
Recent developments in RT-CVD technology for ULSI material processing and device fabrication: an overview
G. W. Yoon, Jin-ha Kim, Unnikrishnan Sreenath, et al.
Rapid thermal chemical vapor deposition (RT-CVD) technology is strategically important for deep submicron ULSI manufacturing because of trends towards reduced thermal budget and tightened process control requirements on large diameter Si wafers, and has thus received considerable attention. In this paper, we will review the significant benefits provided by a novel in-situ multi-processing RT-CVD for IC manufacturing and the considerable progress made in developing RT-CVD as a integrated processing module capable of meeting the stringent requirements of ULSI device fabrication.
Benchmarking of temperature measurement and control capability of commercially available rapid thermal processor (RTP) systems
Ankineedu Velaga, Jim Brown, Donald W. Lindholm
Rapid thermal processors (RTP) have been used in the semiconductor industry mainly for silicidation and implant anneal with limited success. SEMATECH is in the process of establishing a joint development project with supplier community to improve the situation. To understand the capability of the tools, a benchmark experiment was conducted using oxidation at 1100 degree(s)C (target 90 angstroms), and Boron implant (5 E 15 20 KeV) anneal at 900 degree(s)C for 20 sec. Oxide thickness and sheet resistance were measured as an indirect capability of temperature measurement and control of the tools. The experiments in RTP were performed with `pyrometer closed-loop control' and `open-loop power control' where applicable. Both process data and temperature measurement data are analyzed and presented. The analysis of oxide thickness and sheet resistance (implant anneal) data shows that the closed-loop pyrometer control of temperature is not a viable technique to use in the manufacturing. The power control technique with the pyrometer in the open-loop (read only) demonstrates better process control and can be used until more viable technique is found. The reproducibility of open-loop power control technique for longer periods needs to be evaluated. This study demonstrates the urgent need to develop a real-time temperature measurement and control technique for the RTP tools to be accepted in the manufacturing world.
Application of RTA to a 0.8-um BiCMOS process
Robert H. Reuss
RTA has been established as a key process element in a sub-micron BiCMOS flow. The major advantage of RTA is that a temperature pulse > 1000 degree(s)C can be used to break-up the interfacial oxide in the polysilicon emitter contact to provide enhanced current gain with low-emitter resistance but with little impact on the CMOS. The RTA emitter anneal also serves to simultaneously flow BPSG to planarize the wafer prior to metallization. Contact reflow is also advantageous for a tapered structure to improve metal step-coverage.
Comparison of rapid thermal processing and furnace processing for quarter-micrometer CMOS
Badih El-Kareh, Ashwin Ghatalia, Mark D. Kellam, et al.
A comparison was made between rapid-thermal processing and furnace processing with respect to gate oxidation, polysilicon sidewall oxidation, and junction activation anneal. NMOS and PMOS structures with N+ polysilicon gates, 6.5 nm gate oxide, and 70 nm source/drain junction depths were processed in parallel, using one-mask FET test structures to define MOSFETs with channel lengths down to 0.18 micrometers . Good NMOS device characteristics and low junction leakage were observed for all experimental combinations. Rapid-thermal and furnace oxidation exhibited similar gate-oxide breakdown characteristics.
Characterization of rapid thermally grown dielectrics by surface charge analysis and atomic force microscopy
John M. Grant, Lynn R. Allen
With the advent of Rapid Thermal Processing to produce gate dielectrics, the need for quick characterization of the dielectrics has increased. The effect of the process conditions on the dielectric quality need to be considered during process development. Pre-growth cleaning processes may also affect the quality of the dielectric material. Surface Charge Analysis (SCA) and Atomic Force Microscopy (AFM) provide measurements that may be used to aid process development. In this work, SCA and AFM have been used to examine the effects of NH4OH:H2O2:H2O cleaning on (100) silicon wafers. The data indicate a correlation between surface roughness and interface trap density, with rougher surfaces having lower densities of interface traps. Also included in this work is a SCA comparison of oxides grown using Rapid thermal Oxidation in O2 and N2O ambients.
Fabrication of sub-40-nm p-n junctions for 0.18-um MOS device applications using a cluster-tool-compatible, nanosecond thermal doping technique
Kurt H. Weiner, Anthony M. McCarthy
In this paper, we introduce an alternative deep-submicrometer doping technology, Projection Gas Immersion Laser Doping (P-GILD). Representing the marriage of lithography and diffusion, P-GILD is a resistless, step-and-repeat doping process that utilizes excimer laser light patterned by a dielectric reticle to selectively heat and, thereby, dope regions of an integrated circuit. Results of physical and electrical characterization are presented for ultra-shallow p+-n and n+-p junctions produced by gas immersion laser doping (GILD), a phenomenologically identical technique that utilizes an aluminum contact mask rather than a dielectric reticle to pattern the beam. Junctions produced using GILD exhibit uniformly-doped, abrupt impurity profiles with no apparent defect formation in the silicon.
Cluster Processing
icon_mobile_dropdown
Manufacturing parameters of large-batch, small-batch, and single-wafer cluster tools for poly-gate applications
Marinus A. van Driel
In this paper a number of cluster tool concepts will be analyzed to compare numbers such as throughput, cycle time and cost per wafer. This will be done for two of the most frequently used reactor concepts, viz. for single wafer and batch type reactors, and for a number of ambient control concepts. For demonstration purposes, a polysilicon gate process flow will be used, as the process steps involved belong in the class of critical processes in advanced CMOS manufacturing lines.
Low-thermal-budget MOS gate stack formation using a cluster tool rapid-thermal-processing module
A. M. Bayoumi, J. Montgomery, R. T. Kuehn, et al.
Low thermal budget deposition of thin MOS gate stacks has been performed using a cluster tool rapid thermal processing module. This paper introduces the operational characteristics of the module, and the deposition conditions for gate oxide, nitride, and poly, in addition to spacer oxides. The different processing sequences for gate stacks are described, and finally the electrical characterization results of both rapid thermal chemical vapor deposition and conventional thermal MOS devices are compared.
Application of a cluster tool for control of bipolar polysilicon emitter transistor characteristics
Robert H. Reuss, Chris J. Werkhoven
A cluster tool technique featuring a vapor HF clean followed by controlled growth of a thin interfacial oxide prior to polysilicon deposition is described. The tool is used in the fabrication of polysilicon emitter contact bipolar transistors. Parametric data show that base current and beta vary systematically with oxide thickness but other device parameters remain unchanged. An initial assessment of run-to-run-process reproducibility is also described. The tool has significant potential for future BiCMOS processes which will require precision control of the interfacial layer and low- temperature processing.
Dry patterning of resistive masks and topological structures
Victor V. Boksha, Anatoly I. Sharendo, Vyjacheslav E. Obukhov, et al.
The development of dry resistive mask patterning process is the most complex point in practical application of vacuum small-operation cluster automated technologies of producing integrated circuits with submicron range size elements. The problem is successfully solved by small-operation laser vacuum projection lithography (LVPL). The equipment cluster for LVPL includes the installation for dry deposition of resist films on the substrate and the installation for its exposition-displaying. Organic materials are used as resists in such process. It was discovered that topological element formation in resist layers takes place mainly because of high speed thermal processes of resist material sublimation exposed by laser radiation surface parts.
Influence of adjustment accuracy on the image quality for laser cluster systems of microlithography
Victor V. Boksha, Anatoly I. Sharendo, Vyjacheslav E. Obukhov, et al.
Aberration research in the optic system was conducted by finding possible angles between the axis of the illuminator and a lens on the basis of the analysis of intensity on the image plane. Intensity distribution was drawn after calculation of aberration deformations of the wave front. Quality of the image of three lines with crosscut size of 0.5, 1.0 and 3.0 micrometers on the margin of 5 X 5 mm field was investigated with the worse variant taken into account.
Ultrashallow p+-n junctions formed by diffusion from an RTCVD-deposited B:Ge layer
Byung G. Park, Clifford A. King, David J. Eaglesham, et al.
The rapid thermal chemical vapor deposition of heavily boron-doped Ge layers on silicon substrates is characterized and optimized for the purpose of ultrashallow junction applications. Incorporation of a very high concentration of boron in the Ge layer is observed with a moderate flow rate (2 - 20 sccm) of 1% B2H6 in hydrogen. The surface coverage of the B:Ge layer depends strongly on the B2H6 flow rate, favoring higher content of boron for better coverage. The substrate temperature during deposition also shows a strong effect on the film morphology with 550 degree(s)C yielding the most uniform surface.
Plasma Generation, Process Development, and Damage Testing I
icon_mobile_dropdown
Variable energy neutral beam design and kinetic energy etching
Lee Chen
A simple flange-mounted neutral beam source is invented to produce a low energy nonthermalized fast neutral radical beam. This variable energy neutral radical beam can activate the SiO2 or Si surface for chemical reaction depending on the set incident energy and the chemical system chosen. The fast neutral radical beam energy is continuously adjustable (2 eV < Ek < 200 eV). The beam flux is typically 5 X 1015 cm-2 sec-1 (approximately 4 L) and higher beam flux (e.g., approximately 50 L) can be obtained by varying the plasma and the neutralizer parameters. An uniform large diameter plasma is also made for the production of neutral beam covering 5' wafer and larger. Large diameter neutral beam single wafer reactor is feasible with off-the-shelf pumping technology.
Native oxide removal on Si surface by NF3-added hydrogen plasma downstream treatment
Jun Kikuchi, Masao Iga, Shuzo Fujimura, et al.
NF3 was injected into the downstream of H2 + H2O plasma to produce etchant for SiO2 without dissociating NF3 in order to minimize residual fluorine, and native oxide on Si(111) surfaces was removed by the downstream treatment. ATR FT-IR measurement showed that native oxide on the Si surface was removed and a hydrogen terminated surface was obtained. AES measurement revealed that residual fluorine was below detection limits.
Sub-0.5-um polysilicon etching on a MERIE system: a case study in manufacturing
Steve W. Swan, Graham W. Hills
A polysilicon etch process designed for use in manufacturing must yield stable results for critical dimensions, line profile, gate oxide loss, gate oxide damage, defect density, and throughput; all of which must meet the desired specifications for the device being fabricated. A process that meets these requirements has been developed for undoped polysilicon with nominal linewidth of 0.45 micrometers and gate dielectric thickness of 90 angstroms on a single wafer (150 mm) magnetically enhanced reactive ion etch (MERIE) system. The impact of plasma induced charging on device performance is discussed using test results of time dependent dielectric breakdown for structures with polysilicon: gate antenna ratios in the range of 1:1 to 10,000.
Magnetically enhanced reactive ion etching of silylated resist in O2/Ar mixtures
Myung-Seon Kim, Jin-Woong Kim, Jun-mo Kim, et al.
This study describes the etching behavior of the silylated resist in a magnetically enhanced reactive ion etcher under the pressure ranges from 3 to 10 mTorr. In a pure oxygen plasma, the resist undercut beneath the silylated mask layer and isotropic resist profile were generated independent of etch conditions. Compared to the tri-level-resist process, the resist undercut tended to be reduced in the top imaging process by silylation. It was found that the addition of Ar to an O2-plasma was effective to reduce the resist undercut due to the consumption of the silylated mask layer. However, in an Ar-rich plasma, the resist profiles appeared to be positively sloped by the excessive consumption of the silylated resist.
Manufacturing integration of real-time laser interferometry to isotropically etch silicon oxide films for contacts and vias
Jake Pope Jr., Robert Woodburn, J. Watkins, et al.
Two methods, real-time in-situ and pre/post measurement, for control as well as issues associated with the laser interferometry method will be compared and discussed in this paper.
High-selectivity magnetically enhanced reactive ion etching of boron nitride films
Donna R. Cote, Sonny Nguyen, David Dobuzinsky, et al.
Oxygen and tetrafluorocarbon magnetically enhanced reactive ion etching (MERIE) of plasma chemical vapor deposited boron nitride (BN) and silicon boron nitride (SiBN) was studied for both blanket and submicron patterned films. The relative etch selectivities of the BN and SiBN to oxide and nitride were determined. In general, oxygen-rich O2/CF4 MERIE produce very high etch selectivity results while maintaining vertical etch profiles. This etch process expands the potential for use of BN/SiBN in fabrication of sub-half micron devices.
Optical emission spectroscopy on the GEC reference cell
Melisa J. Buie, Jeremiah T.P. Pender, T. Vincent, et al.
Spatially resolved optical imaging experiments were conducted on an Ar plasma in a parallel plate reactor known as the Gaseous Electronics Conference RF Reference Cell. The experiment consisted of recording the optical emission discharges at pressures of 0.1 to 1.0 torr at 75 to 200 Vp-p for 1024 points across the electrode and at heights of 2.0, 6.0, 10.0, 14.0, 18.0, and 22 mm above the bottom powered electrode. Two argon neutral lines at 750.4 and 696 nm and two Ar ion lines at 427.8 and 488 nm were studied. The Ar plasma was found to be non uniform. The extent of the non uniformity varied, and in general was in the shape of annular rings with large intensities around the edges of the electrodes.
Plasma Generation, Process Development, and Damage Testing II
icon_mobile_dropdown
Al-Cu alloy etching using in-reactor aluminum chloride formation in static magnetron triode reactive ion etching
Masaaki Sato
This paper presents a new Al-Cu alloy etching system that uses aluminum chloride formed in static magnetron triode reactive ion etching (SMTRIE). An overview of SMTRIE is provided, along with a description of the mechanism of copper chloride vaporization with aluminum chloride. Four axisymmetric coils produce radial magnetic flux near and parallel to the etching cathode, and cylindrical magnetic flux parallel to the surrounding second side cathode. This field creates a radially uniform magnetron-type discharge. Etching results demonstrate the excellent uniformity of SMTRIE with low MOS gate damage.
Reactive ion etching of Al alloy and silicon dioxide films in a rotating magnetic field
Masafumi Tanabe, Akio Matsuda, Takeshi Sunada, et al.
A diode type magnetically enhanced reactive ion etching system was used for Al alloy films and a triode type magnetically enhanced reactive ion etching system was used for SiO2 films. A same rotational permanent magnet was used for the diode and triode reactors. Excellent etching characteristics of TiN/Al-1%Si-0.5%Cu/TiN and SiO2 films were obtained at low pressure of about 1Pa. Simulations were also performed for drift motions of secondary electrons in the cathode sheath.
Charge-buildup damage to gate oxide
Calvin Gabriel
`Antenna' structures over thick oxide were used to detect charge buildup damage to gate oxide, and gate leakage was measured to characterize the extent of damage. Polycide, metal 1, and metal 3 antennas with both area-intensive and edge-intensive configurations were included. After processing through a full triple-level metal, 135 angstroms gate oxide, 0.6 micrometers CMOS flow, individual 5 micrometers X 1 micrometers transistors (over gate oxide which had been stressed by the charge collected through an attached antenna during wafer fabrication) were measured and considered damaged if a current > 1 nA leaked through the oxide when a 5.5 V stress was applied to the gate during testing.
Process modification to reduce damage to reactive ion etched surfaces
Durga Misra, O. W. Purbo, C. R. Selvakumar
An experimental investigation was carried out to verify the effect of process modification to surface charging effects in reactive ion etching. It was observed that addition of certain percentage of N2 reduces the surface damage during reactive ion etching of silicon in a SF6 + O2 plasma even though the N2 additive improved the etch rate and selectivity by 44 - 64%.
High-density plasma etching: a gate oxide damage study
Calvin Gabriel
To compare the damaging effect on gate oxide of polycide etching in several commercial implementations of conventional and high density plasma sources, a study was conducted using test devices consisting of a variety of large area-intensive and edge-intensive capacitors. The plasma sources compared during polycide etch included rf diode, rf triode, rf magnetically-enhanced reactive ion etch, microwave electron cyclotron resonance, and rf inductively-coupled plasma. Processes on the etchers used in this study were not necessarily optimized for damage, and improvements could probably be achieved with further work. Furthermore, the damage measurements made for one type of source are not expected to characterize other etchers having plasma sources based on similar technology.
Modeling the properties of PECVD silicon dioxide films using neural networks
Seung-Soo Han, Martin Ceiler, Sue Ann Bidstrup Allen, et al.
Silicon dioxide films deposited by plasma-enhanced chemical vapor deposition (PECVD) are useful as interlayer dielectric for metal-insulator structures such as MOS integrated circuits and multichip modules. The PECVD for SiO2 in a SiH4/N2O gas mixture yields films with excellent physical properties. However, due to the complex nature of particle dynamics within the plasma, it is difficult to determine the exact nature of the relationship between film properties and controllable deposition conditions. Previous modeling techniques such as first principles or statistical response surface methods are limited in either efficiency or accuracy. In this study, PECVD modeling using neural networks has been introduced. Neural networks have been shown to exhibit superior performance in both accuracy and prediction capability compared to statistical models.
Characterization of organometallic polymers generated post-RIE/ECR etching followed by in-situ microwave ashing
Debbie Switalski, Randy Solis
As the technology of semiconductor devices continues to evolve to smaller geometries and increased circuit densities, it has become necessary to rely upon Reactive Ion Etch techniques for final pattern definition. For this study, a metal stack of TiW/AlCu/TiW is ECR etched, generating a polymer which can be characterized as follows: both carbon and nitrogen are present due to residual photoresist components; and trace amounts of TiW and Cu are present due to back-sputtering during ECR. Together the representative elements comprise an organo-metallic polymer. After ECR etching, the organo-metallic polymer is subjected to increased cycle times of in-situ microwave ashing. As the cycle times increase, the amount of inorganic residue increase proportionally, and is measured as the amount of elemental oxygen present on the substrate surface.
Sensors and Applications
icon_mobile_dropdown
Electrical sensors for monitoring rf plasma sheaths
Mark A. Sobolewski, James K. Olthoff
We have investigated the use of radio-frequency (rf) current and voltage measurements to monitor the electrical characteristics of rf plasmas and to predict changes in ion kinetic energy distributions. These studies were performed at 2.7 and 13.3 Pa (20 and 100 mTorr) in a Gaseous Electronics Conference RF Reference Cell in mixtures of argon with oxygen, nitrogen and water. It is expected that the measurement techniques described here could be extended to monitor the sheath above a wafer during plasma etching to obtain information about changes in the condition of the wafer surface and the energies of ions bombarding it.
Rapid themal processing using in-situ wafer thermal expansion measurement for temperature control
Bruce W. Peuse, Allan Rosekrans
An emissivity independent method of temperature control for rapid thermal processing of silicon wafers is demonstrated. In-situ wafer temperature is determined by measurement of wafer thermal expansion via a laser autofocus mechanism. A closed loop temperature control system based on this technique is integrated into a commercial rapid thermal processor with fully automatic wafer handling capability. A preliminary test using a titanium silicidation process were performed using wafer expansion thermometry. The results of this test demonstrate that this technique can provide improved wafer to wafer process repeatability.
Emissivity compensated radiance-contrast-tracking pyrometry for semiconductor processing
Michael E. Adel, Shmuel Mangan, Yaron Ish-Shalom
In this paper an analysis technique is presented which allows the achievable performance specifications for a single wavelength pyrometer to be calculated. The effects of pyrometer wavelength, wafer emissivity, background radiation and detector noise limitations are all taken into account in the modelling. It is demonstrated that in order to maintain a given precision the wavelength of the pyrometer must be progressively reduced in order to maintain radiance contrast as the wafer temperature rises. The analysis technique is also shown to be an effective design tool for determining the required electronic and optical performance specifications of the pyrometer in order to obtain a given temperature measurement precision.
Monitoring of submicrometer linewidths using diffraction gratings
Phillip Chapados Jr.
For a typical fabrication facility, linewidth control is done after the patterning and etch processes by means of a scanning electron microscope (SEM). Several techniques using diffraction gratings have been proposed as in-line or in situ replacements for the SEM linewidth measurement. One such system was developed for use in the Microelectronics Manufacturing Science and Technology (MMST) mini- factory. The system used in the MMST factory was capable of measuring the critical dimensions needed for factory control. This critical dimension diffraction measurement system consists of optics and processing algorithms necessary to measure a series of gratings in an etch processor or directly after processing in a metrology chamber. The optics allow the measurement of a full diffraction pattern with one image. The processing algorithms convert this image to a list of diffraction orders and intensities for each grating in the set. These are then used by the pattern matching algorithms to determine grating linewidth.
Tool and Process Control
icon_mobile_dropdown
Use of an electrochemical sensor for controlling the etching of silicon dioxide films in aqueous HF processing baths
Ronald A. Carpio, Suresh K. Bhat
It is shown that an appropriately selected point-of-use ionic conductivity sensor can be utilized to replace thermal oxide coated wafers for monitoring aqueous HF processing baths. The use of both conventional conductivity sensors which employ two or more electrodes contacting the solution and electrodeless, inductance based sensors are reviewed. The former type afford cost and versatility advantages, while the latter type possess desirable material properties. Ionic conductivity data for aqueous HF solutions as a function of composition and temperature is reported over a range of 0 to 5 wt% HF. The temperature coefficient was found to be nonlinear over this concentration range, but this parameter is very small relative to other common electrolyte solutions. A comparison with refractive index measurements shows ionic conductivity to be more sensitive.
Real-time image analysis and control of the solid/liquid interface during zone-melting recrystallization of thin films
Peter Y. Wong, Ioannis N. Miaoulis
Thermal processing, a necessary step in the fabrication of most microelectronic devices, is used to improve the material quality of thin films. One example of thermal processing of thin films is Zone- Melting Recrystallization with a radiant line heat source. A line heater, situated above the film, heats a narrow region beyond its melt point creating a molten zone. As the line heater is moved slowly over the film, the material in the wake of the moving molten zone freezes in the form of a single crystal. The morphology of the solid/liquid interface strongly influences the resultant quality of the crystal. A planar morphology, in fact, produces a poor quality film which contains branching dislocations. A cellular morphology produces the highest quality films with limited point of line defects. In-situ observations of the crystalline quality can be made by examining the solid/liquid interface morphology. The real-time image analysis of the interface and the closed-loop control of the process are described in this paper.
Practical use of an in-line vacuum metrology cluster in a minifactory environment
Steven A. Henck, Phillip Chapados Jr., Sonny Maung, et al.
A single wafer metrology module capable of performing a variety of wafer property measurements under vacuum is presented. This metrology module was built by Texas Instruments for use in the Microelectronics Manufacturing Science and Technology program's 0.35 micrometers , single wafer processing factory. The primary measurement tools incorporated in our metrology module are a phase modulated spectral ellipsometer and a critical dimension sensor based on laser diffraction. The spectral ellipsometer was originally developed for real-time in situ film thickness measurements for process control. Using the speed of phase modulation, multichannel detection, and digital signal processing techniques, this ellipsometer is capable of measuring the thicknesses of dielectric films in multilayer stacks with a typical solution time of a few seconds. The critical dimension sensor is an in situ diffraction pattern measurement and analysis system capable of measuring sub-micron device dimensions down to 0.15 micrometers . Other sensors included on the machine are a microbalance, a scatter sensor for measuring surface roughness, and an optical microscope.
Run-to-run control framework for VLSI manufacturing
James R. Moyne, Hossein Etemad, Michael E. Elta
A run-to-run (R2R) control framework has been developed for application to supervisory control of semiconductor manufacturing processes. This generic framework, which is being developed for eventual transfer to industry, is one component of a multi-level control system that includes real-time equipment and process control as well as pseudo-real-time process control elements operating in conjunction with the R2R controller. The framework is compliant with existing trends and standards in industry. At the heart of the framework is a generic cell controller implementation that serves to support the R2R control algorithm and coordinate control and information flow between the various R2R control modules. This implementation provides for the easy incorporation of commercially available software into the control scheme.
First-wafer effect on ellipsometer metrics and spatial etch pattern of polysilicon gate etch
Stephanie Watts Butler, Jerry A. Stefani
Analysis of data gathered during a experiment to demonstrate control of the polysilicon gate etch revealed the possible presence of a first wafer effect, i.e., a different response of the etch metrics for the first wafer run after a delay or pump down. In this paper, we investigate this first wafer effect on spatial etch rate and in situ ellipsometer metrics more thoroughly. The spatial metrics were standard deviation and Median Absolute Deviation from the Median, as well as contrasts (such as average etch rate of fast sites--average of slow sites). Ellipsometer metrics were Mean Etch Rate, initial etch rate, rate of change of the etch rate during etch, initial thickness--estimated initial thickness, and polysilicon loss during the deglaze step. Multivariate Statistical Quality Control statistics of the ellipsometer metrics were also examined. In addition, a comparison of the ellipsometer data with data measured ex situ on a site near the ellipsometer measurement die were made. This document demonstrates that data obtained in situ with an ellipsometer can indicate when the etch rate spatial pattern is different from that expected.
Software integration of in-situ spectroscopic ellipsometry
Sonny Maung, Steven A. Henck, Walter M. Duncan, et al.
In situ sensors are key tools for flexible manufacturing environments where wafers with diverse specifications are processed interspersed through common chambers. This is in contrast to conventional batch processing where pilot wafers, ex situ measurement, and statistical method are employed for process control. In the present work, we discuss integration of in situ spectral ellipsometry (SE) into several processes of a flexible manufacturing environment. In situ SE enables real-time wafer-to-wafer compensation for equipment and process drifts and also equipment prognosis. The capability of the TI SE is discussed together with the implementation issues of solving the inverse problem in real time. A robust endpoint detection algorithm using TI SE is presented. Also addressed are the issues in the integration of the SE with the machine control as well as with the computer integrated manufacturing factory for feedforward and feedback control.
On-line optimization of stop-etch time
Songling Cao, R. Russell Rhinehart
Two separate modeling approaches are shown to be able to estimate the spatially local end point time distribution of a plasma etch, from nonochromatic emission data, before the etch is complete. The distribution can be used to determine optimum stop-etch time.
Generic operational models and factory control
Margeret Pratt
Semiconductor equipment suppliers tend to view the world through the lens of their own equipment. Process engineers who typically are responsible for selecting equipment tend to view the world through the lens of a particular process step. Neither of these views is sufficient for the whole semiconductor manufacturing process for making devices out of bare silicon wafers. The focus of this paper is the importance of generic operational models of equipment for automated factory manufacturing, using the Semiconductor Equipment and Materials International standard E30-93, `Generic Model for Communications and Control of SEMI Equipment (GEM)' as an example of the type of operational model that is required. To do this, different areas of GEM will be discussed to provide a better understanding of the kinds of issues that are seen as important by automation engineers and how these issues may impact equipment design.
Real-time feedback control of reactive ion etching
Michael E. Elta, J. P. Fournier, James S. Freudenberg, et al.
This paper explores the application of modern feedback control technology to the regulation of the reactive ion etching process. Currently, this process is run open-loop, except for the PID controller to regulate pressure. We investigate the utility of additional measurements for the purpose of feedback control to improve process performance and robustness. First, we compare a feedback controller that regulates Vbias and chamber pressure to one that regulates Vbias and fluorine. We show that the fluorine controller yields better control of etch rate; this result is to be expected since fluorine is more closely related to the chemical etching process than is pressure. Our second study compares various controllers that regulate Vbias and fluorine using the conductance throttle and applied RF power. We show that multivariable feedback controllers that can compensate for process coupling by coordinating control inputs have advantages over decentralized controllers consisting of two independent feedback loops.
Empirical models in semiconductor processing: optimization and assessment as simulators
Steve W. Lavelle, David Wood, A. J. Hydes
If any empirical model of an experimental system is to be used to make predictions its success as a simulator needs to be determined. This is especially so in semiconductor manufacturing where process runs are expensive making the need for a reliable process simulation even more important. With many current model assessment techniques, for example `coefficients of determination', too much information about the model's fit is hidden by the attempt to describe the model's success in terms of a single variable value. In this paper the description is given of a computational approach together with a standard visual display technique which allows the simulation capabilities of a model to be more fully understood. The method described is applicable to all modelling algorithms and as such allows the utility of competing modelling philosophies to be assessed.
Sensors and Applications
icon_mobile_dropdown
Integrated system of optical sensors for plasma monitoring and plasma process control
Harold M. Anderson, Michael P. Splichal
Real-time plasma etch process monitoring, based on sensors which measure plasma properties which directly relate to the desired wafer features, is critical to the future competitiveness of the U.S. microelectronics industry. This study reports work developing new optical sensors which would serve as a feedback loop for plasma process control by researchers at the University of New Mexico (UNM). The aim of the research, funded by the industry/government consortium known as SEMATECH, is to create a nonperturbing sensor which exploits the information on process results (e.g. etch rate, uniformity, selectivity, etc.) present in plasma optical emission spectra. Both continuing work at UNM and new work at Lam Research Corp. are presented.