Proceedings Volume 1924

Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing III

David O. Patterson
cover
Proceedings Volume 1924

Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing III

David O. Patterson
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 24 June 1993
Contents: 7 Sessions, 41 Papers, 0 Presentations
Conference: SPIE'S 1993 Symposium on Microlithography 1993
Volume Number: 1924

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Resists for Manufacturing
  • Focused Ion Beams
  • Electron-Beam Lithography: Proximity Effects
  • Electron-Beam Lithography: Manufacturing
  • X-Ray Lithography: Integration
  • X-Ray Mask Technology
  • X-Ray Lithography: Integration
  • X-Ray Sources
  • X-Ray Mask Technology
  • Electron-Beam Lithography: Manufacturing
  • X-Ray Lithography: Integration
Resists for Manufacturing
icon_mobile_dropdown
Photoresist process latitude optimization for synchrotron x-ray lithography
Andrew T.S. Pomerene, David E. Seeger, Patricia G. Blauner
Acid catalyzed positive tone APEX photoresist has been examined for exposure using the Helios compact synchrotron x-ray source at the IBM Advanced Lithography Facility (ALF) in East Fishkill, New York. A four factor Taguchi optimization was implemented to test the effects of post exposure bake (PEB) temperature, PEB time, post apply bake (PAB) and develop time. The experiment was split into two tests; one for maximum process latitude and the other for attaining the target critical dimension (CD) of 350 nm. CD line widths were measured in resist using a top down scanning electron microscopy. The experimental analysis of variance indicated that 79% of the CD variation could be attributed to the PEB (temperature + time) and 12% from the PAB temperature/develop time interaction. Both tests converged on the same process conditions for maximum process latitude and target CD. These parameters reduced the thermal dose (PEB) in exchange for higher x-ray exposure. Line width uniformity data across 8' wafers will be shown for 200 nm structures and some additional results down to 125 nm using a 40 micrometers gap. Thermal infrared analysis of PEB hot plate uniformity was shown to be within specification but sensitive to backside wafer contamination. A novel 'net dose' exposure wedge which is built on the x-ray mask provided a record of the combined PEB/x-ray dose and did track with the thermal IR data.
Resist performance in soft x-ray projection lithography
Glenn D. Kubiak, Daniel A. Tichenor, Weng W. Chow, et al.
An important issue for resists used in soft x-ray projection lithography (SXPL) is high absorption. Absorption coefficients of both organic and inorganic formulations range from 2 - 6 micrometers -1, requiring the use of very thin (50 - 100 nm) layers to avoid excessive absorption and resulting pattern sidewall degradation. Such thin films are typically used as the imaging layer in a bi- or tri-level processing scheme to achieve the required pattern depth. In this work, we report experimental and theoretical studies of imaging performance in the resists PMMA and SAL 601 at an SXPL exposure wavelength of 13.9 nm. Absorption coefficients ((alpha) ) have been measured for, and SXPL images recorded in both of these resists. A Mo/Si multilayer-coated Schwarzschild objective having known aberrations and illuminated by a laser plasma soft x-ray source has been used to produce images. Calculated aberrated aerial images are used in conjunction with the measured values of (alpha) to model the expected resist profiles and these are compared to experiment. Imaging performance as a function of resist absorption and estimates of resist exposure latitude are also discussed.
Soft x-ray (14 nm) lithography with ultrathin imaging layers and selective electroless metallization
Jeffrey M. Calvert, Tim S. Koloski, Walter J. Dressick, et al.
Soft x-ray synchrotron radiation, of wavelength 14 nm, has been used to pattern self- assembled monolayer films, which were then selectively metallized using electroless deposition. Organosilane precursors of the general type RSiX3 (R equals organic functional group; X equals Cl, OCH3) are used to form covalently bound ultrathin films by molecular self-assembly on Si wafers. These films are approximately one monolayer (approximately 1 nm) thick. X-ray exposure was used to remove or transform the R groups in selected areas of the film. The laterally patterned reactivity on the surface was then used as a template for the additive deposition of a thin layer of electroless nickel in the unexposed regions. The Ni metal layer can then be used as a plasma etch mask for pattern transfer. Metal features with linewidths to <EQ 0.25 micrometers have been produced with exposure doses of 50 mJ/cm2.
Mixed e-beam/optical lithography process for the fabrication of sub-0.25-um poly gates
Keith T. Kwietniak, Michael G. Rosenfield, Philip J. Coane, et al.
In this paper we investigate the use of two negative resists, a commercially available negative tone electron beam resist (CAR), and AX-E, an IBM resist, with a 50 KeV variable shaped electron beam system. All aspects of processing and tooling were investigated to understand the impact on critical dimension control. Post applied and post exposure bake times and temperatures were examined in an effort to optimize resist contrast, resist profile, and gate linewidth variations. Proper tool set-up and proximity correction were also investigated. SEM metrology as well as high resolution SEM cross-section metrology were extensively used in the process optimization. A complete understanding of the resist, processing, tool and metrology have resulted in the fabrication of working devices and circuits with physical gate linewidth dimensions down to 0.10 micrometers with a 3(sigma) better than +/- 0.03 micrometers across a wafer.
Silylation and dry development of e-beam resist
Lothar Bauch, Monika Boettcher, A. Wolff, et al.
Outgoing from the experience in the photolithography it is investigated to use the resist silylation for a surface imaging method in the EBL. The advantage of this process will be seen in the possible high aspect ratio as it is needed for wafer direct writing.
Film life enhancement of chemically amplified electron-beam resists
Theodore H. Fedynyshyn, Michael Francis Cronin, James W. Thackeray
A key resist requirement for electron beam sensitive resists is an extended film life of up to 12 weeks, the demands of which far exceed the reported film life of current high resolution acid catalyzed electron beam sensitive resists. Simple techniques are described which increase the coated film life of acid catalyzed electron beam resists, specifically MICROPOSITTM SALTM603 E-Beam Resist, to greater than 12 weeks. Evidence shows that water in the resist film is the likely cause of decreasing resist sensitivity. Finally, it is demonstrated that electron beam sensitive negative acid catalyzed resists have sufficient film life stability to be a viable choice for routine mask making applications.
Focused Ion Beams
icon_mobile_dropdown
Chemically assisted focused-ion-beam etching for tungsten x-ray mask repair
Lloyd R. Harriott, R. R. Kola, George K. Celler
Finely focused ion beams have been used for repair of defects in photomasks and X-ray masks either by sputter removal of excess absorber material or by deposition of new absorber material. These mask structures employ polycrystalline metal absorbers with grain sizes in the 0.1 micrometers range. As feature dimensions are pushed downward, the effects of these grains become more important. A great deal of roughness can occur during FIB sputter removal of excess absorber for defect repair due to ion channeling and the resulting spatially nonuniform sputtering. In this paper, we describe a method for reducing the roughness in defect repair for Tungsten X-ray masks using chemically assisted FIB etching and a Cr/W/Cr multilayer mask structure.
Fabrication of x-ray masks with 0.15-um level two-dimensional patterns by using highly accurate FIB lithography
Shuji Fujiwara, Y. Yamaoka, M. Harada, et al.
A highly accurate focused ion beam (FIB) lithography and its application to the x-ray mask fabrication are discussed. The pattern delineation accuracy in FIB lithography was investigated by drawing various two-dimensional (2-D) test patterns. We could obtain 0.15 micrometers feature resist patterns on the heavy-metal layers of the x-ray mask substrate. FIB lithography suffers little proximity effect and thus various 2-D test patterns were obtained with small distortion. The FIB drawn patterns were precisely transferred into the W absorber layer by the time modulated etching technique. X-ray masks used for the evaluation of the pattern replication accuracy in synchrotron radiation lithography were successfully fabricated.
Process latitude study of focused ion-beam-deposited gold for clear x-ray mask repair
Patricia G. Blauner, Andrew D. Dubner, Alfred Wagner
It has been assumed that the large carbon content of FIB deposited gold films used for x-ray mask repair (as much as 50 at.%) would require that repairs be considerably thicker than the mask absorber. This paper tests this assumption by comparing the printing characteristics of FIB deposited features of varying thicknesses with those of conventional features on a mask. Ion beam deposited features 0.5 micrometers thick are found to print with nominal dose and linewidth variation most closely matching that of conventional features (0.6 micrometers electroplated Au). The results indicates that considerably less deposited material is required for repair than would be supposed based on the composition of the ion beam deposited gold (50 at.% carbon). Examples of repaired features on masks and their corresponding printed images are shown. In addition, the influence of material redeposition during clear repair is discussed.
0.25-um x-ray mask repair with focused ion beams
Diane K. Stewart, Thomas K. Olson, Billy Ward
A focused ion beam system has been developed to repair 0.25 micrometers proximity print X-ray masks. The system is distinguished from a 0.5 micrometers mask repair tool with the addition of a Micrion designed column, differential laser interferometry, thermal management, and gold deposition hardware. These subsystems contribute to the overall tool performance so that the defects can be located, imaged and repaired to industry specifications. We discuss the functionality of the repair tool and present results from inspection and repair of actual masks.
Electron-Beam Lithography: Proximity Effects
icon_mobile_dropdown
Proximity effect correction in electron-beam lithography II
Gerry Owen
Many techniques have been proposed for the reduction or correction of the proximity effect. These include the use of an appropriate beam energy, multilayer resists, dose correction, and correction exposures. Here, special emphasis is placed on dose correction schemes. In particular, the relationship between these and a typical correction exposure scheme (GHOST) is described. The popular 'self consistent' schemes are in widespread use. However, alternative dose correction schemes with attractive attributes exist, although they are not as well known. Two of these are described. One treats electron lithography as a form of incoherent imaging, characterized by a modulation transfer function (MTF). The method consists of transforming the pattern data to account for this MTF, thus eliminating the proximity effect. This scheme is both computationally efficient and accurate. The other scheme is an extension of GHOST in which dose corrections are computed by simulating the effects of a correction exposure: it too is fast and accurate.
Effects of electron energy in nanometer-scale lithography
T. J. Stark, Thomas M. Mayer, Dieter P. Griffis, et al.
The effects of electron beam energy, pattern size, and resist thickness on the production of features in electron beam lithography has been investigated. The minimum electron beam energy required to produce features in films of palladium acetate ranging in thickness from 0.09 to 1.9 micrometers has been determined using beam energies ranging from 1 to 30 keV. For each of the film thicknesses, the minimum electron beam energy required to produce features was found to be closely related to the range of the electron relative to the film thickness. The pattern used to obtain these measurements consisted of a group of various sized squares. The dose required to correctly expose the squares so that the actual width was equal to the nominal width varied as the nominal width was changed within the pattern. This change in dose as the nominal width was varied, the dose factor, was used as a measure of the proximity effect. For a given pattern size, the dose factor was found to go through a maximum as the beam energy was raised above the minimum required energy. The width variance, the change in actual width of the squares as the dose was changed, was also found to go through a maximum as the beam energy was raised. As the size of the pattern was varied, the beam energies which resulted in the maximum of the dose factor and the width variance were found to be dependent on the range of the electrons relative to the size of the pattern.
Thin silicon nitride films to increase resolution in e-beam lithography
Elizabeth A. Dobisz, Christie R. Marrian, R. E. Salvino, et al.
A physical method of reducing feature size and proximity effects in sub-quarter micron e- beam lithography is described. A thin layer (50 - 300 nm) of silicon nitride deposited on a semiconductor substrate, prior to resist deposition, has been found to enhance the resist resolution. The samples were patterned with a 50 keV, 15 nm diameter probe generated by a JEOL JBX-5DII e-beam lithography system. Point spread function measurements in 60 nm thick SAL-601 on Si are shown to illustrate the resolution enhancement in the nanolithographic regime (sub-100 nm). The technique has been applied to lithography on 400 nm thick W films, such as would be used in x-ray mask fabrication. 200 nm of SAL-601 was spun onto W film samples, which were half coated with 200 nm of silicon nitride. Identical lithographic patterns were written on each half of the sample. On examination of the samples after post exposure processing and development, reduced feature sizes and proximity effects were seen on the sample half with the silicon nitride intermediary layer. Monte Carlo simulations were performed on a CM-200 Connection Machine. The results show a large number of fast secondary electrons are generated within a 50 nm radius of the incident electron beam. The implications of fast secondary electrons on resolution in e-beam lithography is discussed. The total number of fast secondary electrons entering the resist is greatly reduced by the silicon nitride layer. Simulations compare the thin layer technique to a bilayer resist technique, used to improve resolution at larger dimensions.
Advanced transformational analysis applied to e-beam proximity effect correction
Eytan Barouch, Ronald Raphael Coifman, Jimmy T. Ma, et al.
In this paper we address the problem of dose correction in the data bases consistent with ultra- large-scale integration. It is shown that recent advances in transformation theory provide a natural platform on which to build these dose correctors. Specifically, transformation approaches making use of compactly supported, smooth basis functions are shown to be particularly suitable. This is a natural result of the evolution of mathematically based correctors currently in use. Previous work in Parikh, MacDonald and others employed global transform method to determine the values of 'corrected' dose. In most cases, the mathematical inversion is essentially ill posed, in other words, the exact pattern desired cannot be obtained using a finite Gaussian sum. In this paper a set of smooth basis elements of compact support are employed. The mathematically smooth form of the basis makes it easy to match doses at boundaries without Gibbs phenomenon. Thus the transform field can be partitioned for optimum speed. Consequently, while most transformation complexities are of order N6 (the inversion of an N2 X N2 matrix) where N2 is the number of grid points characterizing the database, we developed an algorithm of complexity N2 log N. A method of dose field bias is employed to stem the requests for negative dose. The heart of the numerical process is essentially based on an adapted fast non-uniform-grid Fourier Transform combined with proper filtering and geometric localization methods. Several examples are given.
Electron-Beam Lithography: Manufacturing
icon_mobile_dropdown
Fully-scaled 0.25-micron bipolar technology using variable shaped electron-beam lithography
Philip J. Coane, Kaolin Grace Chiong, Mary Beth Rothwell, et al.
The successful application of sub-micron scaling principles to device fabrication involves an integration of tool, resist system, and process control. The precision overlay capability of a modified IBM EL-3 variable shaped beam lithography tool has been used to achieve optimized scaling of a 0.25 micrometers bipolar technology. Although the total device size is strongly coupled to linewidth control and overlay accuracy for all circuit levels, the overlay between the emitter opening and the shallow trench isolation is considered to be the most critical. We report on the integration of an advanced electron beam lithography and resist process capability with an innovative bipolar device technology to achieve emitter coupled logic (ECL) delays of 20.8 ps at a switching current of 1.1 mA. These results demonstrate the feasibility and performance leverage that can be accomplished through the aggressive scaling of conventional bipolar technologies.
Highly accurate calibration method of electron-beam cell projection lithography
Yoshinori Nakayama, Yasunari Sohda, Norio Saitou, et al.
A novel calibration method of electron-optics in cell projection method was proposed. The electron-optics of the cell projection method requires more severe reduction ratio and rotation adjustments than the conventional variable-shaped method. This method uses a calibration aperture pattern fabricated in the same silicon shaping mask. The reduction ratio and the rotation of the cell mask have been calibrated within 1% and within several m-rad, respectively. Such a silicon shaping mask was fabricated by new processes using SOI wafer. In the delineation experiment, an ULSI pattern corresponding to 256-Mbit DRAM gate pattern having 0.2 micrometers minimum feature size was exposed. The stitching error of each shot was smaller than 0.02 micrometers .
EBES4: performance of a new e-beam reticle generator
Darryl Peters, D. C. Fowlis, C. M. Rose, et al.
Performance specifications, electron gun parameters, selected testing results, and calculated throughput are presented for the second prototype EBES4 which is entering manufacture. The thermal field emitter electron gun is designed for high flux, high current stability, and a long lifetime. The gun produces 250 nA into a 125 nm diameter spot for a flux of 2000 A/cm2 with a beam current drift of < 0.5%/hour. Minimum address size for EBES4 patterns is 1/64th micrometers (15.6 nm). Butting patterns and MARKET arrays were written at a frequency of approximately 200 MHz in Shipley SAL601 ER7 resist and approximately 100 MHz in AZ5206 resist. EBES4 measurements of x and y butting and shear errors for the 256 micrometers stripe and 32 micrometers subfield boundaries indicated an accuracy (mean + 3 (sigma) ) for multi-point alignment of three masks was measured as <EQ 50 nm with a maximum error of 27 nm. Line size data has been obtained for patterns written in SAL601 resist at 250 MHz and indicated a deviation from target size of <EQ 50 nm for dense-packed lines from 0.75 micrometers to 10.00 micrometers . The average offset between horizontal and vertical lines was < 25 nm. Shipley SAL605 resist has been exposed with writing frequencies up to 450 MHz without loss of pattern integrity. Calculated throughput for a simulated 16 Mbit DRAM reticle indicated write times of 30 minutes/level are attainable with typical resists (approximately 3 (mu) C/cm2) and a reduction in stage overhead.
Suppression of resist heating effect by multiple electron-beam exposure on GaAs substrates
Hiroyuki Minami, Hirofumi Nakano, Kazuhiko Sato, et al.
The multiple exposure technique in electron beam (EB) lithography has been studied from standpoints of resist sensitivity, contrast, dissolution rate, linewidth and edge roughness delineating 0.1 micrometers pattern on the resist. The increase of the dissolution rate, the improvement of the sensitivity and the change in the contrast have been observed in multiple exposure. These phenomena, which are different from the case of Variable-shaped EB lithography, are considered to be caused by the suppression of thermal crosslinking.
Dual exposure (e-beam and i-line) of OCG-895i resist
Richard J. Bojko, Graham M. Pugh
A process is described in which OCG-895i resist is exposed using both e-beam and i-line optical exposures. This dual exposure allows efficient writing of patterns requiring both fine geometries and large areas in a single lithographic layer. The unique aspect of this process is that the two exposure methods use completely independent developers. This allows the optical exposures to be aligned directly to the e-beam exposed resist, eliminating the need for 'zero- level' alignment marks. E-beam features as small as 200 nm lines and spaces, connected by large photo-exposed pads, have been fabricated. The process presented here results in e-beam contrast of 4.8, with insignificant unexposed film loss. The photo-exposure characteristics are unchanged by the e-beam exposure and develop process. The application of this process to the fabrication of surface acoustic wave devices is discussed.
X-Ray Lithography: Integration
icon_mobile_dropdown
Performance of the Hampshire Instruments Model 5000 proximity x-ray stepper
John Frackoviak, George K. Celler, Charles W. Jurgensen, et al.
The Hampshire Instruments Model 5000 Stepper is a commercially available laser based 1:1 proximity x-ray stepper. The source of this system is a 25 watt Nd:glass slab laser which is focused to approximately 200 micrometers diameter spot on an iron alloy tape target. Nanosecond pulses fired at a 2 Hz burst (1 Hz average) repetition rate produce slightly more than 1 mJ/cm2 of x-ray flux per pulse at the wafer plane. This flux of soft x-ray has a spectrum of 8 - 20 angstroms centered on the 14 angstroms band. This is the first system shipped by Hampshire Instruments. It is a research and development tool which is not meant for the production line, but rather as a means to investigate issues associated with x-ray lithography and inserting a system of this type into a manufacturing environment. This paper will present final acceptance test results for system resolution, critical dimension control and registration, as well as data showing system performance for the first five months of operation. Results showing 0.2 micrometers line and space resolution across a 14.7 mm field in 1.0 micrometers thick resist printed using a tungsten absorber mask will be presented. Registration test results show a variation of 0.13 micrometers (3 (sigma) ) across a five wafer lot. When the alignment system was slowed down, however, a result of 0.09 micrometers was achieved. Metrology issues dealing with critical dimension control as they pertain to this stepper will be addressed.
Resolution limitation of x-ray proximity lithography--secondary electron and waveguide effects
Taro Ogawa, Seiichi Murayama, Kozo Mochiji, et al.
Although it is commonly said that x-ray proximity lithography can be one of the most promising technologies in the replication of patterns as small as 100 nm or less, there are two physical phenomena that can degrade the resolution: one is due to secondary electrons generated in the substrate or resist polymer by x-ray excitation, and the other is due to the waveguide effect caused by the interference of propagated waves through mask patterns. In this study, these two effects are discussed both experimentally and theoretically from the viewpoint of the resolution limit. In the secondary electrons, those generated from an Si substrate were determined to overexposure the resist-substrate interface and deteriorate the resolution. The results of simulation clarify that Si KLL Auger electrons mainly cause this problem, and selecting a wavelength that does not excite the Si 1s state (0.68 nm) is most effective in eliminating these electrons. Consequently, the application of a shorter wavelength for improving resolution is limited to 0.7 nm to eliminate the excitation of the Si 1s state.
Impact of chuck flatness on wafer distortion and stepper overlay
Klaus Simon, H.-U. Scheunemann, Hans L. Huber, et al.
Overlay accuracy is known as one of the most important subjects for ULSI device production. Significant contributions such as alignment accuracy and mask distortions are well known. By breaking the 100 nm range on overlay accuracy a number of influences have to take into account, which were usually neglected for relaxed design rules. One of these influences to the overlay is directly related to wafer distortions induced by flatness deviations of wafer chucks. This impact was characterized by investigating the elastic behavior of 4' wafers (525 micrometers thick), fixed on a wafer chuck. Induced elastical deformation due to flatness error of the chuck causes strains and elongations in the wafer surface and therefore wafer distortions. The results obtained by exposure experiments and calculations show that even a point size defect has a 30 mm spreading. Therefore the induced distortions arrives about 100 nm in case of a 3 micrometers flatness irregularity. The final result of the investigations induces that the flatness differences between different wafer chucks or steppers should be smaller than 1 micrometers for design rules below quarter micron.
Exposure and resist-process condition dependence of replicated-pattern accuracy in SR lithography
M. Morigami, T. Tanaka, Takeo Watanabe, et al.
Replicated pattern accuracy was investigated in synchrotron radiation (SR) lithography, using a chemically amplified (CA) negative-type resist and a highly accurate x-ray mask delineated by focused ion beams (FIB). The size of the hole resist pattern which is arranged in a lattice is affected by the proximity gap and the pattern pitch, but that of the dot resist pattern is hardly dependent on these variables. The dot resist pattern, which was replicated with the 0.25- micrometers -square hole mask pattern, became circular with a proximity gap of over 20 micrometers . In order to obtain highly accurate pattern shape as well as pattern size, the proximity gap should be less than 20 micrometers . The resist pattern size and shape depend on the exposure dose. This was confirmed through the simulation of Fresnel diffraction. The resist pattern was influenced considerably by the post-exposure baking (PEB) conditions, temperature and time. The PEB condition dependence of a replicated pattern was investigated. It is effective to extend the PEB time to improve the sensitivity without deforming the pattern shape.
Mask-to-wafer alignment using x-ray-printed alignment marks in x-ray lithography
S. E. Liang, Alex L. Flamholz, John F. Conway
X-ray lithography can be used to achieve deep sub-micron design groundrules. With X-ray, as with other lithographic systems, mix and match techniques are often used to achieve timely, cost effective implementation. This can, in some cases, reduce overlay accuracy and complicate mask and wafer layout. In this paper we will present methods which will facilitate the use of X-ray lithography in mix and match environments to simplify layout and improve overlay accuracy. An alignment scheme for 0.35 micron CMOS device fabrication and a method for printing alignment marks for the next X-ray level are described. In this scheme all the critical levels such as oxide isolation, polysilicon gate, contact, and first metallization levels are printed using X-ray lithography with the same X-ray stepper (Karl Suss XRS200/2). All other noncritical levels are printed optically. The initial wafer lot using this scheme has been successfully processed to the first metallization level. The X-ray stepper alignment system can compensate for the first order overlay components such as translation and rotation. This feature is very useful for compensating for certain mask difference and process induced distortion. However, mask magnification difference must be compensated otherwise. A linear regression method has been used to analyze the overlay data and the results are fed back to the stepper for correction. A 3-sigma overlay distribution +/- 180 nm has been achieved.
Fabrication of high-density SRAM chips using mix-and-match x-ray lithography
John F. Conway, C. N. Alcorn, D. D. Patel, et al.
As part of the Defense Advanced Lithography Program (DALP), IBM has fabricated 0.35 micrometers high-density static random access memory (SRAM) chips with all critical levels being exposed using synchrotron X-ray lithography. X-ray exposures for the four critical levels (isolation, gate, contact holes, and metal 1) were performed at the Advanced Lithography Facility (ALF) at the IBM East Fishkill, New York site. Nonlithographic processing and noncritical level optical exposures were performed in the Very Large Scale Integration (VLSI) pilot line at the IBM Manassas, Virginia site. Extensive alignment and process latitude studies were conducted to determine the best operating points in preparation for the full product SRAM runs. Overlay error, dose and gap latitude, etch bias control, and electrical test results will be presented and compared to results obtained with optical lithography.
X-Ray Mask Technology
icon_mobile_dropdown
Absorber roughness effect in XRL image formation
Jerry Z.Y. Guo, Franco Cerrina
The effect of absorber sidewall roughness on image formation in proximity X-ray lithography is studied based on simulation of the propagation of X-rays within the absorber and the diffraction of light over a proximity gap. We conclude that the absorber sidewall roughness only causes very small linewidth variation and it has the positive effect of suppressing ghost lines because of the reduction of high frequency components in the input field, which is especially important for opaque features.
X-Ray Lithography: Integration
icon_mobile_dropdown
Effects of illumination system aberrations on proximity XRL images
Jerry Z.Y. Guo, Jiabei Xiao, Franco Cerrina, et al.
The aberrations of a typical condenser system for proximity X-ray lithography are obtained through ray-tracing and their effect on the overlay and linewidth control is analyzed. The main effect of the illumination systems aberrations is run-out error due to the slope of the wavefront aberration and the error is of the order of 2 nm.
X-Ray Sources
icon_mobile_dropdown
Helios compact synchrotron x-ray source: one year of operation at ALF
David E. Andrews, Charles N. Archie
The first Helios compact synchrotron x-ray source began routine operation at the IBM Advanced Lithography Facility (ALF) in East Fishkill, NY, in January of 1992. This paper presents data on the ring's performance during its initial fourteen months of operation.
Image formation in capillary arrays: the Kumakhov lens
Guan-Jye Chen, Richard K. Cole III, Franco Cerrina
The successful ray-tracing simulation of the Kumakhov lens has been demonstrated. The issues of the transmission coefficient and the output intensity uniformity of Kumakhov lens are addressed. Various factors such as source distance, tube shape, thickness, and diameter which affect the output performance of this new optics are also discussed.
Characteristics of the spherical pinch plasma radiation source (SPX II) for x-ray, UV, and deep-UV lithography
Shridar Aithal, Emilio Panarella, M. Lamari, et al.
The concept of generating soft X-rays using a Spherical Pinch source was presented at the 1991 and 1992 SPIE conferences. In this paper we present the electrical specifications, plasma and radiation characteristics, system operation and maintenance for the SPX II prototype machine. In addition, we present the X-ray output power levels and times of exposure to attain a given dose level for different input electrical energies of the machine. Finally, we provide a status report on the construction of a new upscale version (SPX III) of the Spherical Pinch X- ray source which is being built as an industrial prototype for application in X-ray microlithography.
What is required for collimated point-source x-ray lithography to achieve an economically viable throughput?
Edward D. Franco, Michael J. Boyle, Jonathan A. Kerner, et al.
The wafer throughput performance of collimated point-source proximity x-ray lithography was evaluated for features sizes that range from 0.1 to 0.25 micrometers . We analyzed the performance of parabolic collimating optics that rely on multilayer coatings for their x-ray reflection properties. The resulting x-ray transport properties of the collimator were then used to simulate the performance of a lithography system that incorporated a laser produced plasma x-ray source, a lithographic mask, photoresist, and staging subsystems. These system studies make it clear that modest advances in source intensity, mask technology, and photoresist sensitivity are required for point source proximity x-ray lithography to achieve economically viable wafer throughputs of 50 six-inch wafer levels per hour.
X-Ray Mask Technology
icon_mobile_dropdown
0.35-um rule, high-density, full-chip x-ray mask patterning
Tatsuo Chijimatsu, Ken'ichi Kawakami, Masafumi Nakaishi, et al.
We study the dimensional and placement accuracies of masks for X-ray lithography, using a 64 Mbit DRAM as an example. We describe a way to stabilize and control X-ray absorber, and reduce stress induced distortion to within 0.03 micrometers . We improve the accuracy of e- beam writing which takes several hours by automatically calibrating the e-beam deflector periodically and by using a ceramic mask-holder. Placement accuracy was within 0.1 micrometers over a 40 mm square with a 2 hour exposure. We demonstrated that in chip areas with highly regular size and periodicity, we can correct for the proximity effect using only size shifts. In chip areas without high regularity, we used dose correction based on a double-gaussian proximity effect function and improved dimensional accuracy.
Electrical and SEM testing of absorber defectivity in the plated-gold process for x-ray masks
Arnold W. Yanof, William J. Dauksher, Jack Livingston, et al.
It is widely recognized that the 1:1 x-ray mask is the most technically challenging aspect of proximity x-ray lithography, since high resolution and precise pattern placement must be achieved completely free of defects. SEM investigation is an excellent tool for x-ray mask inspection. However, it is sometimes assumed that only the SEM has sufficient resolution to perform meaningful defect detection on x-ray masks. An electrically probed test chip pattern for x-ray mask defectivity measurement and improvement has been designed and implemented. This pattern is printed with an optical stepper on silicon wafers with plating base. These are then processed like an x-ray mask through x-ray absorber definition. Since the absorber is a high-conductivity metal and the substrate is insulating, electrical shorts and opens correspond to extra and missing absorber. This paper describes a series of defect types revealed by these two rather different methodologies: SEM inspection of completed masks using the KLA SEMSpec, as well as the electrically probed test chip pattern. The two methods are compared and contrasted. The main nuisance and genuine defects in our x-ray mask process are catalogued.
Effects of absorber topography and multilayer coating defects on reflective masks for soft x-ray/EUV projection lithography
Khanh B. Nguyen, Alfred K. K. Wong, Andrew R. Neureuther, et al.
The effects of mask topography and multilayer coating defect on areal images of reflective masks for soft x-ray projection lithography is studied using electromagnetic simulation. Masks made by depositing and patterning an absorber layer over the multilayer coating was found to be insensitive to variations in incidence angle and absorber layer edge profile. 100 nm of gold, germanium or carbon absorber is sufficient for high image contrast. Masks made by etching patterns into the multilayer stack is more sensitive to variations in incidence angle and edge profile. Defects on the substrate severely degrade the areal image. Different coverage profiles results in widely different areal image.
X-ray mask metrology: the development of linewidth standards for x-ray lithography
Michael T. Postek Jr., Jeremiah R. Lowney, Andras E. Vladar, et al.
The calibration of masks used in x-ray lithography has been successfully accomplished in the scanning electron microscopy (SEM) by utilizing the transmitted scanning electron detection technique. This has been made possible because these masks present a measurement subject different from most (if not all) other objects used in semiconductor processing because the support membrane is, by design, x-ray transparent. This characteristic can be used as an advantage in electron beam-based mask metrology since, depending upon the incident electron beam energies, substrate composition and substrate thickness, the membrane can also be essentially electron transparent.
Properties of thin SiC membrane for x-ray mask
Tsutomu Shoki, Hiroyuki Nagasawa, Hiroyuki Kosuga, et al.
We have investigated the effects of film thickness, anti-reflective (AR) coating and surface roughness on the optical transparency of silicon carbide (SiC) membrane. Peak transmittances monotonously increased as the thickness decreased. The transmittance at 633 nm for 1.05 micrometers thick SiC membrane adjusted by reactive ion etching was 70%, and increased up to 80% by an AR coating. SiC membrane with extremely smooth surface of 0.12 nm (Ra) has been obtained by polishing, and had peak transmittances of 69% and 80% at 633 nm for 2.0 micrometers and 1.0 micrometers in thickness, respectively. Poly-crystalline (beta) -SiC membrane in the suitable tensile stress range of 0.3 to 2.0 X 108 Pa and with high Young's modulus of 4.5 X 1011 Pa has been prepared by a hot wall type low pressure chemical vapor deposition, and been found to need to have thickness over 0.7 micrometers to maintain sufficient mechanical strength in processing.
Accelerated radiation damage testing of x-ray mask membrane materials
Philip A. Seese, Kevin D. Cummings, Douglas J. Resnick, et al.
An accelerated test method and resulting metrology data are presented to show the effects of x- ray radiation on various x-ray mask membrane materials. A focused x-ray beam effectively reduces the radiation time to 1/5 of that required by normal exposure beam flux. Absolute image displacement results determined by this method indicate imperceptible movement for boron-doped silicon and silicon carbide membranes at a total incident dose of 500 KJ/cm2, while image displacement for diamond is 50 nm at 150 KJ/cm2 and silicon nitride is 70 nm at 36 KJ/cm2. Studies of temperature rise during the radiation test and effects of the high flux radiation, i.e., reciprocity tests, demonstrate the validity of this test method.
Electron-Beam Lithography: Manufacturing
icon_mobile_dropdown
Achieving superior MEBES performance through the use of SPC programs and state-of-the-art facilities
Linda A. Braz
A MEBES III system can perform at levels that far exceed those published by the manufacturer. To achieve this, the photomask facility must be capable of maintaining extremely tight temperature controls. In addition, noise free power must be utilized and Class 10 cleanliness maintained. Through the use of a statistical process control (SPC) program generated at Etec, the MEBES performance can be monitored. Anomalies in system performance can be immediately identified and corrected without losing production masks. Impending system problems such as needed gun changes, gun centering, aperture changes, and charging of plates can be recognized and corrected before MEBES system performance would exceed our specifications. This paper also describes some of the unique facility designs/controls and demonstrated, through results, how these contribute to the MEBES performance.
Comparative study of MEBES III and CORE 2564 performance in a manufacturing environment
Theron L. Felmlee, Vijaya N.V. Raghavan
Many of the same considerations that were instrumental in providing the state-of-the-art e- beam process were implemented in the recent installation of the ETEC CORE 2564 laser-beam mask/reticle lithography system and the associated process setup. The possession of two high- performance mask/reticle production processes created a need to perform a comparative investigation of the manufacturing performance of the two systems and their associated processes. This study points out some of the manufacturing strengths and weaknesses of these two distinctly different mask/reticle production processes. This is done on the basis of each system's performance to published specifications, (relative to each other) and on the manufacturing process capability characteristics of the finished mask/reticle.
Optimization of low-voltage electron optics
Laurence S. Hordon, Zhirong Huang, Raymond Browning, et al.
In a previous paper we described a miniature unity-magnification system that employed magnetic focusing solely and indicated that a minimum beam diameter of about 150 angstroms should be possible at 100 V. However, such a system has proved difficult to realize in practice because of the difficulty of providing a suitable beam extraction system for the field emission source. The scanning tunneling microscope has proven capable of high-resolution patterning at ultra-low energy (< 100 eV), but the sensitivity of electron-beam resists tends to drop at these energies. Here we describe a variety of alternative designs that appear to be more practical. One possible configuration, an acceleration/retardation system with superposed magnetic field, should allow us to obtain a minimum beam diameter of about 100 angstroms at 200 V. In another configuration which we have implemented experimentally, we employ a standard commercial SEM as our source and introduce a confined magnetic lens as the final focusing element. The magnetic field is provided by a NdFeB permanent magnet, which allows for reasonably high field strength (0.6 - 0.8 T) and compact size. This system offers an effective means of extending the range of operation of any SEM to low energies.
X-Ray Lithography: Integration
icon_mobile_dropdown
Development of a polycapillary collimator for point-source x-ray lithography
Michael H. Vartanian, David M. Gibson, Robert D. Frankel, et al.
A collimated radiation field is desired in order to use x-ray lithography to replicate ULSI chips with sub-0.25 micrometers features. Additional field specifications include uniformity of x-ray intensity (+/- 1%), penumbral blur (5 mrad), field size greater than 25 mm X 25 mm, and high x-ray transmission efficiency. Introduction of a multi-polycapillary x-ray wave guide collimator between a laser plasma x-ray source and silicon wafer can produce such a field. We describe progress toward the design and fabrication of such a collimator. We have measured the soft x-ray transmission efficiency and divergence of straight and bent glass polycapillaries composed of capillaries in the 17 - 25 micrometers diameter range, using a laser produced plasma x-ray spectrum peaked at 14 angstroms. Transmission results conform well to theoretical predictions. Experimental results have been used to develop a preliminary design for a polycapillary collimeter structure that can be used with Hampshire Instruments' x-ray lithography stepper systems. The projected x-ray field characteristics, and throughput are discussed.