Proceedings Volume 1264

Optical/Laser Microlithography III

Victor Pol
cover
Proceedings Volume 1264

Optical/Laser Microlithography III

Victor Pol
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 1 June 1990
Contents: 13 Sessions, 49 Papers, 0 Presentations
Conference: Microlithography '90 1990
Volume Number: 1264

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Optical Processes I
  • Optical Processes II
  • Advanced I-Line Technology
  • Mask Technology
  • Alignment Strategies
  • Alignment and Focusing Methods
  • Optical Processes II
  • Image and Process Modeling
  • Modeling and Alternative Imaging
  • Laser-Based Lithography I
  • Modeling and Alternative Imaging
  • Laser-Based Lithography I
  • Lasers for Lithography
  • Laser-Based Lithography II
  • Image and Process Modeling
  • Poster Session: Optical/Laser Lithography
  • Additional Paper
  • Poster Session: Optical/Laser Lithography
  • Lasers for Lithography
  • Poster Session: Optical/Laser Lithography
  • Image and Process Modeling
  • Mask Technology
  • Poster Session: Optical/Laser Lithography
Optical Processes I
icon_mobile_dropdown
Methods to print optical images at low-k1 factors
The minimum half pitch for optical projection lithography in manufacturing has been held to 0. 8 A/NA or larger. This is supported by the experience gained by semiconductor manufacturers worldwide yet the reasons are not clearly understood. Three main causes for the high-k1 requirement are identified in this paper. First imperfections in the imaging system can reduce the processing margin. Subsequently the k1 factor has to be raised to compensate for the loss. Vibration and stray light are two examples. Secondly even a perfect imaging system can be limited by an unoptimized coherence factor and by optical proximity effects which are increasingly dominant as k1 is reduced. These are basic limitations imposed by the diffraction phenomenon. Thirdly the conditions at the recording media i. e. the photoresist and the substrate can require unsuspectedly large processing margins which necessitate a high k1. Experimental and theoretical results are given to substantiate the above and to lead to methods for low-k1 manufacturing. 1.
Phase-shifting mask and FLEX method for advanced photolithography
Practical resolution the minimum feature size with a depth of focus (DOF) required for LSI fabrication process is analysed. Analysis is based on the calculated optical image characteristics and experimentally obtained image quality criterion for pattern delineation. It is found that practical resolution is not improved but may even be degraded with increasing NA and/or shortening wavelength. This means that the high resolution capability of advanced optical systems cannot be effectively utilized in actual fabrication of future LSIs if conventional optical lithography is used. To overcome this limitation the effectiveness of advanced image formation techniques the phaseshifting method and the FLEX method are investigated. It is shown that these techniques make it possible to overcome the limitations of conventional optical lithography. 1.
Considerations on the focus latitude for G-line and DUV resists
Ralph R. Dammel, Charlet R. Lindley, Winfried Meier, et al.
The demand for enhancement of the resolution in optical lithography requires a shift to shorter exposure wavelengths. The achievable resolution in a lithographic process is usually determined by means of the Rayleigh criterion, minimum resolvable feature size = k1 A/NA in which k1 is an adjustable parameter dependent on resist type and processing parameters. While according to this criterion, resolution is inversely proportional to the numerical aperture, the depth of focus is decreased in an inverse square power dependence according to depth — of—focus = k2 A/(NA)2 For high NA steppers, depth of focus may quickly become the process —limiting factor. It is a distinct drawback of the Rayleigh criteria that the k —factors may only be determined a posteriori from the results of a given process, so that their predictive value is severely limited. In this contribution, computer modeling is used to investigate the depth of focusfresolution problem for different exposure wavelengths. An extension to the photolithography simulator SAMPLE has been made allowing of the simulation of bulk imaging effects which occur during formation of the latent image in the resist layer. The model implemented accounts for the depthwise defocus of the lateral irradiance distribution within the resist film and gives the actual resist profile as a final result. This extended lithography model explains the asymmetries in the photolithographic response observed in the focus offset dependence of submicron resist images when the depth of focus becomes comparable to the resist film thickness. It can be applied to deternzine the absolute position of the resist film suiface with respect to the Gaussian image plane. Furtherniore, the algorithm can be utilized to establish the usable f ocus latitude for a given combination of a projection lens/ resist system from the basic characteristics of lens system and resist material. The general approach closely follows the one suggested by Mack [1] using the PROLITH simulator. SAMPLE results are compared to experiment for g —line exposure, and the treatment is extended to DUV materials.
Optical Processes II
icon_mobile_dropdown
Assessment of high-contrast G- and I-line resists using high-numerical-aperture exposure tools
Alois Gutmann, Johann Binder, Guenther Czech, et al.
Tests of several high contrast g- and i-line resists furnish data with respect to the resolution limit focus and exposure latitudes thermal stability and Dill parameters. A g. -line stepper of NA 0. 48 and an i-line stepper of NA 0. 40 were primarily used for exposure to a minor extent a g-line stepper of NA 0. 55. The contributions to the focus budget available under production conditions are discussed. SAMPLE simulations extending NA to 0. 60 in the gline and 0. 50 in the i-line case give latitude trends to be expected in the near future. 1.
Characterization of an asymmetric nonlinear component of process induced distortion in thermally stressed silicon wafers
Paul T. Herrington, Bruce E. Woolery
Process Induced Distortion (PID) was characterized on a Non-thermally Ramped N channel Logic technology. Worst case registration locations were identified by mapping 120 registration sites per wafer on 8 wafers with a Quaestor measuring system. Final characterization of the effects of PD on registration was done by measuring 8 sites per wafer on more than 200 wafers. A thermally ramped process was developed that reduced PD from O. 596um to O. O8Oum for worst case location residual Y component (non-linear component of registration) and from -7 to -2 ppm for the Magnification offset in Y(YMAG a linear component of distortion). Non-Ramped lots processed together exhibited more than twice the residual PID at the Source end vs the Handle end of the Diffusion Furnace load O. 918um to O. 479um respectively. Ramped lots showed no differences in residual PID between Source and Handle ends and measured O. 123um and O. ll6um respectively. Yield and Reliability were improved on the Ramped portion of ten split lots. Die Yields improved due to a reduction in crystal slip several regions were impacted including the areas of the worst case residual PID. Contact Electromigration jeopardy was reduced by an improvement in metal-contact coverage from 60 to 100 for the median die in the worst case registration locations. 1.
Deep-ultraviolet lithography for 500-nm devices
Each DRAM design generation has required higher reoiution imaging and overlay capability. The 500-nm lithographic ground rules of a 16-Mb chip make deep-UV (DUV) an attractive alternative to,thc more stanth,rd mid-UV (MUV) photolithography presently practiced for less demanding technologies. The shorter wavelength permits an unproved depth of focus by allowing the same resolution at smaller numerical apertures. This approach retains the simplicity of single-layer-resist processing rather th a ii forcing conversion to m ultilayer imaging.
Advanced I-Line Technology
icon_mobile_dropdown
Image quality of higher NA I-line projection lens
Shinichi Nakamura, Koichi Matsumoto, Kazuo Ushida, et al.
These days much attention is being paid to the potential of i-line lithography. We have manufactured a high numer ical aperture ( N. A. ) i-lme lens in order to study this potential. The lens specification is as follows magnification : 1/10 N. A. : 0. 65 field size : 5X5min. In this paper we first compare the difference between the image quality of g-line and i-line optics with the same resolution and then we present the results of our experiment with the new i-line lens which shows the considerable P055 ibil ity of sub-half micron 1 ithography with an i-l me optical stepper. 1.
High-numerical-aperture I-line stepper
Barton A. Katz, James S. Greeneich, Mark G. Bigelow, et al.
I-line stepper technology is described which features a new generation high NA lens and an improved implementation of a phase grating alignment system. Combining the high NA lens with high contrast resist processing enables O.5um processing to be supported with good process latitude and CD control without adverse effects due to lens heating. Alignment technology compatible with advanced processing is described. Overlay data taken from several steppers shows less than lOOnm capability which is sufficient to support O.5um design rules. Further advancements in Iline processing technology to O.41um are described which allows this technology to be used in developing advanced products.
0.5-um photolithography using high-numerical-aperture I-line wafer steppers
William H. Arnold, Anna Maria Minvielle, Khoi A. Phan, et al.
Results are presented from a new high numerical aperture (NA 0. 48) iline 5X reduction lens which resolves 0. 5 micron lines and spaces over greater than 1 micron depth of focus in several commercially available i-line resists. The performance of this lens is contrasted with that of a NA 0. 40 i-line lens. The NA 0. 40 lens has better depth of focus for 0. 7 microns lines and spaces (L/S) and larger while the NA 0. 48 lens has better depth of focus for L/S smaller than 0. 7 microns down to a resolution cutoff near 0. 35 micron L/S. Other characteristics of the lens such as its relative insensitivity to absorption heating effects and its behavior as a function of the overpressure of He gas within the lens are explored. Simulation work suggests that a NA of between 0. 5 and 0. 55 is optimum for printing 0. 5 micron L/S. Further it suggests that there may be sufficient depth of focus at 0. 4 micron L/S to make i-line a competitor to DUV lithography for the 64 Mbit DRAM generation. 1.
Mask Technology
icon_mobile_dropdown
Dry etching for high-resolution maskmaking
Serge V. Tedesco, Christophe Pierrat, Jean Michel Lamure, et al.
New development on both positive and negative tone resist allows maskmaking technology to achieve sub half micron fea tures through dry etching process. This paper will demonstrate lOOnm to 200nm lines and spaces maskmaking capability using standard chromium photomask coa ted with single layer resist SAL6O1 from Shipley for negative tone image and PLASI4ASK from UCB Electronics using the PRIME process for positive tone image. Moreover using PRIME a full dry process from resist deve lopment to chromium etching is proposed. An optimized process point for chromium etching using both SAL6O1 and PLASMASK resists obtained by statiscal experimen tal design is proposed. I .
0.5-um optical mask process for 364-nm scanned laser lithography
The implementation of a 0. 60 NA 20X objective lens in a new high resolution mask lithography tool utilizing 364 nm scanned laser lithography provides the opportunity to investigate an optical alternative to e-beam lithography for mask manufacturing with minimum line widths of 0. 5 micrometer. The near i-line illumination of this system allows the use of commercially available i-line resists developed for i-line wafer steppers. A number of these i-line resists are evaluated for use in 364 nm scanned laser mask lithography. The characteristics of routine one-step dry-to-dry processing for masks with 0. 5 micrometer minimum line widths are shown including exposure focus and development latitude. Several wet etchants are evaluated for use with 0. 5 micrometer mask processing. The consequences of zero bias (tape CD to mask CD) processing and the resolution limitations of wet etching for masks are discussed.
New phase-shifting mask with highly transparent SiO2 phase shifters
Isamu Hanyu, Satoru Asai, Kinjiro Kosemura, et al.
A phase-shifting mask enables subhalf-micron optical lithography. We propose a new phase-shifting mask with Si02 phase shifters. Si02 phase shifters on a quartz mask substrate have the advantages of low absorption under deep UV and a lack of multiple interference. Si02 phase shifters were fabricated by lift-off of the evaporated Si02 film. The new phaseshifting mask is highly transparent to deep UV and provides a 2 uniformity of phase shift over the full exposure field of a 5X stepper. Improved resolution of 0. 25 im lines and spaces was achieved by using a KrF excimer laser stepper and the new phase shifting mask. We also characterize the image profile projected with a phase-shifting mask because the reproducibility of mask features on a wafer declines when a phase-shifting mask is used. We indicate the importance of the interference between the main and side lobes of diffraction patterns for individual apertures and clarify the mechanism ofreproducibility degradation in optical lithography using a phase-shifting mask. 1.
Alignment Strategies
icon_mobile_dropdown
Precise alignment using optical phase-shifting technique
A new precise alignment technique using optical phase-shifting, Fourier transform and spatial filtering has been developed for registering a symmetric pattern on the substrate to a phase-shifting pattern on the reticle. The alignment between two marks was determined by detecting a minimum point in the zero order spatial frequency intensity of the light reflected from the alignment mark on the substrate. The minimum is due to the complete phase-cancellation when the alignment marks co-centered. The theoretical analysis and computer simulations were performed to show that this technique is not affected by variations of linewidth and step height of the alignment mark pattern as well as variations of optical properties of the substrate in which the alignment mark is built. The preliminary experimental results were in good agreement with the calculations. It has been shown that this technique does not have the alignment ambiguity problem existed in the techniques using grating pattern and detecting interference moire image. Current results indicate that the overlay accuracy of the technique can be better than 0. 1 .tm.
Modeling of optical alignment and metrology schemes used in integrated circuit manufacturing
Chi-Min Yuan, Andrzej J. Strojwas
The aim ofour work was to develop rigorous models to study various optical alignment and metrology schemes implemented in commercial tools. These schemes indude wafer alignment schemes in steppers and linewidth measurement schemes in optical microscopes. A simulator called METRO based on the models developed has been implemented to facilitate this task. Theoretical and experimental verification efforts have been performed to examine the validity of the simulation results and good agreement has been obtained. By utilizing METRO process engineers can gain more insight into the equipment under operation so as to obtain more accurate alignment and measurement results. Also the equation formulation in METRO is general enough so that optics designers can easily adopt or modify the code to help in devising innovative alignment and metrology schemes. 1.
Heterodyne holographic nanometer alignment for a half-micron wafer stepper
Kazuhiro Yamashita, Noboru Nomura, Keiji Kubo, et al.
Heterodyne Holographic Nanometer Alignment system has been applied in a halfmicron wafer stepperfor mass production usage to achieve the overlay accuracy within 0. 1 micron. Resultant overlay accuracy was successfully obtained within 63nm/3sigma for die by die alignment sequence and 8Onm/3sigma for multisampling global alignment sequence. A throughput of 50 6inch wafers per one hour was achieved for multi global alignment sequence. Alignment error budget was estimated for this alignment system and it was confirmed that total overlay accuracy of all processed wafers within 0. 1 micron for halfmicron photolithography was realized using Heterodyne Holographic Nanometer Alignmnent method. In addition onaxis TTR (Through The Reticle) alignment system was investigated for a future subhalf micron wafer stepper and the resul tant overlay accuracy was improved to be 55nm/3sigma. 1 .
Evaluation of a silicon trench alignment target strategy
Gary E. Flores, Warren W. Flack
An evaluation of an optical lithography alignment target strategy based on a trench structure dry etched in a silicon substrate prior to device fabrication is presented. Use of this silicon trench target provides a robust target which is necessary for alignment of difficult layers on processes employing multilevel metallizations with planarizing dielectric films. In comparison the use of other targets schemes are less effective on steppers that utilize a darkfield alignment technique when aligning these difficult backend metal process layers. Additional motivation for this study is the requirement of tighter overlay specifications at all levels as device geometries are reduced to the submicron region. This silicon trench target scheme minimizes the total root mean square overlay budget by aligning all process layers to the silicon trench target. Therefore this technique can effectively enhance efforts to scale device dimensions. In this study the effects of target polarity target dimensions target design and silicon etch depth of the target on process alignment latitude are shown for a submicron CMOS process of three layers of metallizations with intermetal planarizing dielectric films. The selection of thin films deposited over the silicon trench target during the process sequence was also optimized to enhance the silicon trench target. The process alignment latitude results of this evaluation are based on an assessment of alignment target signal integrity including signal to noise ratio and target symmetry. In addition quantification
Alignment and Focusing Methods
icon_mobile_dropdown
Chip leveling and focusing with laser interferometry
Yoshitada Oshida, Minoru Tanaka, Tetsuzou Tanimoto, et al.
A new chip leveling and focusing method has been developed which uses interferometry with a laser beam which has S-polarization and a large incident angle to the exposure surface of an LSI wafer and thereby leveling and focusing accuracy is maintained regardless of the kinds of layers on the wafer. A pilot model of this type of detection method demonstrated a leveling and focusing accuracy of about and 1.
0.10-um overlay for DRAM production using step and scan
Harry Sewell, Scott J. Smith, Daniel N. Galburt
The resolution capabilities of lithographic tools are being progressively pushed to allow the replication of increasingly smaller lithographic features1'2 The target for 0.5-micron resolution is almost past; 0.4-micron resolution is of keen interest; and the goal of 0.35 micron is just around the corner. The overlay requirements using the familiar rule of thumb of Overlay = Resolution I 4 indicates that a 0.10-micron (mean plus 3 sigma) specification is required for 0.40-micron resolution tools. This is not an easy task. We will explore it in the context of a Step-and-Scan' alignment system.
Enhanced structures for through-the-lens alignment with DUV lithography
Andrew P. Sabersky, Robert J. Naber, Kevin G. Riddell
Alignment is the dominant performance issue for photolithography tools as integrated circuit feature sizes approach 500 nm. A study is presented on two new types of alignment structures for use with scribelines of lOOjim or less using a 1X wafer stepper with through the reticle and through the lens alignment with darkfield detection. Single line alignment structures represent a continuation of prior work1 which uses system software to automatically characterize signal strength and process latitude. Multiline pseudorandom ( MPR ) alignment structures are introduced which provide increased signal intensity. Darkfield target illumination is introduced and is shown to enhance target capture. The application of these new alignment structures for use with deep UV (DUV) and other situations is reviewed.
Optical Processes II
icon_mobile_dropdown
Algorithm for optimizing stepper performance through image manipulation
The advent offlexible steppers allowing variation in the numericalaperture partial coherence and possibly other optical parameters allows new opportunities for optimization. Thispaper wilidiscuss a methodforpicking the optimum numerical aperture and partial coherence for a given mask pattern and focus budget. An algorithm will beproposedforfinding the optimum values. This algorithm could be used as a controllerfor an intelligent stepper
Image and Process Modeling
icon_mobile_dropdown
Photolithography simulation on nonplanar substrates
A iod1 of oJ. )tica. I hthograpliy suitable for certain types of onedimensional 1)eriOdic tOpogra)hy including birds beaks afl(L reflowed BISC4 structures is described. it is based on a formalism of diffraction grating theory which uses a. coordinate t. raiisforna. tiou to iap all the nonpla. uar surfaces oiit. o parallel planes a. iid it ca. ii be used for the rigorous simulation of photoresist. latent images of oiiedimensional mask patterns with periodicity fuller j)a. ra. lleI or I)(rI)e11(Iicu1a. r to that of the topogra)hy. Effects of t. opogra)11y scattering 1)111k illiaging and )11otob1eachu1Ig are fully ta. keii into a. ccounl. for both types of mask patterns. Simulation results illustrate the combined effects of topography scattering and I) ulk iiiaging in iioiipla. na. r pliotoli tliogra. phiy using high iiuinerical aperture optics.
Investigation of reflective notching with massively parallel simulation
Karim H. Tadros, Andrew R. Neureuther, John Kenneth Gamelin, et al.
A massively parallel simulation program TEMPEST is used to investigate the role of topography in generating reflective notching and to study the possibility of reducing effects through the introduction of special properties of resists and antireflection coating materials. The emphasis is on examining physical scattering mechanisms such as focused specular reflections resist thickness interference effects reflections from substrate grains and focusing of incident light by the resist curvature. Specular reflection from topography can focus incident radiation causing a 10-fold increase in effective exposure. Further complications such as dimples in the surface of positive resist features can result from a second reflection of focused energy by the resist/air interface. Variations in line-edge exposure due to substrate grain structure are primarily specular in nature and can become significant for grains larger than )tresi Local exposure variations due to vertical standing waves and changes in energy coupling due to changes in resist thickness are displaced laterally and are significant effects even though they are slightly less severe than vertical wave propagation theory suggests. Focusing effects due to refraction by the curved surface of the resist produce only minor changes in exposure. Increased resist contrast and resist absorption offer some improvement in reducing notching effects though minimizing substrate reflectivity is more effective. CPU time using 32 virtual nodes to simulate a 4 pm by 2 pm isolated domain with 13 bleaching steps was 30 minutes
Modeling and Alternative Imaging
icon_mobile_dropdown
Comprehensive 3-D notching simulator with nonplanar substrates
Eytan Barouch, Brian D. Bradie, Uwe Hollerbach, et al.
A comprehensive three-dimensional simulation model for non-planar substrate lithography is presented. Matching substrate as well as standing wave effects are examined. The projection printing is simulated using Hopkins'' results and the exposure model is solved using spectral element discretizations of the nonlinear wave equation coupled with the rate equation for the photoactive compound concentrate evolution. The dissolution algorithm describing moving fronts has been modified to handle various topographies thus yielding the final profiles. Results are presented for several test problems. 1.
Microcircuit lithography using holographic imaging
Ray T. Chen, Tin M. Aye, Lev S. Sadovnik, et al.
TLR holograms have been used to generate 0. 5 jim resolution images with illumination by an Argon laser operating at 457 nm. The contact (proximity) printing geometry compatible with standard wafer processing was used for the recording and reconstruction processes. In order to eliminate the expensive and bulky construction involving a prism a backside holographic wave coupler is proposed. 1.
Laser-Based Lithography I
icon_mobile_dropdown
Resolution performance of a 0.60-NA, 364-nm laser direct writer
ATEQ has developed a high resolution laser scanning printing engine based on the 8 beam architecture of the CORE- 2000. This printing engine has been incorporated into two systems: the CORE-2500 for the production of advanced masks and reticles and a prototype system for direct write on wafers. The laser direct writer incorporates a through-the-lens alignment system and a rotary chuck for theta alignment. Its resolution performance is delivered by a 0. 60 NA laser scan lens and a novel air-jet focus system. The short focal length high resolution lens also reduces beam position errors thereby improving overall pattern accuracy. In order to take advantage of the high NA optics a high performance focus servo was developed capable of dynamic focus with a maximum error of 0. 15 tm. The focus system uses a hot wire anemometer to measure air flow through an orifice abutting the wafer providing a direct measurement to the top surface of resist independent of substrate properties. Lens specifications are presented and compared with the previous design. Bench data of spot size vs. entrance pupil filling show spot size performance down to 0. 35 m FWHM. The lens has a linearity specification of 0. 05 m system measurements of lens linearity indicate system performance substantially below this. The aerial image of the scanned beams is measured using resist as a threshold detector. An effective spot size is
Modeling and Alternative Imaging
icon_mobile_dropdown
Evaluating pattern transfer lenses for deep-UV laser-induced processes
Gerhard Solaro, Erich Keckeis, Friedrich G. Bachmann
Pattern transfer for deep-UV laser induced chemical processes for IC fabrication requires projection systems which include as active optical components not only the projection lens itself but in addition the window of the process chamber and the process gas volume. A measurement technique has been developed which allows the direct observation of the aerial image formed by such a projection system avoiding the necessity of resist exposure and development. In this paper we describe the test and measurement apparatus as well as modifications made to the laser light source which become necessary when using the system for single shot exposures. Initial results show that the system is capable ofresolving 0. 5 pm lines and spaces in the image plane. Pictures are presented which show the influence that defocussing has on the edge acuity of an imaged test structure. 1.
Laser-Based Lithography I
icon_mobile_dropdown
Evaluation of resists using ArF excimer laser projection lithography
Masaru Sasago, Yoshiyuki Tani, Masayuki Endo, et al.
In order to study the feasibility of ArF excimer laser lithography, we developed the projection system installing a refractive 5X reduction lens. We investigated a pattern fabrication by using several resists and this ArF excimer exposure system. Quarter-micron patterns have been fabricated by using newly developed ArF excimer laser projection system with refractive-projection lenses of monochromatic-spherical and monochromatic-aspherical type. Speckle-free line and space patterns below 0.25 micron with excellent quality have been obtained by aspherical lens. In conclusion, ArF excimer laser lithography has been confirmed as an effective technology to fabricate quarter micron patterns. Furthermore, ArF excimer laser lithography is feasible using a modified KrF excimer laser lithography system. However, ArF excimer laser lithography needs a suitable resist material. And also, we study about fundamental resist materials for ArF excimer laser lithography.
Effect of central obscuration on image formation in projection lithography
Steven T. Yang, Robert L. Hsieh, Y.-H. Lee, et al.
Central obscuration of the pupil is a prominent feature of many high performance reflective designs being considered for sub-200nm lithography. The performance of centrally-obscured designs were investigated using computer simulations of projected image intensity and major features from simulation were experimentally confirmed. The effect of partially-coherent illumination on centrally-obscured systems was studied and an optimized annular illumination system is proposed. 1.
Advances in deep-UV lithography
Setha G. Olson, Christopher Sparkes
No abstract available.
Lasers for Lithography
icon_mobile_dropdown
High-repetition-rate KrF lithography excimer laser with narrow bandwidth below 2 pm
Peter Lokai, Ulrich Rebhan, Peter Oesterlin, et al.
Commercially used lithography lasers are line narrowed KrF excimer lasers (Ref. 1) operated at (248.38 0.2) nm at 2 W (10 mJ, 200 Hz) with a bandwidth of typically 3 pm (Ref.2). A system control concept for alternative control at two different locations including a remote RS 232 C operation has been developed. The on-line controlled parameters are: pulse energy, absolute wavelength, bandwidth and maintenance requests. Two years field experience with Lambda 248 L excimer lasers and long term test results are summarized. Lifetime issues and scheduled service actions are also presented. The 4 W Lambda 248 L-400 excimer laser was operated with modified optics, which led to an integral bandwidth below 2 pm. A narrower bandwidth provides the advantage of producing smaller feature sizes, e.g., 0.4 tm even with higher numerical aperture lenses. Bandwidth measurements and methods are compared. Lineshape measurements and corresponding energy portions were calculated to describe the spectral distribution. Long-term bandwidth monitoring results (over 8 hours continuous operation, burst mode operation) are described. Furthermore the high rep.-rate 248 L-400 laser was evaluated relative to pulse energy, bandwidth and pulse to pulse energy fluctuations. A maximum average power of more than 1 1 W (400 Hz, 28 nil) was achieved. Stabilized operation at 15 mJ, 400 Hz over several hours was demonstrated. The experimental results are presented and discussed.
Measurements of beam characteristics relevant to DUV microlithography on a KrF excimer laser
Richard L. Sandstrom
We present measurements of several optical characteristics of the 248 nm beam produced by a spectrally narrowed KrF excimer laser designed for the deep ultraviolet reduction stepper. These measurements include intensity divergence and polarization profiles spectral content and coherence properties. Using a special high resolution spectrometer we have established an upper limit of 2. 27 pm FWHM for the spectral bandwidth in agreement with measurements made with a diagnostic etalon. We have also found that the wavelength varies across the horizontal profile of the beam at a linear rate of 0. 59 pm/mm. No comparable wavelength variation is seen in the vertical direction. Coherence measurements show that the vertical and horizontal spatial coherence lengths are different 60 mm and 126 mm respectively. The temporal coherence length is 33. 3 mm in good agreement with the measured bandwidth. 1.
Laser-Based Lithography II
icon_mobile_dropdown
Deep-UV wafer stepper with through-the-lens wafer to reticle alignment
Stefan Wittekoek, Martin A. van den Brink, Henk F.D. Linders, et al.
A new excimer laser stepper at 248 nm wavelength Is described wilti an all quartz 5x reduction lens with NA 0. 42 and 21 . 2 mm field size. Design aspects and experimental data are reported. A key feature of the system is a ilL alignment system with direct referencing of reticle to wafer operating at 633 nm The problem of the large focal plane difference beiween exposure and alignment wavelength is solved by special correcflon oplics in the lens. The introducflon of exclmer lasers as new light sources for produclion optical lithography has been facilitated by a flexible optical interface beiween laser and stepper and by an on line calibrafion system to control the laser wavelength. Experimental results for resolution and overlay performance are gtven. 1 .
Design and performance of a production-oriented deep-UV wafer stepper
Richard F. Hollman, Frederick Cleveland, Elvino M. Da Silveira, et al.
Significant advaixements sire the deliveiy of the AWLS stepper in 1987 have led to the introduction of a true production-oriented excimer laser stepper. T1 Laserstep 200 system a third generation deep IN stepper benefits from imprcwements in 248nm projection lenses and from t1 availability of more powerful reliable and fully integrated excimer lasers designed for lithography use. The design of the Laserstep system overcomes previous limitations in performance associated with tl use of pulsed 248nm KrF laser illumination. Waferless automated system calibration features previously developed for GCA g-line and i-linc systems have been adapted to function with a pulsed excimer laser source. These features maintain tl instrument in a production-qualified state while also prwiding valuable statistical process control data. Ikse control of 1 is achieved with exposures well under 200 msec allowing high throughput on the sensitive deep UV resists becoming available. 1.
Reduction lens and illumination system for deep-UV aligners
Juergen W. Liegel, Gerhard P. Ittner, Erhard Glatzel, et al.
The optical equipment for DUV-Aligners consisting of an all-quartz lens and an illumination system for a 248 nm narrowband excimer laser has been developed. First the concept of the illumination system is discussed. It was possible to achieve good illumination homogeneity in reticle and pupil planes combined with a reasonable efficiency. Data on illumination intensity and homogeneity is reported. An all-quartz lens which cannot be chromatically corrected has been designed and tested. The 5 : 1 reduction lens has a NA of 0. 42 and can print 0. 5 iim lines and spaces over a field diameter of 21. 2 mm. To obtain diffraction limited resolution a spectral bandwidth of 3 pm is required. Experimental data on optical performance is presented. Changes in environmental conditions can be compensated and do not influence the imaging quality. 1.
Characterization methods for excimer exposure of deep-UV pellicles
William N. Partlo, William G. Oldham
A variety of instruments are used to monitor the aging of pellicles exposed to deep UV radiation including densitometry, VFIR spectroscopy, UV spectroscopy, and ellipsometry. By far the most useful measurement is insitu transmission monitoring during exposure. A stable apparatus has been constructed and good lransmission versus dose data obtained for a variety of pellicle materials. Using a light pipe uniformer fed by a KrF excimer laser, dose rates up to 0.4W/cm2 can be obtained. Pellicle transmission changes due to optical thickness changes, ablation of AR-coatings, and increased bulk absorption have been observed (in typical oder of importance). SpectrOscopy is used to extract a pellicle's refractive index and physical thickness after various stages of exposure. It has been found that the pellicle's physical thickness changes with exposure while it maintains an essentially constant refractive index. A method for measuring the pellicle's thickness during exposure has been developed. Through this method, is has been found that a dark reaction (continued thickness loss) occurs long after the deep-UV illumination is terminated.
Image and Process Modeling
icon_mobile_dropdown
Modeling latent image formation in photolithography using the Helmholtz equation
H. Paul Urbach, Douglas A. Bernard
A novel method for simulating latent image formation in a photoresist illuminated by partially coherent light is described. Starting with an accurate procedure for discretizing the extended incoherent light source into sufficiently many point sources the fields incident on the resist corresponding to these sources are calculated. Then the latent image is calculated by repeatedly solving a boundary value problem for the Helmholtz equation using the finite element method. The characteristics of this method which is particularly suitable for the simulation of bumpy interfaces are discussed. The dependence of developed image on defocus is then investigated for the case of high NA. The obtained results are compared with those predicted by the vertical propagation and the first order models. The applicability of the method to bumpy layers is also illustrated. 1.
Poster Session: Optical/Laser Lithography
icon_mobile_dropdown
Direct writing on structured substrate by pyrolytic laser deposition
Janos Farkas, Andras Hamori, Zsolt Szabo
The effects of surface material and quality on the deposition process are crucial factors in pyrolytic laser direct writing applications on stuctured substrate. Any change in the deposition parameters of different layers leads to unevenly deposited lines or may cause line breaking at the boundaries of the layers. Apart from the well known effects caused by changes in the thermal properties of the substrate its physico-chemical properties may also influence the deposition parameters. Some experimental data are presented which are not directly explai. . nable as a result of changes in the thermal properties of the substrate. In order to rectifr partially the surface effects we suggest utilizing an electric control system based on in-situ transmission and reflection measurement which may enhance the deposited line quality and provide a means of detecting the line breaking. 1.
Investigation of phase-conjugated stimulated Brillouin scattering at 248 nm for application to photolithography
Anton K. Pfau, Detlev Proch, Friedrich G. Bachmann
Following the novel concept of applying phase conjugate mirrors for lensless imaging we have investigated the feasibility of such devices in connection with KrF excimer lasers for production of high resolution images. Since the beam characteristics of currently available excimer lasers lack sufficient quality we employed stimulated Brillouin scattering rather than four wave mixing for generation of phase conjugated waves. Various scattering media both liquid and gaseous with large gain coefficients were used. With gaseous SF6 pattern transfer of 80 sm lines was demonstrated. With the used experimental arrangement the system resolution was limited to 40 m. However with improved equipment higher resolution is possible. In particular the laser beam quality has to be controlled very carefully since an inhomogeneous intensity profile causes medium changes and breakdown resulting in low efficiency and poor quality backscattering. 1.
Quantitative evaluation method for practical resolution by integration factor in optical lithography
Hiroshi Ohtsuka, Kazutoshi Abe, Takeshi Taguchi
The requirement for the accurate evaluation of the practical resolution is increased to determine the effective range for pattern generation on actual devices. In the most of evaluation method the area of focus and exposure latitude for the CD control is applied to indicate the degree of practical resolution capability to the specified CD tolerance. However the evaluation results of arbitral pattern size is directly indicated as the resolution of mask dimension regardless to the existence of transfer bias and this indication produces the difference of pattern density between mask and resist. In this paper the requirement of optimization for objective CD is demonstrated from the relationship of transfer bias and pattern density by using of the simplified evaluation method of Focus Exposure Triangle. And finally the more accurate evaluation results are obtained by correcting the pattern density to the mask applying the new determination method for the optimum transfer bias correction. 1 .
Alignment performance of a 0.6-NA 364-nm laser direct writer
Alignment performance data is presented on a high resolution laser scanning lithography system. The alignment system is a through-the-lens on-axis design which features multiple optical paths such as bright-field and dark-field illumination and high and low magnification legs. Total system overlay is better than 0. 10 tm. 1. DW ALIGNMENT REQUIREMENTS Translating design data into patterned photoresist on wafers typically requires two lithographic steps. First data is transferred to a mask or reticle using either a laser scanning tool such as the ATEQ CORE-2500 or an e-beam system. Next the patterned mask or reticle is projected onto a wafer coated with resist. Throughout this paper I shall refer to systems which use two lithographic steps to transfer a pattern as Indirect Writers (1W). This is in contrast to Direct Writers (DW). As the name implies a DW patterns a wafer without the need for creation of a mask or reticle. 1W alignment systems must accurately register a wafer pattern to a reticle pattern. The most straightforward technique is to directly reference the reticle to the wafer through the projection lens. This approach eliminates baseline problems. 1W alignment systems require high precision. However since they have a reticle to reference (either directly or indirectly) absolute accuracy is not required. Measurements (alignments) are concerned only with the relative offset of the projected reticle image to a pattern on the wafer. The alignment system
Optimal binary image design for optical lithography
Yong Liu, Avideh Zakhor
we apply combinatorial optimization techniques to binary mask design for optical lithography. The mask is optimized in such a way as to pre-compensate the distortions due to diffraction of the optical system. Mean squared error (MSE) criterion is used to formulate the problem as a binary linear programming (LP) one which is then solved via branch and bound and simplex algorithms. Variation of the optimal mask as a function of the optical system bandwidth is discussed. Examples involving corners squares bars and crosses are presented. 1.
New indexes of the 0.5-um resolution resist for optical lithography
Aritoshi Sugimoto, Tetsuo Ito, Sadao Okano, et al.
New indexes to evaluate and simulate the resolution power of the UV resists based on the dissolution rate curve as it relates to local inhibitor concentration are proposed. Optical parameters and the dissolution rate curve of commercially available resists were measured and studied to show their effect on the resolution power. The optical parameters A B and C had very little effect on the resolution power while the dissolution rate curve greatly effected the resolution power. Two indexes are extracted from the dissolution rate curve. One is the contrast of the dissolution rate and the other is the range of the dissolution rate. By using these indexes the resolution power can be easily described. The indexes of an imaginary resist required for a 0. 5. tm process is shown. 1 .
Additional Paper
icon_mobile_dropdown
Image-height offset in TTL on-axis alignment method
Noriaki Ishio, Keiji Fujiwara, Hitoshi Nagata
The problems of the offset errors in the TTL alignment are studied. The further the position of alignment optics is from the center of the projection lens the bigger the offset error becomes. The lmageheight offset is the offset error caused by the position of alignment optics on the imaged field of projection lens. The maximum values of lmage. height offset for the dark and bright field alignment modes are O. 3p. m and O. O8tm respectively. The lmageheight offset is large with the dark field alignment because the stopper (spatial filter) in the optics is not fixed at the best place. 1 Recently the resolution of reduction projection photolithography has been improved to linewidth accuracy of 0. 5 pm. The required overlay accuracy is generally one fourth or one fifth of the pattern width thus a registration of the order of tens of nanometers is required for submicron devices fabrication. The overlay errors are divided into the intra4ield matching error and the registration error. The intra-field matching error consists of the difference in lens distortions between several steppers. If only one stepper is used to fabricate the device the overlay accuracy is almost equal to the registration accuracy. Many alignment methods have been developed in order to achieve a fine registration accuracy. Recently the g-line stepper has used two typical alignment methods. One is the TTL on axis alignment method
Poster Session: Optical/Laser Lithography
icon_mobile_dropdown
Considering Babinet principle for optical lithography resolution limit exceeding classical resolving power
A point of view concerning optical lithography resolution limit when moving into submicron domain is proposed. This is intended as a reevaluation of the classical resolving power definition considering not only the apperture (pattern) size but also its polarity and aspect ratio. Printing of aperture with opposite polarities is described in terms of Babinet Principle a theorem concerning distribution of light diffracted by complementary screens. Validity of the Babinet Principle is verified for optical image intensity profiles obtained from complementary appertures illuminated in aftimage Reversal process as well as for final resist " opaque" and " window" patterns. Experiments made with a test reticle having 1D/2D structures in two polarities establish a possible validity range for Babinet Principle in optical lithography and a consequent resolution limit of 0. 5 0. 6 microns. 1.
Lasers for Lithography
icon_mobile_dropdown
High-power and narrow-band excimer laser with a polarization-coupled resonator
Nobuaki Furuya, Takuhiro Ono, Naoya Horiuchi, et al.
As a light source for deep submicron lithography the authors have developed a long life and high power KrF narrow band excimer laser by installing a polarization coupled resonator into the conventional narrow band excimer laser with intra-cavity etalons. A maximum output power of 1O. 5Yi with a low etalon-load of L5W arid a narrow spectral band-width of 24pm at 200Hz is obtained. The central wavelength is stabilized to within only 5pm and drift is not observed when increasing the repetition rate from 4Hz to 200Hz without a wavelength feedback loop system. Furthermore the etalon-life is expected to be drastically prolonged to over a billion pulses resulting from the reduction of the etalonload to only about 10 of that in a conventional laser. 2.
Poster Session: Optical/Laser Lithography
icon_mobile_dropdown
Simulation and experimental results in O.6-um lithography using an I-line stepper
Joachim J. Bauer, Wolfgang Mehr, Ulrich Glaubitz, et al.
In this paper a comparison of modeling and experimental results is given. It uses a new 5x iline projection aligner from CarlZeiss JENA (NA 0. 35). The spectral bandwidth is 11. 4 nm The photoresist (ORWO) was developed from Fotochemische Werke Berlin. The resistthickness is 1. 2 um. It was used a newly developed threedimensional lithography simulator. We have simulated and produced 0. 6 um lines and spaces. 1.
Image and Process Modeling
icon_mobile_dropdown
Simulation of scattering effects in photolithography
A. J. W. Tol, Graeme D. Maxwell, H. Paul Urbach, et al.
Using a new program based on Maxwell''s equations which computes the latent image of relative inhibitor concentration in two dimensions we have investigated notching effects in a resist layer on non-planar substrates and were able to understand line-narrowing effects which were experimentally observed. The program properly takes into account oblique propagation of light rays (in particular reflections from nonplanar interfaces) effects due to defocus and the partial coherence of the illumination. Thicknesses and layout of the underlying layers were varied in order to determine which parts of the structure give rise to the notching problems. The results which would be prohibitively laborious to obtain experimentally can be used to narrow down the range of possible solutions to the reflection problem. Some situations are however amenable to experimentation. In order to find the optimum resist for making the desired structures with minimum line narrowing over topography the A and B parameters of the resist were varied. The simulation results are compared to experimentally obtained profiles.
Mask Technology
icon_mobile_dropdown
Recent advances in prepellicle mask cleaning
Bert F. Plambeck, Mark D. Cerio, James A. Reynolds
Cleaning of photomasks prior to pelliclizatlon Is the most demanding of all mask cleaning operations. A single particle found under a pellicle can lead to costly and time consuming repelliclization. Improved stepper resolution and automatic post pellicle inspection systems are making the requirement even more difficult to meet. Careful anal ysi s of parti culate sou rces an d clean i n g p rocesses b y Solid State Equipment Corporation have lead to the evolution of the SSEC Model 156SC which has proven to be effective as a sub micron prepellicle mask cleaner. It uses a combination of brush surfactant high pressure water static control fluid and rapid drying to achieve superior cleaning results. In an effort to meet their cleaning requirements In a cost effective manner DuPont Photomasks has installed this system in their San Jose Facility. The system design and the evaluation techniques are described. Some experimental data is presented.
Poster Session: Optical/Laser Lithography
icon_mobile_dropdown
Plasma etching of chrome masks using PBS resist
Guido Bell, H. Spierer
Standard PBS can be used as a plasma etch mask. In the three layer system PBS /antireflective chrome oxide! chrome the PBS layer only exhibits a strong dependency on temperature. PBS is stabilized by cooling whereas the etch rates of chrome oxide and chrome remain practically unaffected by lowering the temperature. The resulting edge slope of the chrome is thus a function of etch rates of the three layers. A selectivity of 1:1:1 (PBS:CrxOy:Cr) leads to the reproduction of the starting PBS slope into the CrO/Cr slope. Therefore standard PBS structures with the usual flat foot of the Srn-shaped slope need a strong descum step prior to plasma etching.