Barrier-injection transit-time diodes and transistors for terahertz generation and detection
Author(s):
V. Vyurkov;
A. Miakonkikh;
A. Rogozhin;
M. Rudenko;
K. Rudenko;
V. Lukichev
Show Abstract
We discuss the barrier-injection transit-time (BARITT) diodes and transistors which could be realized by modern silicon nanotechnology. The main advantage of such kind structures is that they guarantee a negative conductivity at THz frequencies even for rather strong scattering existing in realistic structures. The negative conductivity is crucial for generation. Unfortunately, in a diode the influence of the drain voltage on the height of the potential barrier near source contact is weak. The same weak is the variation in injection current. When a floating gate is inserted in the structure, the gate voltage with respect to the drain contact strongly operates over the barrier height under it and, therefore, injection current. This results in higher efficiency of generation. The oscillating current could be transmitted from the floating gate and the drain contact to a wave-guide (or antenna). THz radiation can be detected with the help of the same structures as used for generation. The basis of detection lies in the rectifying properties of the structures under consideration.
Plasmonic terahertz emitters with high-aspect ratio metal gratings
Author(s):
D. V. Lavrukhin;
A. E. Yachmenev;
I. A. Glinskiy;
R. A. Khabibullin;
M. Ryzhii;
T. Otsuji;
M. Shur;
K. I. Zaytsev;
D. S. Ponomarev
Show Abstract
We propose a novel technology for fabricating plasmonic photoconductive antennas (PCAs) based on superlattice (SL) with increased height of the plasmonic gratings up to 100 nm. We passivate the surface of the SL by Si3N4, etch there windows and deposit Ti/Au antenna metallization. The plasmonic gratings are formed by electron-beam lithography with Ti/Au metallization followed by lift-off. Then an Al2O3 anti-reflection coating layer for reduction of the Fresnel reflection losses is used on the top of the plasmonic gratings, which also serves for maintaining its mechanical stability and providing the excitation of guided modes at the resonant wavelengths of the subwavelength slab waveguide formed by the metal gratings. Current-voltage measurements under femtosecond laser illumination reveal strong increase of the transient photocurrent generated by the fabricated plasmonic PCA which is 15 times higher than for conventional one (i.e. without the plasmonic gratings). The obtained terahertz (THz) power spectra demonstrate 100-times increase of the THz power in the plasmonic PCA. The results might be of interest to the needs of THz spectroscopy and imaging systems, in particular, operating with low-power lasers.
Silver-based double metal waveguide for terahertz quantum cascade laser
Author(s):
R. Khabibullin;
D. Ushakov;
A. Afonenko;
N. Shchavruk;
D. Ponomarev;
O. Volkov;
V. Pavlovskiy;
I. Vasil’evskii;
D. Safonov;
A. Dubinov
Show Abstract
We have designed and fabricated terahertz quantum cascade lasers (THz QCLs) with double metal waveguide (DMW) based on three and four-quantum well GaAs/Al0.15Ga0.85As active module with resonant-phonon depopulation scheme. Three-well and four-well THz QCLs have a lasing frequencies of 3.2 THz and 2.3 THz, respectively. We investigate the dependence of threshold current and lasing output power on temperature for fabricated THz QCL. We propose to use DMW based on silver (Ag) for reducing the losses of the waveguide. The spectra of the loss coefficient of the DMW based on Au and Ag are calculated. It is shown, that the use of Ag-based DMW allows to reduce losses by 2-4 cm–1 in comparison with Au-based DMW. Taking into account the absorption of THz radiation by free carriers and optical phonons, the spectrum of total mode losses has a wide minimum in the region of 3-6 THz, which shifts to the highfrequency region of the spectrum with increasing temperature. The postgrowth processing for THz QCL with Ag-Ag DMW are studied.
Tunnel undoped multiple-gate field-effect transistor with Schottky contacts
Author(s):
V. Vyurkov;
A. Krivospitsky;
A. Miakonkikh;
Yu. Semin;
K. Rudenko;
V. Lukichev
Show Abstract
Tunnel undoped multiple-gate nano-FET with Schottky source/drain barriers (MG SB TFET) is proposed. One of the advantages of such transistors is the absence of technological operations associated with doping, which include the processes of ion implantation and fast annealing at high temperature (above 1000°C), causing a harmful diffusion of impurity and metal atoms through the structure. In the proposed construction the additional gates produce an electrical doping instead of a physical one in a single-gate p-n or p-i-n TFET. The indispensable fluctuations of doping concentration result in density of state tails in the semiconductor gap and, therefore, lower subthreshold slope of the single-gate TFET than that given by idealized models. The MG SB TFET could combine both low-power and highperformance applications. The sign of voltage on additional gates can switch the type of conductivity from n- to p-type analogously to CMOS technology. The method of fabrication of MG SB TFET is based on a sequential deposition of metals and dielectrics into the trench in dielectric layer on the semiconductor wafer with the subsequent anisotropic plasma etching.
Development of a molecular single-electron transistor with a single-atom charge center
Author(s):
V. Gaidamachenko;
E. Morozova;
S. Dagesyan;
E. Soldatov;
E. Beloglazkina
Show Abstract
Single-electron transistor, parameters and characteristics of which are fundamentally improve with decreasing of sizes of the elements it is created with, is a promising element of extremely small, molecular-scaled electronics. An atomic transistor consisting of a monatomic charge center inside a molecule connected with the source and the drain electrodes by aurophilic terminal groups of this molecule is the most promising version of such a transistor. However, the creation of a reliable technology for the manufacturing of such nanoelements is not yet completed and is a very urgent task. In this paper a version of such a molecular-atomic nanotransistor has been developed and implemented.
Charge effects in dielectric films of MIS structures being under high-field injection of electrons at ionizing radiation
Author(s):
Vladimir V. Andreev;
Vladimir M. Maslovsky;
Dmitrii V. Andreev;
Alexander A. Stolyarov
Show Abstract
In this work we study influence of α-particles and gamma-ray onto MIS structures being under high-field FowlerNordheim injections of electrons into the gate dielectric. We discover that ionizing current occurring at the time of radiation of MIS structures being under high-field injection of electrons by constant current can significantly lower density of injection current and decrease electric field in the dielectric film. We demonstrate that from analysis of time dependency of voltage across MIS structure at the time of constant current flowing we can determine a value of ionization current. The effect can be utilized for sensors of radiations which allow to control both intensity of radiation and a value of integral absorbed dose of ionizing radiation. We develop a model describing processes of change of charge state of MIS structures being under high-field injection at radiation influence. This model takes into account an interaction of injected electrons with products occurring in the dielectric film as a result of ionization radiation.
Formation of surface states in MOS devices by space radiation protons
Author(s):
Artem N. Volkov;
Dmitrii V. Andreev;
Vladimir M. Maslovsky
Show Abstract
This paper proposes the model, which is able to take into account an influence of space radiation on the process of surface states formation in MOS devices in contrast to existing models. We theoretically validate mechanisms of influence of space radiation protons on formation of surface states in MOS devices, which are different from known.
Nanoscale planar ring-shaped matrix field emitters based on multilayer graphene/SiC
Author(s):
I. L. Jityaev;
A. M. Svetlichnyi;
O. A. Ageev;
E. Yu. Gusev
Show Abstract
In this paper we investigated a planar field emission cell with a multiemitter cathode. Multilayer graphene on silicon carbide was used as the material of the field emission cell. The simulation of the electric field distribution in the nanoscale interelectrode gap of the field emission cell was carried out. The use of a planar multiemitter cathode on a flat base leads to inhomogeneity of the electric field strength at the tops of the tips. The electric field strength at the tops increases in the direction from the center to the edge. A design with a disk anode and a surrounding multiemitter cathode is proposed. The uniform distribution of the tips along the perimeter of the cathode contributed to the exclusion of the screening effect. The proposed design makes it possible to reduce the distance between the tips in the matrix cathode while maintaining the uniform electric field strength on the tops.
Static and dynamic spin-torque-diode sensitivity induced by the thermoelectric charge and spin currents in magnetic tunnel junctions
Author(s):
Gleb D. Demin;
Anatoly F. Popkov
Show Abstract
Based on the quantum-mechanical transport calculations of the charge and spin fluxes associated with the inhomogeneous thermal heating of three-dimensional structure of MTJ by the input RF microwave power, finite-element analysis of the thermal contribution to the spin-torque sensitivity of MTJ was carried out in the case of zero bias current. Within the magnon-induced spin-transfer torque model, the amplification of DC rectifying voltage in the spin-torque diode initiated by the spin pumping to the tunnel barrier from magnons was also estimated. The results obtained can be used for the development of new types of microwave detectors based on spin thermoelectric effects in MTJ.
Scalability analysis of magnetic-nano-junction-based STT-MRAM towards sub-20-nm technology nodes
Author(s):
A. V. Popov;
G. D. Demin;
A. F. Popkov
Show Abstract
Here we describe SPICE-compatible compact model of the nano-sized magnetic junction for STT-MRAM at technology nodes beyond 90 nm, where the impact of thermal stability factor and magnetotransport size effects should be taken into account at sub-20 nm dimensions. Within this model it was found that the spatial quantization of the spin-transfer torques which occurs in the magnetic nanobridge based on spin-valve junction (SVJ), when scaling down the nanobridge size below 10 nm, leads to several times higher switching speed, rather than in the case of using magnetic tunnel junctions (MTJ) at the same design rule. Implementation of the current-induced magnetization dynamics into the SPICE model of the nano-sized magnetic junction is based on the equivalent circuit for solving the Landau-Lifshitz-GilbertSlonczewski (LLGS) equation with the effective terms describing the microscopic behavior of spin-transfer torques. This model can be useful for predictive simulation of STT-MRAM performance at advanced technology nodes.
Investigations of the resistive switching of the TiN-TiO2-SiO2-W memristors in the oxygen atmosphere with varying pressure
Author(s):
E. S. Gorlachev;
V. M. Mordvintsev;
S. E. Kudryavtsev
Show Abstract
In this work we have manufactured and studied memristors based on TiN-TiO2-SiO2-W open sandwich structures on Si substrates. We have built an experimental setup and developed a technique of the memristor resistive switching investigations in the controlled oxygen atmosphere within the pressure range of 0.0001–750 Torr. The resistive switching of the studied memory elements was carried out using voltage pulses with varying limitation current and depending on the oxygen pressure. As a result, we have found that the memory element resistive switching to the highconductivity “ON” state takes place below a certain threshold oxygen pressure, which has a non-trivial dependence on the limitation current.
Nanoscale profiling and memristor effect of ZnO thin films for RRAM and neuromorphic devices application
Author(s):
Viktor S. Klimin;
Roman V. Tominov;
Vadim I. Avilov;
Denis D. Dukhan;
Alexey A. Rezvan;
Evgeny G. Zamburg;
Vladimir A. Smirnov;
Oleg А. Ageev
Show Abstract
Memristor effect in ZnO thin films was investigated. It was shown, that increase in the number of laser pulses during the formation of a thin ZnO film from 1000 to 3000 leads to increase resistance of ZnO film in the high resistance state (HRS) from 28.31±8.27 kΩ to 1943.53±123.11 kΩ and decrease resistance of ZnO film in the low resistance state (LRS) from 3.85±2.15 kΩ to 3.22±1.32 kΩ, respectively. Memristor structure fabrication technique was developed. Al2O3/TiN/ZnO/Ti memristor structure was fabricated and investigated. Resistive switching from HRS to LRS occurred at 0.4±0.1 V, and from LRS to HRS at -0.72±0.2 V. Endurance test shown that HRS is 72.41±6.22 kΩ, LRS is 1.05±0.32 kΩ. It was shown, that HRS/LRS ratio was about 69.7 at read voltage 0.3 V. As a result, Al2O3/TiN/ZnO/Ti memristor structure fabrication allowed to decrease switching voltage from 3.2±0.6 V to 0.4±0.1 V for SET, and from -3.5±1.1 V to -0.72±0.2 V for RESET, decrease current from 0.9±0.4 mA to 5.2±2.2 μA, and get less resistance dispersion, than Al2O3/TiN/ZnO structure.
Simulation of graphene field-effect transistors and resonant tunneling diodes based on carbon nanomaterials
Author(s):
Igor I. Abramov;
Vladimir A. Labunov;
Natali V. Kolomejtseva;
Irina A. Romanova;
Irina Y. Shcherbakova
Show Abstract
The development of field-effect transistors (GFETs), resonant-tunneling diodes (RTDs) and other device structures on the basis of graphene is one of the important tasks for producing a new element base for micro- and nanoelectronics. The report presents the simulation results of the GFET based on monolayer graphene in various operating modes, as well as the RTD based on bilayer graphene and carbon nanotubes. The main model of GFET [1, 2] was developed on the basis of the quantum drift-diffusion model. It is a combination of electrical and physical models. According to the model the electrostatic potential of the channel is calculated selfconsistently. The report describes the modification of the model for the case of GFET transfer characteristics calculation. The optimization method of dichotomy is used for this purpose. A satisfactory agreement with the experimental data not only of the output, but also of the transfer characteristics of a single- and dual-gate GFET was obtained with the use of the modified model. In the report, the influence of various factors on the characteristics of the investigated GFET was analyzed with the use of the model. The wave function formalism was applied in the development of numerical models of resonant-tunneling device structures based on carbon nanomaterials. It was also taken into account that RTD includes not only nanostructures (active regions) but also extended (passive) regions. Combined self-consistent models of RTD based on graphene and carbon nanotubes [3,4] were developed in accordance with quantum-mechanical and semiclassical approaches. The influence of various factors (height and shape of potential barriers, contact areas extension) on the characteristics of the RTD based on bilayer graphene was investigated with the use of the developed models. The programs realizing the models of GFET and graphene-based RTD were included in the nanoelectronic devices simulation system developed at the BSUIR since 1995 [5,6].
Modeling of the submicron MOSFETs characteristics for UTSi technology
Author(s):
A. S. Adonin;
K. O. Petrosyants;
D. A. Popov
Show Abstract
New SOS MOSFET design with the presence of high-resistance undoped silicon of intrinsic conductivity in the channel region near the source was proposed. 0.75 μm SOS MOSFET with the use of an "insertion" makes it possible to obtain the transistor with characteristics corresponding to a transistor with 0.5 μm topological channel length. This allows the factories to produce new competitive products without significant capital expenditures for the modernization of production capacities.
A linear “extrinsic” compact model for short-channel MOSFET drain current asymptotic dependence on drain bias in saturation regime
Author(s):
V. Turin;
R. Shkarlat;
V. Poyarkov;
O. Kshensky;
G. Zebrev;
B. Iñiguez;
M. Shur
Show Abstract
We derived the equation for the drain current of a short-channel MOSFET with nonzero differential conductance in saturation regime describing its nonlinear dependence on “extrinsic” drain bias and accounting for the parasitic and contact series resistances. This implicit equation could be numerically solved in the entire range of the drain biases. We have also derived the equation for the differential conductance of the “extrinsic” MOSFET in the saturation regime. Finally, we have proposed a linear approximation for asymptotic dependence of the “extrinsic” MOSFET drain current on “extrinsic” drain bias in saturation regime.
A novel approach to model high-speed microelectronic switch on the basis of hydrodynamic approximation
Author(s):
E. Ryndin;
A. Al-Saman
Show Abstract
In this paper, we introduce a new approach to model microwave high-speed microelectronic switch based on two vertically integrated quantum wells. Considering both longitudinal and transverse transport of electrons, an equivalent circuit and quasi-two-dimensional hydrodynamic model were suggested. The quasi-two-dimensional hydrodynamic model provides a detailed calculation of the spatial distributions of potential, electric field, electronic temperature, mobility, sheet electron concentration, the drift velocity of electrons and population ratio for the X and Γ valleys within the quantum channel with allowance for the overshoot effect along with the inter-valley transitions and ballistic transport. An analytical expression describing the switching time was derived. The distinctive feature of the proposed model is a possibility to calculate I-V characteristics without using any smoothing functions for joining linear and saturation regions. Numerical realization of the developed quasi-two-dimensional model shows high convergence and less computational expenditure compare to two-dimensional physical models. In addition, this approach may be used as a powerful tool for circuit designing based on the high-speed microelectronic switches as well as for their structure and parameter optimization. Our approach as well can be applied to simulate other devices behind microelectronic switch such as HEMT transistor and VMT transistor.
Efficiency of terahertz harmonic generation in GaAs quantum wire structure: a Monte Carlo simulation
Author(s):
Andrei V. Borzdov;
Vladimir M. Borzdov;
Vladimir A. Labunov;
Vladimir V. V’yurkov
Show Abstract
The process of high order harmonics generation in terahertz range in the GaAs quantum wire structure under the effect of external alternating electric field is studied. Nonlinear electron transport in the quantum wire is investigated by means of ensemble Monte Carlo simulation. Size quantization effects are taken into account via the self-consistent solution of corresponding two-dimensional Schrodinger and Poisson equations. The efficiency of high order harmonics generation in the structure is estimated for the lattice temperatures of 77 and 300 K.
Arrays of several Josephson junctions connected to oscillatory circuit
Author(s):
Victor K. Kornev;
Nikolay V. Kolotinskiy
Show Abstract
Serial arrays of two and three Josephson junctions coupled to a high quality oscillator circuit are considered using analytical approach and numerical simulation. Two different oscillation modes, the in phase and anti-phase ones, are possible in the systems above resonance frequency. It is shown that the first mode can be formally described through the same analytic theory as was developed earlier for one-junction system. This approach allows also analysing resonance peculiarities and phase-locking effects caused by external irradiation. However, stability of the mode is upset at low frequency and therefore the system shows abrupt switching either to other mode or to resonance oscillation state or to rest in superconducting state. In the anti-phase mode, the junction oscillations run with high amplitude, although resulting amplitude of the fundamental harmonic of the voltage across the arrays is negligibly small. The upper frequency of the mode stability domain decreases rapidly with McCumber parameter of the junctions and therefore this mode is relevant basically for arrays of overdamped Josephson junctions.
Simulation of single-electron transistor based on the molecule with single-atom charge center
Author(s):
A. A. Parshintsev;
V. V. Shorokhov;
E. S. Soldatov
Show Abstract
The transport characteristics of a single-electron transistor based on molecules with a monatomic charge center based on Rh, Ru, Pt, Sc, Cr atoms are calculated. The energy spectra of the studied molecules in various charge states are calculated. The results of the calculation of the parametric model’s coefficients of molecular shells for the studied molecules are presented.
TCAD-based performance analysis of nanoscale vacuum field-emission transistors at advanced technology nodes
Author(s):
I. D. Evsikov;
N. A. Djuzhev;
G. D. Demin;
M. A. Makhiboroda
Show Abstract
Full fabrication process of nanoscale vacuum channel and gate-all-around nanowire transistors at the 45, 32 and 22 nm technology nodes was simulated in Silvaco TCAD. Comparative analysis of operation modes was made on the basis of the obtained structures. It was shown that nanoscale gate-all-around transistor has sufficiently low power consumption while vacuum channel field effect transistor makes it possible to achieve performance that exceeds performance which can be obtained from the transistor with semiconductor channel. The combination of the above technologies can serve as approach to the creation of low-power and high-speed nanoscale vacuum devices using established complementary metal-oxide-semiconductor (CMOS) technology.
A low actuation voltage MEMS switch with protection against stiction
Author(s):
Ilia V. Uvarov;
Alexander N. Kupriyanov
Show Abstract
High actuation voltage and low reliability due to the stiction phenomenon limits the commercial success of microelectromechanical systems (MEMS) switches. This paper presents a single-pole double-throw MEMS switch with the pull-in voltage as low as 4.9 V. At the same time, the device is equipped with the mechanism that protects it from stiction. The switch is based on an aluminum beam suspended by the torsion springs over the driving and signal electrodes. Recovery of the device after stiction in the hot switching conditions is demonstrated. In the cold mode, stiction is not observed at the transmitted DC power up to 25 mW. The proposed design is characterized by the high mechanical reliability. The main reason of failure is an increase of the on-resistance because of contamination of the contacts.
Research of the microelectromechanical switch with different materials of metal membrane
Author(s):
Igor E. Lysenko;
Alexey V. Tkachenko;
Olga A. Ezhova
Show Abstract
This paper is devoted to the selection of the optimal material for the structural layer of microelectromechanical switches in order to reduce or completely eliminate the stiction of the moving parts of the structure to the fixed, as well as to minimize the values of the control voltages and switching speed without compromising reliability using the Ashby approach. The choice of the most suitable structural layer material is represented by performance indices for a number of key parameters of microelectromechanical switches. It is established that aluminum-based alloys and metals are the most preferable than other available materials for the structural layer of microelectromechanical switches.
Finite-element predictive 3D modelling and optimization of membrane-based thermoresistive MEMS accelerometers
Author(s):
N. A. Djuzhev;
R. V. Lapshin;
A. M. Belin;
A. I. Ovodov;
D. V. Novikov;
G. D. Demin
Show Abstract
A three-dimensional numerical model of a thermal accelerometer with a thermal resistance effect in a sensitive element on a thin-film multilayer membrane based on MEMS technology has been developed and tested. The change in temperature difference on thermistors in the acceleration range from 1 to 10g and the applicability of the proposed technological solution for the implementation of thermal inertial systems are analyzed. The results obtained can be used for the optimization and development of a multi-axis thermal accelerometer.
ANSYS simulation of the microaccelerometer sensor
Author(s):
S. Timoshenkov;
V. Kalugin;
S. Anchutin;
E. Kochurina
Show Abstract
The use of the ANSYS software for modeling the design of the sensitive element of the microaccelerometer is considered. The article presents the results of modal and static analysis. The features of the manufacturing technology of the sensitive element of the micromechanical accelerometer are given.
Automated approach to the analysis of geometric parameters of MEMS elements
Author(s):
N. Izrailev;
A. Kazachkov;
I. Rod;
A. Isachenko;
D. Shamiryan
Show Abstract
Modern high-tech MEMS manufacturing is not able to function without developed system of quality monitoring. Wellmanaged quality monitoring system enables to predict production risks and minimize them effectively. We devoted our research to such quality measurement tool as monitoring of product’s geometrical parameters between different MEMS manufacturing stages. This paper presents an example of automated approach to the analysis of optical images with the aim to control critical dimensions (CD) of MEMS products. For the purpose of CD monitoring, the special software has been developed. It executes several algorithms, such as image segmentation and Deriche edge detection, which allow to process one or several of images in a resource-saving manner. These algorithms were tested on both the interprocess control of critical dimensions and the control of kerf width and chipping after dicing technological process. As the result of their applying, huge-sized images (2 GB) are being processed in time less than 2 minutes and full optical inspection takes less than 10 minutes per wafer.
Research and analysis of heat exchange processes of a micromechanical mirror based on a thermal microactuator
Author(s):
S. Evstafyev;
V. Samoylikov
Show Abstract
This paper considers the multi-sectional structure of the thermal micromechanical mirror element, developed and manufactured by the National Research University "MIET". The structure consists of a movable part and a fixed part. The movable part includes a pair of thermal microactuators based on a multilayer structure of silicon oxide and aluminum, and a mirror reflecting element coated with aluminum. The fixed part is the area of attachment of the element to the silicon wafer. The temperature distribution along the length of the multi-sectional structure of the thermal microactuator is calculated taking into account the effect of the system of transverse heat-conducting structures under various heating conditions. An essential difference between the calculations, reaching two times, was established. The experimental studies showed the adequacy of the calculation results and proved that the calculation of the temperature distribution should take into account the cooling effect of transverse heat-conducting structures. Based on the calculations and experimental studies, a technique is proposed for analyzing the thermal state of the microactuator that takes into account the cooling effect of the transverse heat-conducting structures.
Silicon nanobridge as a high quality mechanical resonator
Author(s):
D. E. Presnov;
A. A. Dorofeev;
I. V. Bozhev;
A. S. Trifonov;
S. G. Kafanov;
Yu. A. Pashkin;
V. A. Krupenin
Show Abstract
The paper presents details of the fabricating technology of nanoscale mechanical resonators based on suspended silicon nanowires. The structures were made from silicon on insulator material, the thickness of the upper layer of silicon is 110 nm, the thickness of silicon oxide is 200 nm. Fabrication process contains standard CMOS compatible technologies only: electron lithography with positive resist, reactive ion and liquid etching, electron beam deposition of thin films. The presented structures can be used as sensors of mass, displacement, acceleration, pressure with extremely high sensitivity
Determination of vibration axes of the micromachined ring resonator for the modal tuning purposes
Author(s):
O. V. Morozov;
I. V. Uvarov
Show Abstract
In a ring-type resonator as a solid-wave gyroscope, geometric distortions due to microfabrication tolerances perturb the dynamics of the vibration modes used for angular rate sensing, thereby limiting the sensing accuracy. The imperfection of the ring shape causes an unwanted frequency split between the modes. The post-fabrication modal frequency tuning requires the information about the node/antinodes orientation of the standing waves. In this work, we investigate the vibration behavior of micromachined ring-type resonator using a laser vibrometer. Frequency response is measured around the eigenfrequencies of the second order in-plane modes. The node/antinodes location is detected from the frequency response received at the different points of the ring.
Improving the selectivity of carbon nanotube-based gas sensors via UV irradiation
Author(s):
N. Nekrasov;
I. Bobrinetskii;
V. Nevolin;
S. Khartov
Show Abstract
Carbon nanotube still holding the promising application in ultimate response sensors because of possibility of individual nanotubes operation for single molecular detection. The technology of local positioning of carbon nanotubes is well developed and integrated to conventional microelectronics processes. The problem is in relatively inert behavior of carbon atomic lattice that do not provide good charge transfer between nanotubes and small molecules decreasing the responsivity of sensors. The promising area of gas sensors for ammonia and nitrogen dioxide is covered by functionalized carbon nanotubes. Simply fabricated by chemical vapor deposition carbon nanotubes allow to functionalize them directly on substrate without working with solution. The effect of UV treatment on gas response changes for samples processed during different time and in two different atmospheres was investigated. In this report we study the process of UV functionalization of single-walled carbon nanotubes networks using Raman spectroscopy and atomic force microscopy. The dependence of carbon nanotubes sensitivity from UV processing time was evaluated.
Hybrid acousto-nano-biosensor
Author(s):
Vladimir V. Kolesov;
Vladimir V. Anisimkin;
Iren E. Kuznetsova;
Eugenii S. Soldatov;
Sarkis A. Dagesyan;
Alexander E. Melnikov;
Vadim V. Kashin;
Andrey V. Smirnov
Show Abstract
The technology realizing two multi-scale structures on piezoelectric single crystal lithium niobate plate was developed. The system of interdigital electrodes for the acoustic delay line operating at the range 2-3 MHz was produced by using photolithography. This delay line was combined with the nanotransduces having size about 60-80 nm. These transducers were produced by using negative electron-beam nanolithography.
Thyroglobulin detection by biosensor based on two independent Si NW FETs
Author(s):
G. V. Presnova;
I. I. Tcinyaykin;
I. V. Bozhev;
M. Yu. Rubtsova;
V. V. Shorokhov;
A. S. Trifonov;
M. M. Ulyashova;
V. A. Krupenin;
D. E. Presnov
Show Abstract
In this work we present a label-free, highly sensitive biosensors based on a silicon nanowire field effect transistor (Si NW FET) for the detection of the cancer biomarker - thyroglobulin. The Si structures with 70-90 nm width and 3-5 μm long NW were fabricated on silicon-on-insulator (SOI) substrates using e-beam lithography with a negative-tone resist and reactive-ion etching in a fluorine plasma. The metallic contact leads were carefully insulated by a sputtered silicon oxide layer to avoid a current leakage into analyte. The biomarker detection is based on a highly specific recognition of analytes by antibodies immobilized on the sensor surface. We used an original technique of antibodies covalent immobilization on small-sized gold nanoparticles (GNP) attached to the Si NW surface. The device was applied for the detection of ultra-low concentrations of thyroglobulin (Tg) in fetal bovine serum (FBS). Even the negligible change detection of the Tg level in the blood serum provides an early relapse and metastasis diagnostics of the differentiated thyroid cancer and evaluating the affectivity of the therapy. The limit of detection was estimated to be of ~6 pg/ml. Compared with the well-established commercial and experimental methods this is more sensitive.
High-sensitive ultra-low frequency hydrophone
Author(s):
T. V. Krishtop;
D. A. Zhevnenko;
S. V. Kokhanovsky;
P. V. Dudkin;
A. S. Zlobin;
A. Y. Belyaev;
V. G. Krishtop
Show Abstract
In this paper we demonstrate a new prototype of a high-precision ultra-low-frequency hydrophone on the basis of a planar type electrochemical transducer (ECT). We have developed a new planar electrochemical microchip and have invented a mechanical system that allows detecting the alternating pressure at low frequencies up to 0.01 Hz. We have obtained the transfer characteristics of our device to convert pressure variation into an electrical signal, and they are very promising. The main advantage of the electrochemical hydrophone is high sensitivity in the infrasound range. The developed sensitive element can become the basis for a new generation of acoustic pressure receivers, vector acoustic receivers, sound pressure gradient loggers.
Influence of the electromigration on the characteristics of electrochemical microsystems
Author(s):
D. A. Zhevnenko;
E. S. Gornev;
V. O. Kuzmenko ;
P. V. Dudkin ;
S. N. Zhabin ;
T. V. Krishtop ;
V. G. Krishtop
Show Abstract
The electromigration influence on main characteristics of planar electrochemical systems with difference parameters was studied. The transporting processes are simulated, current-voltage characteristic, transfer function and THD were calculated, and quantitative estimates of the magnitude of the asymmetric electromigration flow and its effect on the nonlinearity coefficient of the system are obtained.
Silicon oxides and silicon nitrides: structure, properties and applications in memristors
Author(s):
V. A. Volodin;
G. N. Kamaev;
V. N. Kruchinin;
V. A. Gritsenko
Show Abstract
The capacity of memory matrices grows exponentially, but in many approaches the degree of integration of memory elements already reaches physical limits, which stimulates research into the development of new physical principles and new materials for memory elements. Memristors are very promising elements of memory. The memristors are the basis for high-speed, non-volatile, radiation-resistant flash memory matrices of the new generation. The SiOx (0.3<x≤2) films of various stoichiometry were prepared using two methods: 1) evaporation of Si, SiO and SiO2 targets and deposition in high vacuum; 2) plasma enhanced chemical vapor deposition (PECVD). The SiNx (0.5<x≤4/3) films were deposited using PECVD. Raman scattering spectroscopy, visible and infrared (IR) absorbance spectroscopy and spectral ellipsometry and electro-physical methods were used for studies of the films. According to Raman spectroscopy data, the as-deposited SiNx (x<1.1) contain amorphous Si clusters, high temperature annealings lead to crystallization of the clusters and forming of Si nanocrystals in such films. As for SiOx films, amorphous Si clusters were observed in asdeposited films with x≤1. These data were confirmed from analysis of ellipsometry data. The current-voltage (I-V) characteristics of the films were studied. Effects of switching from high resistance state (HRS) to low resistance state (LRS) were observed for SiOx based films. These switching can be used in memristors.
Swift heavy ion stimulated formation of the Si quantum dots in Si/SiO2 multilayer heterostructures
Author(s):
G. N. Kamaev;
S. G. Cherkova;
A. A. Gismatulin;
V. A. Volodin;
V. A. Skuratov
Show Abstract
In the present study, we experimentally examined the effect of swift Хе ion irradiation of multilayer structures involving ultrathin alternating layers of SiO2 and amorphous hydrogenated silicon (α-Si:H), and subsequent isochronal anneals of such structures, on their properties. It is shown that the action of swift heavy ions on Si/SiO2 multilayer structures leads to the formation of Si nanoclusters. In the latter case, the multi-layer structure of the samples was retained, and the ordering of nanoclusters along ion tracks was observed. The irradiation produces nuclei which, during subsequent anneals, facilitate the formation of Si nanocrystals (Si NCs) in the dielectric layer. In the multi-layer metal-oxide-semiconductor (MOS) structures with Si NCs embedded in the dielectric matrix, a phenomenon of bipolar resistive switching was observed. On increasing the number of NC layers, during the on-off process intermediate metastable states were manifested. This observation may prove important for realization of intermediate resistance values (multi-bit data storage) and for the development of additive neuromorphic systems.
Luminescent properties of GeOx thin films and GeO/SiO2 heterostructures modified with swift heavy ions
Author(s):
S. G. Cherkova;
V. A. Volodin;
V. A. Skuratov;
M. Stoffel;
H. Rinnert;
M. Vergnat
Show Abstract
The luminescent and structural properties of GeOx thin films and GeO/SiO2 multilayer heterostructures, irradiated with 167 MeV Xe ions with fluencies up to 1013 cm−2 , were studied. We report strong photoluminescence in visible range at room temperature, which is most probably due to Ge-related defect-induced radiative transitions. And infrared luminescence bands (from ~0.8 eV to ~1.2 eV) were observed in as-deposited and irradiated structures, which can be related to defects or defects complexes in GexSiyO2 glass and partially in Si substrate. It was shown that swift heavy ion irradiation does not lead to the expected phase separation of germanium suboxide into germanium nanoclusters and GeO2, but causes the intermixing of GeO/SiO2 layers with the formation of Ge-O-Si bonds.
Features of the field damage of ultra-thin insulating layers of the silicon oxide
Author(s):
Galina V. Chucheva;
Evgeny I. Goldman;
Yuriy V. Gulyaev
Show Abstract
Results of experimental studies of the stability of metal-oxide-semiconductor (MOS) structures with an oxide thickness of less than 40 Å to the effect of strong, but before breakdown electric fields are analyzed. It turned out, that objects with an ultra-small thickness of SiO2 are much more "submissive" to the field stress – they are more easily damaged by external influences, but they are much more quickly restored to their original state at the room temperature. In the process of the exposure of structures in a strong electric field, additional localized electronic boundary states with a concentration exceeding 1013 cm-2 at the silicon-oxide contact are formed. Recharging of newly formed centers with increasing field voltage certainly ensures the accumulation of an excess charge at the silicon-oxide interface, sharply increasing field in the insulating layer. This phenomenon should have a decisive influence on the change in tunnel current-voltage characteristics of Si-MOS structures after the field stress.
Fabrication technology of sol-gel Al2O3 films for organic field-effect transistors
Author(s):
Sergey P. Avdeev;
Evgeny Yu. Gusev
Show Abstract
A sol-gel synthesis of alumina-based films and followed single crystal formation by thermal fields in vacuum are investigated that can be applied as gate dielectrics for organic field-effect transistors. The results showed that the values of roughness and thickness of the sol-gel Al2O3 film decreased by almost 2 times with increasing temperature annealing in vacuum. It is established that the dielectric constant of sol-gel films decreasing from 9.1 to 7.3 through vacuum annealing at 1000°C acquiring typical value of crystalline sapphire.
Influence of power and pulsed regime of low frequency discharge on clusters incorporation in dielectric films for ReRAM application
Author(s):
A. A. Popov;
A. E. Berdnikov
Show Abstract
Conductive switching effect in MIS structure may be used for non-volatile memory devices, reprogrammable logic matrix, neuron networks. We investigate possibility of silicon oxide using as active dielectric layer. Unfortunately high quality silicon dioxide can’t provide this property. Dielectric material must have some structural features. Usually silicon rich oxide used for this purpose [1, 2, 3]. One of way producing such material is using plasma of low frequency gas discharge enhanced chemical vapor deposition (LF PECVD). Silane radicals and ions take part in gas phase polymerization. As a result nanoparticles appear in gas phase, and they incorporated in growth film. For producing low size MIS with conductive switching effect high volume density of clusters in deposited films are needs. Influence of technology parameters on volume density of clusters for LF PECVD was investigated, and achieved results presented in this paper.
Structural and morphological properties of Ga(Al)N grown by MBE on 3C-SiC/Si (111) templates with off-axis and on-axis substrate orientation
Author(s):
K. A. Tsarik;
S. D. Fedotov;
V. K. Nevolin;
V. N. Statsenko
Show Abstract
Results of surface morphology and crystalline structure had shown for Ga(Al)N layers which was grown by MBE on 3С- SiC/Si(111) virtual substrates with on-axis and 4° off-axis orientation. Roughness values had increased up to ~7 nm for on-axis and to ~5 nm for 4° off-axis orientation after 560 nm deposition of GaN. Monocrystalline GaN(0002) was verified by ω-rocking curve measurement with FWHM 0.61-0.76° for on-axis and 0.55-0.65° for 4° off-axis orientation. Wafer bow shown the ascending up to ~18 μm for on-axis and ~12 μm for 4° off-axis orientation and tensile strain for all samples had been confirmed.
Laser reduction of graphene oxide thin films for nanoelectronic application
Author(s):
I. A. Komarov;
N. S. Struchkov;
D. D. Levin;
G. O. Silakov;
E. E. Danelyan;
M. A. Orlov;
S. N. Sсherbin;
V. V. Bogachev;
N. K. Lagodenko
Show Abstract
In this article a new approach to graphene oxide spray deposition is demonstrated. Developed spray methodic allows to fabricate uniform low thickness graphene oxide coatings on a wide range of substrates without surface hydrophilization. A comparison of films obtained by spray a spin coating methods is given. The perspectives of industrial application of developed method for production of graphene oxide bio- and gas sensors are considered. Results of graphene oxide films local reduction performed by 1030 nm continuous CO2 laser engraver and 445 nm solid-state laser are presented. Features and difficulties of thin graphene oxide films reduction are discussed.
Effects of vacuum-plasma etching on the electrical properties of thin ferroelectric PZT films
Author(s):
D. A. Abdullaev;
D. S. Seregin;
D. N. Zubov;
K. A. Vorotilov
Show Abstract
Effects of vacuum plasma etching with subsequent thermal annealing on electrical properties of thin ferroelectric lead zirconate-titanate films are studied. It is shown that vacuum plasma etching leads to the decrease of electrical properties of the films due to defects formation. It is found that defects induced by ion-beam and reactive-ion etching demonstrate different behavior after the high temperature post-annealing. The annealing after reactive-ion etching leads to practically complete recovering of the film’s properties, whereas the films annealed after ion-beam etching degrade even more. Polarization properties of the films after vacuum plasma etching are studied by piezo-force microscopy.
Electric-field-induced domain switching and pinning state in lead-free ferroelectric BST 80/20 film
Author(s):
Mikhail S. Afanasiev;
Galina V. Chucheva;
Dmitry A. Kiselev
Show Abstract
Ferroelectric nanodomains were created in Ba0.8Sr0.2TiO3 (BST 80/20) thin films by applying a voltage to a sharp conducting tip of a scanning probe microscope (SPM). The ferroelectric layer were grown on (100)-oriented silicon substrate by radio frequency magnetron sputtering. The surface of the sample shows small grains which diameter ranges from 50 nm to 75 nm and roughness is less than 5 nm. Using the piezoresponse mode of the SPM to detect the out-ofplane film polarization, the domain sizes were measured as a function of the applied writing voltage and the pulse time. It was found that the time dependence of the domain diameter in a 400 nm thick BST 80/20 film well described by logarithmic law observed earlier in Pb(Zr0.2Ti0.8)O3 (PZT) films. The dynamics of domain growth is analyzed theoretically taking into account the strong inhomogeneity of the external electric field in the film and the influence of the bottom electrode. Therefore, the BTS film with good polarization switching properties could act as a memory element in nonvolatile ferroelectric random access memory (NV-FRAM) devices.
Formation features and electrophysical properties of ferroelectric films ZnO study
Author(s):
A. O. Zotov;
A. A. Perevalov;
V. I. Shevyakov;
A. N. Belov
Show Abstract
The work is devoted to the study of the formation of zinc oxide films in four different ways: by magnetron sputtering, deposition from the gas phase, by dip-coating, and by atomic layer deposition. The effect of alloying additives of subsequent thermal annealing on the morphology and electrical conductivity of the films obtained is reflected. It has been established that the smallest roughness of films is obtained when films are deposited by atomic layer deposition.
Influence of annealing on nanocrystalline LiNbO3 films properties
Author(s):
Viktor S. Klimin;
Zakhar E. Vakulov;
Roman V. Tominov;
Yuriy N. Varzarev;
Iosif E. Clemente;
Andrew V. Miakonkikh;
Konstantin V. Rudenko;
Oleg A. Ageev
Show Abstract
This work shows the results of studies on the effect of annealing on the properties of nanocrystalline LiNbO3 films. Unannealed LiNbO3 films are characterized by the formation of triangular grains and large droplets on the film surface. It has been shown that annealing in an oxygen atmosphere leads to significantly reducing the surface roughness of the films (from 63 to 47 nm) and the density of droplets on the LiNbO3 film surface. It was established that annealing within 1 hour in oxygen atmosphere under temperature of 600°C allows increasing oxygen content in the film from 4.03 atm. % up to 11.02 atm. %. Using annealing made it possible to reduce the maximum value of absorption rate from 1.11 to 0.29. Obtained results can be used under development of energy converters and acousto-optic devices for use in electronics and medicine.
Electronic and optical properties of MoS2 thin films deposited by magnetron sputtering studying
Author(s):
A. I. Belikov;
Kyaw Zin Phyo;
V. N. Kalinin;
A. I. Semochkin
Show Abstract
Molybdenum disulfide (MoS2) thin films were prepared by magnetron sputtering MoS2 (99.9% purity) target at the different substrates (such as sapphire and silicon) at the various argon pressure and power discharge. Deposition parameters influence on the structure and optical properties of the MoS2 thin films were analyzed by atomic force microscope (AFM) and spectrophotometer that was used for detecting reflectance spectra and then to calculate thin films bandgap. MoS2 thin films on sapphire substrates have smoother surface roughness and higher bandgap energy than MoS2 thin films on Si substrate. MoS2 thin films bandgap energy increasing and surface roughness decreasing was caused by power discharge decreasing.
Nanocrystalline diamond films heavily doped by boron: structure, optical and electrical properties
Author(s):
V. A. Volodin;
S. G. Cherkova;
V. Kumar;
V. A. Sachkov;
V. Mortet;
A. Taylor;
Z. Remes;
T. H. Stuchliková;
J. Stuchlik
Show Abstract
A set of nanocrystalline diamond films was grown using microwave plasma enhanced chemical vapor deposition on fused silica substrates from methane diluted by hydrogen: with and without the addition of trimethylborane. The boron to carbon ratio in the gas phase was varied from 0 to 8000 ppm. The boron doped nanocrystalline diamond films were investigated using atomic-force microscopy, Raman spectroscopy, transmittance spectroscopy and electro-physical methods. For analysis of Raman spectra of heavily doped p-type nanocrystalline diamond using Fano contour one should take into account the shift and broadening of the phonon line due to phonon confinement in grains, or phonon scattering by defects. Raman spectra were calculated using a phonon confinement model and Fano contour. Good agreement was found between the calculated and experimental spectra. Analysis of the spectra showed both the phonon confinement effect in nanocrystalline grains and Fano interference effect due to the contribution of electron Raman scattering in heavily doped p-type diamond films. An increase in boron concentration led to a decrease in the size of crystalline diamond grains and also formation of defects (supposedly inclusion of sp2 hybridized carbon) in the nanocrystalline diamond films. Raman spectroscopy data was supplemented by data from atomic-force microscopy. The conductivity of undoped films was 0.066 Ω-1cm-1, the conductivity of doped films grew with increasing boron to carbon ratio and reached 418 Ω-1cm-1 (8000 ppm). Films were semitransparent and have good conductivity, so can be used as transparent electrodes in large-scale electronics and optoelectronics.
The opportunities of Rutherford backscattering spectroscopy for analysis of multilayer nanometer thin film structures
Author(s):
V. Bachurin;
A. Churilov;
N. Melesov;
E. Parshin;
A. Rudy;
O. Trushin
Show Abstract
The results of study of multilayer thin film structure using Rutherford Backscattering Spectroscopy (RBS) are presented. The structure 5(nm)Ta/30CuN/5Ta/3NiFe/16IrMn/2.0CoFe/0.9Ru/2.5CoFeB/2MgO/2.5CoFeB/10Ta/7Ru on SiO2 was used as a test sample. This kind of structure is using for MRAM fabrication. The RBS analysis of such samples might appear significant difficulties during measurement and interpretation of RBS spectra because of small layers thickness and overlay of peaks of elements with close masses. It was found that using different experimental conditions for RBS analysis one can obtain information about the density and thickness of each layer. The data about these parameters are presented.
Effect of mechanical deformations on absorption spectrum of metallic films of nanometer thickness
Author(s):
K. M. Tsysar;
V. G. Andreev;
V. S. Zelensky;
E. M. Smelova;
A. M. Saletsky;
V. A. Vdovin
Show Abstract
Optical properties of silver nanofilms on its thickness and mechanical deformations in visible and infrared ranges are studied theoretically. The deformation of the film during its elongation leads to a rearrangement of the structure of a surface layer and the appearance of dislocations. It is shown that 9% elongation is crucial for the six monolayer silver film. Mechanical deformations change the electronic structure of atoms in a film, which leads to a significant change in their optical properties. Stretching of the film shifts the absorption peak to the long wavelength region and leads to a slight decrease in absorption. The effects are explained by the significant transformation of the electron structure of deformed silver nanofilms.
Energetics of domain wall in magnetic nanowire
Author(s):
O. S. Trushin;
E. Granato;
S. C. Ying
Show Abstract
Micromagnetic modeling is used to study the energetics of magnetic switching of single-layer permalloy nanowire. The energy landscape of the system is studied using Nudged Elastic Band method. Using different initial guess trajectories for NEB minimization several alternative minimal energy transition paths were found corresponding to possible scenarios of magnetic switching of the nanowire through nucleation and propagation of transverse domain walls. Dependence of energy barrier for DW nucleation on lateral sizes of the nanowire has been studied. Besides that, the effect of additional constant external bias magnetic fields (longitudinal and transverse) on nanowire switching has been explored. It has been shown that presence of rectangular shape notch on the nanowire long side leads to the appearance of local minimum on the energy profile. Thus artificially created notches can be used for DW pinning.
Maskless nanolithography on the basis of microfocus x-ray tubes: conversion of electron energy into the BeKα line
Author(s):
N. I. Chkhalo;
A. Ya. Lopatin;
A. E. Pestov;
N. N. Salashchenko;
G. D. Demin;
N. A. Dyuzhev;
M. A. Makhiboroda
Show Abstract
The article describes the status of work on the project of maskless x-ray nanolithography using a chip of transmission microfocus X-ray tubes with field emission cathodes as a dynamic mask device. The basic principles of this method of projection photolithography are considered, and the estimations of the expected throughput of the process are given. A noticeable part of the article is devoted to a model for numerical simulation of the emission characteristics of thin film targets at their exitation with a low energy electrons beam. The experimental results on measurements of the conversion efficiency of electrons energy into soft X-ray radiation of berillium Kα line (λ=11.4 nm) are presented. Other promising materials which could be used as efficient film targets for the mentioned design of maskless nanolithography are proposed.
Cellular automata method for directed self-assembly modeling
Author(s):
E. Gornev;
M. Litavrin;
I. Matyushkin;
O. Gushin
Show Abstract
The formulation of a cellular automaton (CA) model for self-organization processes in low-temperature annealing of a block copolymer in the method of directed self-assembly lithography (DSA) is presented. The model is considered for the geometry of the cylinder and block copolymer AB, A= polystyrene, B= poly(methylmethacrylate). The characteristic cell size of the CA was the length of the Kuhn segment is equal to 1.67 nm, and the quantum of time was taken as 5.3⋅10−8 s. The CA lattice was a hexagonal prism in the wafer plane, the layers of which are displaced relative to each other in vertical direction. The neighborhood has Neumann template. Mode of CA operation was pair-asynchronous. The cell state was characterized by a set of triples: the polymer number, the number of segments, the segment type (A/B), i.e. the polymers are distributed among the CA cells by their segments. The transition function was correlated with the movement of one segment, and determined by the configuration rule that limits the possible transitions, and selected in a probabilistic way. The random variable draw took into account the state of the extended neighborhood, and the probability values were selected, based on convenience, by a formula similar to the expression of Fermi-Dirac statistics.
Simulation of dry e-beam etching of resist and experimental evidence
Author(s):
A. Rogozhin;
F. Sidorov;
M. Bruk;
E. Zhikharev
Show Abstract
Formation of modern integrated circuits, micro- and nanostructures requires lithography resolution of less than 10 nm. The resolution of e-beam lithography is high enough but its throughput is extremely low. Mechanism of dry e-beam etching of resist has lots in common with chemical amplification of resist. It could be one of possible approaches to improve throughput of e-beam lithography. Dry e-beam etching of resist can provide sensitivity increase by a factor of hundreds. As a result, throughput of the e-beam lithography could be increased dramatically. Some structures obtained by the hybrid e-beam lithography (and exposure doses) are presented. For the simulation of electron tracks in PMMA/Si system “direct” Monte Carlo method is applied. In this method, all the dominant processes (elastic scattering, excitation, ionization and secondary electron generation for E < 20 keV) are simulated separately. The results of the simulation are presented.
Fabrication of electrodes for a logic element based on a disordered dopant atoms network
Author(s):
S. A. Dagesyan;
S. Yu. Ryzhenkova;
D. E. Presnov;
I. V. Sapkov;
V. R. Gaydamachenko;
G. A. Zharik;
A. S. Stepanov
Show Abstract
Two laboratory methods of gold nanoelectrodes fabrication on the top of a silicon substrate were developed in this work. Both uses an electron-beam lithography. First one is based on a positive tone resist, a cold development and a lift-off technique. Second one is based on a negative tone resist and an ion etching. Methods comparison took into account the following results: obtained resolution, edge roughness and conductance between electrodes. As a result we conclude that only electrodes created by the lift-off technique are suitable for creation of a logic element based on a disordered dopant atoms network. The reason is a high conductance of a silicon after the ion etching.
Formation of nanosized elements by ion beam lithography for multiple fin field effect transistor prototyping
Author(s):
K. A. Tsarik;
A. I. Martynov;
S. D. Fedotov;
V. K. Nevolin
Show Abstract
Technique based on FIB and PCE for the formation of nanoscale silicon fins on silicon-on-sapphire structures was demonstrated. The stability of the obtained mask to PCE was investigated depending on the dose of ionic exposure. It was found that the Si fin 150 nm in height and a width of less than 40 nm was obtained by implantation of Ga+ ions with a dose of 3 × 1017 to 4 × 1017 см-2. Current-voltage characteristic of contacts to nanosized fins was researched. The gate dielectric Al2O3 2-3 nm thick was deposited by the ALD method. In the created prototypes of the transistor, the length of each channel was 1.2 μm, and the gate - 100 nm. The obtained I-V characteristics prove the good controllability of the channel in the nanosized fin and demonstrate the operability of the devices.
Mask formation on GaAs substrate by focused ion beams of Ga+ for plasma chemical etching
Author(s):
Viktor S. Klimin;
Ivan N. Kots;
Victoria V. Polyakova;
Alexey A. Rezvan;
Oleg A. Ageev
Show Abstract
This paper presents а masking layer formation using the focused ion beams method on the substrate surface of its own undoped gallium arsenide for subsequent plasma chemical etching. Focused ion beam was processed to create a mask for ion-induced plasma-chemical processing. The main parameters affecting the formation of nanoscale structures such as the accelerating voltage of a focused ion beam and the etching time in the plasma are investigated. With an increase in the etching time, the depth of the structures obtained decreased from 68 to 2.5 nm. The possibility of using this method for the formation of nanoscale structures without using liquid lithography is shown.
Methods and means of experimental investigation of gas-phase deposition of material layers in MEMS processes
Author(s):
V. Samoylikov;
S. Evstafyev
Show Abstract
This paper considers methods and means of experimental investigation of gas-phase deposition of layers of different materials realized in CVD and LPCVD technologies. The need to obtain layers of a given composition and parameters requires careful studies of the physical and chemical laws of such processes. As shown by the analysis of literary sources, most of the available works on these topics are performed on the basis of various computer software tools and results and conclusions represent a large set of particular cases, since a particular design of the reactor with specific geometric parameters is being investigated. In our opinion, the creation of analytical models of gas-phase deposition processes is an important component of a comprehensive study of gas-phase processes and for such purpose in this paper we present a methodological basis for the studies of CVD and LPCVD processes: a technique for visualizing the interaction of the ASG flow with the deposition surface (substrates), a technique based on the effect of self-doping and methods of physical and analog modeling.
Synergistic effects of deformation and solid-state reactions in Si with buried glass layer initiated by annealing in non-isothermal reactor
Author(s):
Yuri I. Denisenko
Show Abstract
This study presents a scientific opinion on differences in formation of self-organizing dislocation structures in (100) - oriented Si specimens subjected to co-implantation by P+ and O2 + ions and 2-step annealing process. At the first step, the specimens were exposed to 5-minutes treatment in a non-isothermal reactor at 900 °С at a two directions of grad T. At the second step, a process of defects evolution continued in conventional isothermal furnace (1150 °С, 4 h). Depending on the direction of grad T at the first step, the defects evolution process led to the formation of two kinds of the self-organized dislocation structures, which are basically dissipative. A synergetic approach used in this experiment have ensured conditions of non-equilibrium, nonlinearity, instability, and irreversibility by creating the stress and temperature gradients, effects from excess point defects flows, and interactions between Si matrix and impurity atoms. Along with plastic deformation, this joint multi-parameter effect on Si crystal led to the creation of a set of unique structural defects.
Induced bistability into quartz glass by silicon wafer heat treatment in lamp-based reactor
Author(s):
Valeriya P. Prigara;
Vladimir V. Ovcharov
Show Abstract
Formulas were derived for the calculation of thermal radiation heat transfer in a radiative-closed thermal system containing two semitransparent gray wafers placed between two opaque wafers. The formulas have universal application and can be used in calculation of a thermal system both with true radiation and with combined mechanisms of heat transfer. On the basis of the obtained relations, thermal transfer has been simulated in a lamp-based reactor including quartz glass that serves for protection of the working chamber against air flow cooling a heating block of the reactor. An induced bistability effect into the quartz glass wafer is confirmed [1] in calculations by the use of real optical properties of heater and absorber materials.
Localization of a thermo-optical traveling wave at an optical inhomogeneity in a silicon wafer under lamp-based heating
Author(s):
Vladimir V. Ovcharov;
Valeriya P. Prigara;
Alexey L. Kurenja;
Valery I. Rudakov
Show Abstract
A simulation is made of the propagation of a thermo-optical traveling wave along a silicon wafer surface containing an isolated optical inhomogeneity which critically changes a balance of input and output heat in the position of the localization of the wave. The optical inhomogeneity is represented by a strip of sputter-coated material with high reflectivity which is applied on the wafer and whose reflectivity and width are varied in the simulation process. Depending on these parameters, the traveling wave either delays its propagation passing through the optical inhomogeneity or stops at a boundary of the strip. It has been shown that a critical width of the strip at which a thermooptical traveling wave is localized increases as strip reflectivity decreases.
Investigation of technological operations for manufacturing 3D micro-assemblies with embedded elements
Author(s):
S. Kruchinin;
S. Timoshenkov
Show Abstract
The internal mounting technology is the mounting of open-dies in a functional radio-electronic module substrate structure. This method does not require the microcircuits packaging, since the package task carry out the microelectronic product substrate. As a working surface for a microelectronic product today we have a huge selection of materials. For example, to produce flexible boards, polymer materials such as polyimides are actively used. That material is characterized by wide regulation possibilities of composition, structure and properties. The internal mounting technology implies the open-dies embedding into the polymer material, followed by etching to the open-die contact pad (CP), and the added ability to integrate 3D design will significantly improve the technology in many parameters. In addition, flexible materials allow the development of complex-shaped micro-assemblies, which is one of the important advantages in a powerful products development and their subsequent installation in hard-to-reach spots.
Deep silicon plasma etching: selection of processes for different applications
Author(s):
A. V. Miakonkikh;
S. N. Averkin;
K. V. Rudenko;
V. F. Lukichev
Show Abstract
Present paper overviews existent and newly developed DSE technologies offering optimal choice for specific demands. Under consideration there are four types of deep silicon etching processes: cyclic original Bosch process and modified one, continuous cryoetch process, cyclic STiGer process, and new Ox-Etch process. All processes designed with fluorine chemistry of SF6 based plasma that provides fastest etch reaction with Silicon enhanced by ion bombardment from plasma. The differences are in approach used to suppress the isotropic etching of the structure sidewalls to achieve required anisotropy and of etch process.
Sidewall defects in deep cryogenic Si etching in SF6/O2 plasma: a numerical simulation
Author(s):
M. Rudenko;
A. Miakonkikh;
D. Kurbat;
V. Lukichev
Show Abstract
A model for the simulation of two-dimensional profile evolution during cryogenic Si etching in SF6/O2 plasma is proposed and implemented. It employs Monte-Carlo method for particle fluxes computation and cell-based representation of the profile. The model is tuned specifically for studying various profile defects of stochastic nature. To this end the state of a model cell is represented as the combination of states of several subcells, stochastically chosen on each particle-surface interaction, thus allowing to represent profile phenomena with high- resolution without compromising simulation performance. The model is verified by matching the simulation results with experimental data; good qualitative agreement is observed. Then it is used to investigate surface defects specific to high aspect ratio cryogenic etching. Among them are depth-dependent wall roughness, cavern formation, trench splitting and black silicon formation.
Features of silicon deep plasma etching process at 3D-TSV structures producing
Author(s):
A. A. Golishnikov;
D. A. Kostyukov;
M. G. Putrya;
V. I. Shevyakov
Show Abstract
In this work experimental research results of silicon deep plasma etching features during 3D-TSV structure producing in inductively coupled plasma are presented. Silicon etching operational parameters influence (inductor RF power, working gas consumption) on process technological characteristics (Si etching rate, selectivity and etching profile) is investigated. Wherein passivation stage’s operational parameters were not changed and were constant. It is shown that microroughness in the form of microneedles and column structures can be formed on the bottom of formed structures at low RF power values; full stop of Si etching process can be also possible. It has been revealed that during polymerization stage fluorocarbon film’s deposition rate increase is observed on structure’s inclined surface in comparison with film’s deposition rate on vertical surfaces. At the same time fluorocarbon film’s deposition rate on the bottom of trench is higher than in inclined surface of the structure. Silicon surface after deep plasma etching process is studied. Fluorocarbon film’s adhesion to silicon and silicon oxide ability is researched. It is demonstrated that adhesion on test samples has small values or missing.
Formation of metallic nanowire and nanonet structures on the surface of SiO2 by combine plasma etching processes
Author(s):
I. I. Amirov;
E. S. Gorlachev;
L. A. Mazaletsky;
M. O. Izyumov
Show Abstract
In this work we report a new approach to the fabrication of metallic nanowire and nanonet structures on a-Si/SiO2/Si substrates by combine plasma etching processes. For the formation of Pt nanostructures we used a controlled two-step plasma etching in C4F8/Ar and SF6 plasma, which resulted in a self-formation of fluorocarbon nanowires and nanonets. Then, we used these nanostructures as nanoscale templates for 10 nm thin metallic nanowires, which were obtained with magnetron Pt film deposition, Ar plasma sputtering and Pt redeposition.
Fabrication and properties of SOI-based planar silicon nanowire arrays
Author(s):
Alexander E. Rogozhin;
Andrey V. Miakonkikh;
Andrey A. Tatarintsev;
Konstantin V. Rudenko
Show Abstract
The fabrication of silicon nanostructures for microelectronic applications is of great interest. We employed two-stage technology of precise anizotropic plasma etching of silicon over e-beam resist and isotropic removal of thermally oxidised defected surface layer of silicon by wet etch to fabricate planar silicon nanowire arrays. Silicon nanowires with diameter of 10-30 nm were obtained. It is simple to get nanowires without oxide or covered with thermal SiO2. Conductivity of obtained silicon nanowire arrays before and after oxidation was measured. It was found that after oxidation and removal of oxide layer conductivity increases dramatically.
Effect of Ar ion-plasma treatment on residual stress in thin Cr films
Author(s):
A. Babushkin;
R. Selyukov;
I. Amirov
Show Abstract
The results of investigation of the effect of ion-plasma treatment in Ar plasma with ion energies εi = 15-30 eV on residual stress in thin Cr films are presented. Mean stress depending on εi and treatment time t was determined using Xray diffractometry and test microbridges, stress gradient was determined using test microcantilevers. The Cr films initially had compressive stress and a positive value of the stress gradient that is they had greater compressive stress near the interface than near the surface. The treatments at εi = 15-25 eV, t = 15-45 min led to increase in compressive stress. The treatments of Cr films at εi = 25-30 eV, t = 60 min led to decrease of the initial compressive stress. The stress gradient after ion-plasma treatment at εi above 15 eV increased. The higher the ion energy or the longer the treatment time, the greater the value of the stress gradient.
Plasma deposition of carbon-silicon nanocomposite for microelectromechanical applications
Author(s):
Evgeny Yu. Gusev;
Julia Y. Jityaeva;
Sergey A. Lisitsyn;
Oleg A. Ageev
Show Abstract
The paper presents the technology of forming a nanocomposite based on an array of vertically oriented carbon nanotubes and polycrystalline silicon. The material could be used in fabrication of inertial microelectromechanical system with high aspect ratio structure. Carbon nanotube arrays were grown and coated with a layer of polycrystalline silicon using plasma enhanced chemical vapor deposition. The purpose of the study is to determine experimental conditions for obtaining the nanocomposite with a minimum number of pores in the bulk. The deposition features of the nanocomposite with an intertube distance from 50 to 200 nm are determined. A test array of carbon nanorods (2.5μm in height, aspect ratio ~14) instead of nanotubes is formed for a quantitative analysis in the range of interrod spacing from 500 to 2000 nm. The average deposition rate of silicon at 600°C and 10 W was 15 nm/min on a substrate and 7-8 nm/min along the sidewalls.
Cyclic discrete etching of Silicon oxide in deposition-sputtering cycles: towards to ALE
Author(s):
V. Kuzmenko;
A. Miakonkikh;
K. Rudenko
Show Abstract
Basic properties of cyclic discrete etching process for Silicon dioxide were studied in conventional plasma etching tool. Process under consideration is based on consequent deposition of fluorocarbon polymer film from plasma of C4F8, and following activation of etching reaction between surface Silicon and Fluorine contained in the film by ion flux from plasma. Deposition rate of polymer film and its etching rate were measured by means of spectral ellipsometry at different wafer temperatures. Atomic layer etching process was demonstrated with usage of Oxygen and Argon plasma. Saturation of per cycle etching rate was achieved with respect to duration of deposition step.
Study of synergy phenomena for atomic layer etching of aluminum and hafnium oxides
Author(s):
V. Kuzmenko;
A. Miakonkikh;
K. Rudenko
Show Abstract
The process of atomic layer etching (ALE) studied for aluminum oxide and hafnium oxide. The process based on cyclic looping of stages 1) BCl3 adsorption and 2) Ar plasma activation of reaction between adsorbed molecules and surface. The approaches to perform the process on conventional plasma etching tool is considered. The self-saturation of etching process is shown and synergy is calculated. That allows estimating of process window with respect to DC bias and BCl3 dose. The processes were performed at subzero temperatures of wafer (-20°C) which enhance adsorption of BCl3 on the sample, while the walls of reactor were kept at elevated temperature (+40°C) to reduce adsorption of chlorine-containing gases on walls. Such an approach, first used in this work, made it possible to increase the reproducibility of atomic layer etching processes, despite the fact that the work was performed in a conventional tool.
Broadband impedance matching in white cavity
Author(s):
E. S. Moiseev;
K. I. Gerasimov;
M. Sabooni;
A. Tashchilina;
S. A. Moiseev
Show Abstract
We propose a mechanism for increasing the bandwidth of impedance matching in an optical cavity. We demonstrate that placing a compensating medium with abnormal dispersion inside the cavity stretches an optical range by an order of magnitude. As a specific physical system, we consider a double-lambda scheme, where reversible absorption and compensating dispersion could be implemented via two-photon Raman absorption and gain respectively. To prove experimental feasibility of our proposal we study the application of the scheme for an optical quantum storage and provide thorough analysis of possible experimental realizations.
Robust random number generator based on field effect transistor
Author(s):
F. Ablayev;
S. N. Andrianov;
D. V. Vahrushev;
M. T. Ziatdinov;
V. S. Romanov;
A. A. Soloviev
Show Abstract
Compact random number generator (RNG) is presented and demonstrated on the basis of field effect transistor connected in a such a way that avalanche electron current emerges when an input voltage exceeds the threshold value. The avalanche character of this phenomenon provides true randomness and large noise potential in wide spectral band at extremely small feeding electrical power. Created device is robust and it consists of compact elements with the possibility of digital signal acquisition from standard internal or external analogous to digital transducer. Created RNG has been tested. One hundred sequences each with 300000 bits length were tested using 15 separate tests of National Institute of Standards and Technology (NIST) with application of simple “linear post processing”. The results of testing are the following: all 100 sequences had passed NIST test series.
Quantum computer based on triangular atom-photon molecule
Author(s):
S. A. Moiseev;
F. M. Ablayev;
S. N. Andrianov;
A. V. Vasiliev
Show Abstract
Configuration of three resonators connected located in triangular scheme is considered for implementation of single and two-qubit gates. Each of the resonators can be coupled with its three-level atom and with neighbor resonator in defined moment of time. The regimes for coherent control of atom-photonic molecule states in the studied scheme and realization of single- and two qubit gates on this basis are theoretically investigated. The two qubit gate is considered in two various regimes: at the sequential switching on the interactions with step by step transfer of excitation and when central resonator is coupled simultaneously with two side resonators and excitation is transferring in the course of single process. Comparison of these two approaches is performed and recommendations for the construction of quantum computers on the atom-photon molecular states are presented.
Multi-qubit controlled NOT gates for artificial intelligence natural languages processing
Author(s):
F. M. Ablayev;
S. N. Andrianov;
N. S. Andrianova;
A. A. Kalachev;
A. V. Vasiliev
Show Abstract
Natural language processing is efficient using quantum neural networks including multiqubit controlled NOT gates with multiple control qubits and a single target qubit. We propose here a photonic miltiqubit controlled NOT gate based on a multi-wave mixing process in a cavity. Theory of such a multiqubit gate is constructed using input-output formalism. Parameters matching condition is found that must be fulfilled for successful gate operation. Recommendations are given for the construction of quantum neural networks that are able to solve various practical problems of natural language processing.
Homogeneous atomic ensembles and single-mode field: review of simulation results
Author(s):
A. V. Kulagin;
V. Y. Ladunov;
Y. I. Ozhigov;
N. A. Skovoroda;
N. B. Victorova
Show Abstract
We consider ensembles of two level atoms interacting with the field in one mode resonator; such ensembles are homogeneous, if the force of interaction is the same for all atoms. We represent the results of numerical simulation of the following effects: relaxation of atomic excitation for one atom, dephasing assisted transport of energy along the chain of optical cavities (DAT), optical conductivity of a network of cavities, quantum bottleneck, thermal attractors for two atomic systems and ensemble Rabi oscillation for a hundred of atoms and dark states. The last effect required the supercomputer simulation on Lomonosov-2. DAT and quantum bottleneck play the peculiar role in biology (FMO light harvesting complex in green sulfur bacteria), dark states are significant for quantum computations. This elucidates the special role of dark states in such ensembles and the power of finite dimension models of QED, which allow inclusion of dipole-dipole interaction and nonlinearity that makes such models very powerful.
Quantum lock on dark states
Author(s):
Y. I. Ozhigov
Show Abstract
We propose quantum protection circuit (quantum lock), based on dark states of ensembles of two-level atoms in optical cavity. The secret key is the splitting of atoms into pairs, and publicly accessible part of the lock is the tensor product of EPR singlets, corresponding to the given splitting. To open the lock one must move synchronously pairs of atoms from the correct splitting to the other cavity; the lock will open if atoms do not emit photons. This scheme has perfect secrecy: it is impossible to hack it, even with effective solutions of any classical computational problems, in contrast to the RSA scheme. The method of obtaining dark states through Stark shift of atomic excitation energy is also proposed. This scheme makes possible to create secret keys of a few tens of atoms that is sufficient for the most practical applications.
Multiple quantum coherence transfer and quantum correlations in NMR experiments in solids
Author(s):
G. A. Bochkin;
A. V. Fedorova;
E. B. Fel'dman;
A. I. Zenchuk
Show Abstract
In this work, quantum correlations in two model systems which can be invesitgated by means of solid-state NMR are considered. The first is a two-spin system in NMR spin-locking experiment, where two schemes of spin-locking are considered. Concurrence is calculated for both schemes assuming the initial thermodynamic equilibrium state. Quantum discord is calculated analytically in the high-temperature approximation. The second model system is the spin-1/2 chain with the XY interaction, where the transfer of multiple quantum (MQ) coherences from one end of the chain to another is studied. The conditions under which the density matrix of the receiver is a block-scaled version of the sender’s density matrix are found.
Quantum entanglement in trimer clusters
Author(s):
E. B. Fel'dman;
E. I. Kuznetsova
Show Abstract
Quantum entanglement is a measure of quantum correlations which are responsible for effective work of quantum devices (in particular, quantum computers), significantly outperforming their classical counterparts.1 Here, we investigate quantum entanglement in the trimer clusters consisting of three electron spins sited in vertexes of an isosceles triangle. We consider entanglement of two subsystems of the system. The first subsystem consists of spins sited on the triangle baseline and the second subsystem consists of a spin equidistant from others. We generalized the Bleany-Bowers equation2, 3 for trimer clusters. It is shown that entanglement can emerge only in the case of the antiferromagnetic interaction of the subsystems. An equation for the temperature of the entanglement emergence is derived. The criterion of the double negativity4 is used in order to find the dependence of the entanglement on the temperature.
Quantum entanglement in spin chains with the XY Hamiltonian at the quantum state transfer
Author(s):
I. D. Lazarev;
E. I. Kuznetsova
Show Abstract
In the present work the generation of entanglement between the receiver and sender is investigated in the process of the quantum state transfer in the homogeneous one-dimensional chain of spins 1/2 with the XY -Hamiltonian in the approximation of the nearest neighbour interactions. Fidelity is obtained for a quantum state transfer for various numbers of spins and temperatures and values of initial polarisation of the sender’s spin. The transmitted pure state is encoded in the state of first spin (sender) in the initial time moment. The other spins are in the thermodynamic equilibrium state. The reduced density matrix for the receiver and the sender is obtained for an investigation of entanglement in the “sender-receiver” system. The effect of temperature and polarization of the transmitted state on the generation of entanglement in the system is also investigated.
Quantum information processing with macroscopic two-component Bose-Einstein condensates
Author(s):
Alexey N. Pyrkov;
Tim Byrnes
Show Abstract
Two component Bose-Einstein condensates (BECs) have been recently shown to be viable systems for storing and manipulating quantum information. Unlike standard single-particle qubits, the quantum information is duplicated in a large number of identical bosonic particles, thus can be considered to be a macroscopic qubit. The duplication of the quantum information makes them potentially more robust than conventional qubits, where all the quantum information is lost with a single error. It has been shown theoretically and experimentally that such ensembles can be used in many ways the same way as a standard qubit: they can be visualized on the Bloch sphere, and can be manipulated analogously to standard qubits. On the other hand, the BEC qubits do not have genuine interaction between each other and one of the main difficulties with such a system is how to effectively interact them together in order to transfer quantum information and create entanglement. Furthermore, the larger Hilbert space of the macroscopic bosonic system does not allow for unique mapping of standard quantum algorithms. However, in a few past years the main building blocks of quantum information processing and several quantum algorithms were translated to the BEC qubits. In this paper we give a brief colloquium of the current achievements and outline new perspectives of the use of BEC qubits and spin-coherent ensembles for quantum technologies.
Jumps of optimal measurement angle and fractures on the curves of quantum correlation functions
Author(s):
M. A. Yurischev
Show Abstract
The one-way quantum work deficit, a measure of quantum correlation, exhibits the regions with the bimodal behavior of post-measurement entropy versus the measurement angle θ ε (0, π/2). Under certain conditions this can lead to the finite jumps Δν> 0 of optimal measurement angle ν from the endpoint 0 or π/2 to the interior minimum and vice versa. In turn, such sudden jumps lead to the fractures, i.e., non-analytical points on the dependencies of quantum correlation upon the state parameters. We examine a possibility to experimentally observe these phenomena on an example of three-component mixture consisting of one pair of Bell-diagonal states and a basis state orthogonal to the Bell ones. Observation of named effects, beyond their intrinsic interest, could demonstrate the experimental ability in controlling and manipulating the quantum states.
Hitting time for quantum walks of identical particles
Author(s):
Alexey A. Melnikov;
Aleksandr P. Alodjants;
Leonid E. Fedichkin
Show Abstract
Quantum particles are known to be faster than classical when they propagate stochastically on certain graphs. A time needed for a particle to reach a target node on a distance, the hitting time, can be exponentially less for quantum walks than for classical random walks. It is however not known how fast would interacting quantum particles propagate on different graphs. Here we present our results on hitting times for quantum walks of identical particles on cycle graphs, and relate the results to our previous findings on the usefulness of identical interacting particles in quantum information theory. We observe that interacting fermions traverse cycle graphs faster than non-interacting fermions. We show that the rate of propagation is related to fermionic entanglement: interacting fermions keep traversing the cycle graph as long as their entanglement grows. Our results demonstrate the role of entanglement in quantum particles propagation. These results are of importance for understanding quantum transport properties of identical particles.
Multiphoton subtracted thermal states: description, preparation, measurement and utilization
Author(s):
K. G. Katamadze;
G. V. Avosopiants;
B. I. Bantysh;
Yu. I. Bogdanov;
S. P. Kulik
Show Abstract
Photon creation and annihilation are two basic operators in quantum optics. Their experimental implementation provides a perfect toolbox for quantum state engineering. The simplest quantum states, which can be modified both by photon creation and annihilation are thermal states of light. Therefore, the multiphoton subtracted thermal states (MPSTS) draw attention of quantum optics experimentalists last decade. Despite its simplicity they serve as a good testing area for study of a number of quantum phenomena. In the current work we give a review of the recent works related to MPSTS: their theoretical description, preparation and measurement technique and their utilization as a testing area for studying some quantum phenomena like non-Gaussianity, Photonic Maxwell‟s Demon, Quantum Vampire and so on.
Theoretical and experimental study of multi-mode thermal states with subtraction of a random number of photons
Author(s):
Yu. I. Bogdanov;
N. A. Bogdanova;
K. G. Katamadze;
G. V. Avosopiants;
S. P. Kulik
Show Abstract
This work is devoted to the theoretical and experimental study of quantum states of light conditionally prepared by subtraction of a random number of photons from the initial multimode thermal state. A fixed number of photons is subtracted from a multimode quantum state, but only a subsystem of a lower number of modes is registered, in which the number of subtracted photons turns out to be a non-fixed random variable. It is shown that the investigation of multiphoton subtracted multimode thermal states provides a direct study of the fundamental quantum-statistical properties of bosons using a simple experimental implementation. The developed experimental setup plays a role of a specific boson lototron, which is based on the fundamental link between the statistics of boson systems and the Polya distribution. It is shown that the calculation of the photon number distribution based on the Polya‟s urn scheme is equivalent to a calculation using statistical weights for boson systems. A mathematical model based on the composition of the Polya distribution and thermal state is developed and verified. The experimental results are in a good agreement with the developed theory.
High-fidelity quantum tomography with imperfect measurements
Author(s):
B. I. Bantysh;
D. V. Fastovets;
Yu. I. Bogdanov
Show Abstract
In the current work we address the problem of quantum process tomography (QPT) in the case of imperfect preparation and measurement of the states which are used for QPT. The fuzzy measurements approach which helps us to efficiently take these imperfections into account is considered. However, to implement such a procedure one should have a detailed information about the errors. An approach for obtaining the partial information about them is proposed. It is based on the tomography of the ideal identity gate. This gate could be implemented by performing the measurement right after the initial state preparation. By using the result of the identity gate tomography we were able to significantly improve further QPT procedures. The proposed approach has been tested experimentally on the IBM superconducting quantum processor. As a result, we have obtained an increase in fidelity from 89% to 98% for Hadamard transformation and from 77% to 95% for CNOT gate.
The concept of weak measurements and the super-efficiency of quantum tomography
Author(s):
Yu. I. Bogdanov;
N. A. Bogdanova;
B. I. Bantysh;
Yu. A. Kuznetsov
Show Abstract
The quantum measurement procedure based on the Lorentz transformation formalism and weak perturbation of the system is considered. In the simple case of a single-qubit it turns out that one can perform 4-dimension pseudo-rotation along with ordinary 3-dimension rotations on the Bloch sphere. These pseudo-rotations are similar to the Lorentz transformation in special relativity theory. The extension of the Lorentz transformation for many-qubit systems is also considered. The quantum measurement protocols based on the Lorentz transformation are proposed. It has been shown that these protocols cease to form the decomposition of unity and could be superefficient providing the fidelity higher than any POVM-measurement protocol. However, one can perform the complement of the Lorentz protocol to POVMprotocol by an additional measurement operator. If the initial mixed state is close to the pure one this operator corresponds to weak perturbation of the state while the original Lorentz protocol sets the strong perturbations. As the result, the feedback provides an effective control of a quantum system introducing weak perturbations to the quantum state.
On the fidelity of quantum gates under T1 and T2 relaxation
Author(s):
Andrey Yu. Chernyavskiy
Show Abstract
The present work analyses the effect of T1 and T2 relaxation on the fidelity of quantum gates in terms of Choi-Jamiolkowski isomorphism. Numerical computations is used to show that the value of fidelity is nearly independent of the gate Hamiltonian. This fact leads to the universal estimate of fidelity, and the accuracy of this estimate is studied, especially for the case of one qubit.
Quantum approach to the dynamical systems modeling
Author(s):
Yu. I. Bogdanov;
N. A. Bogdanova;
D. V. Fastovets;
V. F. Lukichev
Show Abstract
We present a general approach to the classical dynamical systems simulation. This approach is based on classical systems extension to quantum states. The proposed theory can be applied to analysis of multiple (including nonHamiltonian) dissipative dynamical systems. As examples, we consider the logistic model, the Van der Pol oscillator, dynamical systems of Lorenz, Rössler (including Rössler hyperchaos) and Rabinovich-Fabrikant. Developed methods and algorithms integrated in quantum simulators will allow us to solve a wide range of problems with scientific and practical significance.
Representation of Boolean functions in terms of quantum computation
Author(s):
Yu. I. Bogdanov;
N. A. Bogdanova;
D. V. Fastovets;
V. F. Lukichev
Show Abstract
The relationship between quantum physics and discrete mathematics is reviewed in this article. The Boolean functions unitary representation is considered. The relationship between Zhegalkin polynomial, which defines the algebraic normal form of Boolean function, and quantum logic circuits is described. It is shown that quantum information approach provides simple algorithm to construct Zhegalkin polynomial using truth table. Developed methods and algorithms have arbitrary Boolean function generalization with multibit input and multibit output. Such generalization allows us to use many-valued logic (k-valued logic, where k is a prime number). Developed methods and algorithms can significantly improve quantum technology realization. The presented approach is the baseline for transition from classical machine logic to quantum hardware.
Machine learning methods in quantum computing theory
Author(s):
D. V. Fastovets;
Yu. I. Bogdanov;
B. I. Bantysh;
V. F. Lukichev
Show Abstract
Classical machine learning theory and theory of quantum computations are among of the most rapidly developing scientific areas in our days. In recent years, researchers investigated if quantum computing can help to improve classical machine learning algorithms. The quantum machine learning includes hybrid methods that involve both classical and quantum algorithms. Quantum approaches can be used to analyze quantum states instead of classical data. On other side, quantum algorithms can exponentially improve classical data science algorithm. Here, we show basic ideas of quantum machine learning. We present several new methods that combine classical machine learning algorithms and quantum computing methods. We demonstrate multiclass tree tensor network algorithm, and its approbation on IBM quantum processor. Also, we introduce neural networks approach to quantum tomography problem. Our tomography method allows us to predict quantum state excluding noise influence. Such classical-quantum approach can be applied in various experiments to reveal latent dependence between input data and output measurement results.
Two-way quantum and classical machines with small memory for online minimization problems
Author(s):
Kamil Khadiev;
Aliya Khadieva
Show Abstract
We consider online algorithms. Typically the model is investigated with respect to competitive ratio. In this paper, we explore algorithms with small memory. We investigate two-way automata as a model for online algorithms with restricted memory. We focus on quantum and classical online algorithms. We show that there are problems that can be better solved by two-way automata with quantum and classical states than classical two-way automata in the case of sublogarithmic memory (sublinear size).
Molecule ground state energy estimation via continuous-time quantum walks
Author(s):
Leonid Fedichkin;
Fedor P. Meshchaninov
Show Abstract
The application of continuous quantum walks of electron on a graph composed of coupled quantum dots to molecule energy estimation is considered. The graph considered corresponds to the molecule Hamiltonian matrix. The presence of electron in each quantum dots is monitored by nearby quantum point contacts. The system is set to a state with an overlap with the ground state of the system. Then, system evolves, and finally, the ground state energy is assessed. The influence of noise produced by the point contacts on the estimation performance is also investigated.
Sequences of selective rotation operators to engineer interactions for quantum annealing on three qutrits
Author(s):
V. E. Zobov;
I. S. Pichkovskiy
Show Abstract
We have done simulating of factorization the number 15 on three qutrits, represented by the spins S = 1, by quantum annealing. We assume that strong one-spin interaction allow selectively operate on different transitions between levels of the each qutrit. We present a sequence of selective rotation operators to engineer from dipole-dipole interaction a timedependent effective Hamiltonian necessary for solving the problem. Also we find dependence of fidelity versus different parameters: magnetic field, total annealing time, and duration of time step, when the continuous variation of the Hamiltonian is replaced by a discrete one.
Fast quantum randomness generation from vacuum fluctuation induced phase diffusion between pulses of laser diode
Author(s):
Violetta Sharoglazova;
Roman Ermakov;
Anton Losev;
Vladimir Zavodilenko;
Vladimir Kurochkin;
Yuriy Kurochkin
Show Abstract
In this paper, the prototype of quantum random number generator (QRNG) which is shown by extracting ran- domness from vacuum fluctuation of the electromagnetic field inside the laser cavity. A distributed feedback (DFB) laser diode (LD) is directly modulated to produce short optical pulses. The laser is operated far above and far below the threshold resulting in field of pulse which has a true random phase. The efficient conversion of vacuum fluctuations to random sequence of power of optical pulses is made by homemade Michelson interferom- eter. A proposed device has proven to have far more superior interferometric visibility coupled with high power making it also a cost-effective PIN diode detector as compared to QRNGs based on phase fluctuation modu- lated by constant current. As a result a proposed device is highly integrated using only commercially available components. Due to appropriate realization of our device, we have a good quality of raw random bits that have passed all tests without postprocessing. So the postprocessing doesn't significantly decrease the speed of output random bits. In fact, we have successfully demonstrated a prototype of high speed QRNG at a generation rate of over 1 Gbps.