Proceedings Volume 1089

Electron-Beam, X-Ray, and Ion-Beam Technology: Submicrometer Lithographies VIII

cover
Proceedings Volume 1089

Electron-Beam, X-Ray, and Ion-Beam Technology: Submicrometer Lithographies VIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 1 August 1989
Contents: 1 Sessions, 39 Papers, 0 Presentations
Conference: 1989 Santa Clara Symposium on Microlithography 1989
Volume Number: 1089

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • All Papers
All Papers
icon_mobile_dropdown
Focused Ion Beam Patterning of High Tc Superconductor Films
L. R. Harriott, P. A. Polakos
We have used a 20 keV Ga focussed ion beam to pattern superconducting submicron bridge structures in thin films of Ba2YCu307 material by physical sputtering. The technique can produce structures down to 0.5 microns or less in epitaxial films with no degradation in superconducting transition temperature (Tc) or critical current density (Jo). Photolithography was used to define a coarse pattern of 20 micron wide and 50 micron long strips, each wired for four-terminal resistance measurements. Sub-micron constrictions were then milled by the focused ion beam to form weak-link junctions with roughly 0.3 microns separating the superconducting banks. We have demonstrated that focused ion beam micromachining is capable of producing submicron sized superconducting structures and is a suitable technique for fabricating devices such as SQUIDS and the study of grain boundary effects in the films.
Redundancy Technology With A Focused Ion Beam
H. Komano, K. Hashimoto, T. Takigawa
Fuse cutting with a focused ion beam to activate redundancy circuits is proposed. In order to verify its potential usefulness, experiments have been performed. Fuse-cutting time was evaluated using aluminum fuses with a thin passivation layer, which are difficult to cut by conventional laser-beam technology due to the material's high reflectivity. The fuse width and thickness were 2 and 0.8 μm, respectively. The fuse was cut in 5 seconds with a 30 keV focused ion beam of 0.3 A/cm2 current density. Since the fuses used in DRAMs will be smaller, their cutting time will become shorter by scanning an ion beam on narrower areas. Moreover, it can be shortened by increasing current density. Fuses for redundancy technology in 256 k CMOS SRAMs were cut with a focused ion beam. The operation of the memories was checked with a memory tester. It was confirmed that memories which had failure cells operated normally after focused-ion-beam fuse-cutting. Focused ion beam irradiation effects upon a device have been studied. When a 30 keV gallium focused ion beam was irradiated near the gate of MOSFETs, a threshold voltage shift was not observed at an ion dose of 0.3 C/cm2 which corresponded to the ion dose in cutting a fuse. However, when irradiated on the gate, a threshold voltage shift was observed at ion doses of more than 8 x 10-4 C/cm2. The voltage shift was caused by the charge of ions within the passivation layer. It is necessary at least not to irradiate a focused ion beam on a device in cutting fuses. It is concluded that the focused-ion-beam method will be advantageous for future redundancy technology application.
Focused-Ion-Beam Induced Deposition Of Metal For Microcircuit Modification
D. K. Stewart, L. A. Stern, J. C. Morgan
Focused-ion-beam (FIB) machines can now modify integrated circuits by milling disconnects as well as depositing conductive connections on both inter- and intra-level structures with a range of beam sizes. Although FIB sputtering is well developed for photomask and IC repair, FIB deposition of metal has only recently been used to repair actual devices. We have developed a process to deposit films with resistivities of 150 - 220 uohm-cm and at rates of 1 - 2 [angstroms-um2]/[pA-s]. This paper includes a description of FIB induced deposition of tungsten as well as applications which demonstrate the ability of the system to restructure microcircuits for repair and failure analysis.
Image-Projection Ion-Beam Lithography
Paul A. Miller
Image-projection ion-beam lithography promises high-throughput patterning with wide process latitude, excellent resolution, and minimal damage to underlying circuit layers. The process involves extracting helium ions from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto the wafer. A key feature is the use of image demagnification which simplifies reticle fabrication and inspection, and leads to low power loading on the reticle and long reticle life. In this paper we report computational studies aimed at improving field size, linearity, and telecentricity over that demonstrated experimentally in the pioneering work by Ion Microfabrication Systems, GmbH. (Vienna) during the past decade. We study a mechanically simple arrangement of equal-radii coaxial tubular lenses. We employ ion column optimization by simulated annealing and uncover a new optimization strategy which may be applicable in other optimization work. The resulting column design is much improved over our initial attempts based on an iterative optimization procedure. However, we still are unable to eliminate image distortion, and we would need either to rely on reticle predistortion or on use of a more complex electrode system for a production application.
GaAs FET Mushroom Gate Fabricated By FIB/EB Hybrid Lithography
K. Hosono, H. Morimoto, H. Minami, et al.
A mushroom-gate structure for a high electron mobility transistor (HEMT) has been fabricated by a hybrid lithography process of focused ion beam (FIB) and shaped electron beam (EB). In this process, a resist in the gate region is reduced to about 0.2 μm by FIB lithography ("top gate" formation), and then the center of the top gate is exposed by the EB ("bottom gate" formation). Patterns of 0.20~0.25 pm were reproducibly delineated on the GaAs substrate by shaped EB. After recess etching and lift-off process, a 0.2 μm mushroom gate of HEMTs was obtained without substrate damage. The overlay accuracy for the FIB and EB exposure were both less than 0.2 μm.
Repair Of Dear Defects On X-Ray Masks By Ion-Induced Metal Deposition
Hans-Christian Petzold, Helmut Burghause, Renate Putzar, et al.
To investigate the repair of clear defects on X-ray masks by ion-induced metal deposition, a photomask repair system was modified to allow for the localized deposition of tungsten from a gas jet of W(CO)6 vapor. With this system, dense W layers could be deposited at rates of up to 4 nm/s; the X-ray opacity of layers having a thickness of down to 200 nm was demonstrated by X-ray lithographic resist exposures using synchrotron radiation.
FIB-Assisted Cl2 Gas Etching of GaAs
Y. Sugimoto, M. Taneya, H. Hidaka, et al.
The FIB-assisted Cl2 etching of GaAs were studied by using 10 keV and 5 keV Ga+ ions. The etching yield, which is defined as the number of GaAs molecules etched by one Ga+ ion, was about 20 time as large as the sputtering yield without C12 molecules for both 10 keV and 5 keV ions. The PL intensity of the etched sample with 10 keV and 5 keV ions were 1/30~1/40 and ~1/10, respectively, compared to the initial value. From these results, it is concluded that the use of Ga+ ions with lower energy is effective to reduce the damage induced in GaAs processed by Ga+ FIB assisted C12 etching.
Lithography Wand-Fabrication And Applications Of Novel Microstructures For Electron And Ion Beam Nanometer Lithography
Gary W. Jones, Susan K. Jones, Bruce W. Dudley, et al.
A novel multiple electron or ion beam methodology has been developed with the potential for gigahertz patterning data rates and patterning of nanometer features. The technique is based on the concept of a combined aperture-deflector-and-lens microstructure which is fabricated into a silicon wafer. The microstructure, designated as the lithography wand, can be used to shape and control large numbers of charged particle beams for pattern generation as it generates a linear wave of patterns as its beam array is scanned across a prepared surface. The wand consists of an array of apertures which shape an incoming broad beam into individual beams and allow simultaneous deflection of individual beams along with common focusing for the aggregate of beams. Fabrication of a wand control structure with a five pole Einzel lens has been demonstrated. The multipole lens is constructed from a stack of various conductor and insulator layers that are deposited on a micromachined silicon substrate and subsequently patterned and etched to form lens poles and deflectors. Microfabrication techniques utilizing trilayer resist are used to construct a sub-tenth micron wand aperture and a multipole wand lens, with precision dimensional control. A near-UV trilayer resist structure was used for fabrication of the lens and aperture beamline. An E-beam trilayer resist process was used in conjunction with precision etching to generate 400 nm patterns with excellent dimensional control to fabricate 80 nm square apertures with ± 10% dimensional control (2σ). In this paper, design concepts relative to a wand based multibeam lithography system are described. Fabrication of the central controller wand microstructure is described. Construction of versions of these structures with 80 nm apertures and with aperture/Einzel lens combinations are demonstrated using Shipley SAL600 negative electron beam resist. Far submicron (0.10 μm) resist patterns generated using microstructures in an electron beam column are demonstrated.
Electron Beam Lithography Using A New Quadrupole Triplet
Shigeo Okayama
A new type of a quadrupole correction lens system with lower aperture aberration coefficients has been developed for electron beam lithography system. The new correction lens consists of three-stage electrostatic quadrupoles and two aperture electrodes placed between quadrupoles. An octupole field for correction of aperture aberration is automatically created and aligned with quadrupole field by supplying a voltage to the aperture electrode and has its peak near the edge portion of the quadrupole. A new type of mechanical construction of the quadrupole correction system is developed for realizing the high precision alignment of the electrodes. Optical properties of the new correction lens are exactly calculated by using the simulated potential distributions. Aperture aberration of the new correction lens can be corrected to better than 0.1 mm. The probe-forming properties of the new correction lens are confirmed from the secondary electron images.
Strategies For A Universal Marker Search System For A-Beam Lithography
R. J. M. van Vucht, R. F. L. van der Ven
An enhanced marker search subsystem for the Philips Beamwriter is able to register markers with arbitrary shapes and backscatter profiles. Accuracy down to 10 nm (3σ) is obtainable within search times of typically 200 ms for small area searches. The system is particularly aimed at high reliability and minimal beam-on time per search. To that end, it can choose the optimum algorithm from a number of available algorithms according to marker type and various other parameters. The algorithms belong to two classes: level search and correlation algorithms. Special features of these algorithms are described and their performance is compared for the case of a rectangular heavy metal marker. The level search algorithms are found to be favourable for that marker type in many situations.
Fabrication Of 5X Reticles Fore 16M DRAMS By Using A Variable-Shaped Electron-Beam System
Koichi Moriizumi, Susumu Takeuchi, Kunihiro Hosono, et al.
Recent progress of microfabrication technologies makes it possible to develop 16M DRAMs, the most advanced VLSI devices, by optical lithography using high-performance 5:1 wafer steppers. ior optical lithography, it is very important to fabricate defect-free and accurate reticles with high throughput. Increasing the degree of device integration causes reduction of the pattern dimensions and enlargement of the chip size. This makes the writing time much longer in using the conventional raster-scan electron-beam (EB) system. Moreover, the reticles, which cannot comprise multiple dies under the limitation of the image field of the wafer stepper, must be inspected by the data-comparison method. A software system, that prepare the data for the variable-shaped, vector-scan EB system (JBX-6AIII) efficiently and produce compacted data for the reticle inspection system (KLA-228), has been developed. The variable-shaped EB system has the advantages of a high writing speed and a small address unit size. However, the throughput of the data preparation is very low compared with the raster-scan EB system, because complicated processes such as overlap removal and tone reversal are required. In order to improve the throughput of the data preparation, a hierarchical data format has been introduced into the data-preparation software. The data of 16M DRAMs for the variable-shaped EB system were prepared in 1 hour/layer. To inspect the single-die reticles of 16M DRAMs, a data-comparison inspection system and data conversion software with the capability for data compaction have been used. Defect-free 16M DRAM reticles have been fabricated with a reasonable throughput by these systems.
Processing Methods for the Fabrication of Sub-0.25 µm GaAs Heterostructure Devices and Circuits
D. J. Resnick, F. Ren, D. M. Tennant, et al.
Interest in GaAs Heterostructure FETs has increased significantly in recent years because of the potential benefits in circuit performance over conventional MESFET devices. Short propagation delays have been observed in half micron and sub-half micron logic circuits with little evidence of short channel effects. The purpose of this work was to develop a process for defining sub-half micron gates and to examine the device performance limitations of Heterostructure FETs as gate lengths were decreased below 0.25 μm. The methods for reliably defining a half micron lift-off gate have been described previously 2. A modified lift-off process has been developed in order to define gate features as small as 0.10 μm. The gates were produced using a trilevel resist consisting of EBR-9 as the imaging resist. The intermediate level consisted of germanium. PMGI was used as the planarizing resist. For gate lengths below 0.25 μm, a JEOL JBX-5D11 was used to expose the EBR-9. Exposure doses ranged from 40 to 60 pC/cm2 at 50keV. The address and spot size were both 250Å. Site by site alignment was used to register the gate to the underlying ohmic level. Both the method used to define the gate level and the resultant process latitude will be discussed. The techniques used to avoid damage to the underlying heterostructure layers during the reactive ion etch of the PMGI resist will also be presented. In addition, the effect on device performance caused by altering the underlying heterostructure layer will be discussed. Finally, the performance of devices as a function of gate length will be presented.
Electron-Beam Written Multilevel Resist Process Applied To GaAs FET Gate Fabrication
Lawrence G. Studebaker
Quarter-micron GaAs FET gate lithography is feasible with high throughput using a 0.25 micron electron beam system and a high sensitivity negative e-beam resist recently introduced by Shipley. The multilevel resist process described here has been used to fabricate microwave MODFETs with 280 mW/mm output power and 6 dB gain at 40 GHz, and microwave ICs with typical MESFET performance of 420 mW/mm output power and 6 dB gain at 18 GHz. Advantages of the described process are: 1) Good linewidth control with a 0.25 micron beam; 0.25 +/- 0.05 microns is routinely achieved based upon SEM photos and electrical measurements. 2) Only one evaporation step is required prior to gate metal deposition; a thin layer of evaporated gold eliminates charging during the e-beam writing and also serves as a plating base for a plated transfer layer. 3) The transfer layer is made of low stress plated nickel, which has very low dry etch rates in chlorine and fluorine based plasmas. This provides good linewidth control throughout gate processing. 4) The material used for the planarizing layer can be selected depending upon the application; PMGI, PMMA and cured novolac resist have been evaluated.
Electron Beam Lithography And Resist Processing For The Fabrication Of T-Gate Structures
R. C. Tiberio, J. M. Limber, G. J. Galvin, et al.
This paper will discuss direct-write electron beam lithography and multilayer resist processing for the fabrication of T-shaped gates. Gates whose length at the bottom of the "T" are less than 100 nm have been fabricated by this method using a multilayer of polynethylnethacrylate and lift-off. Because of the large cross-section of the T-gate, the resistance is reduced. The end-to-end resistance of the 100 nm T-shaped lines was less than 25) Ohninin as compered to 2000 Ohm/nin for a 100 nm conventional gate, i.e., an eight-fold decrease. In order to facilitate the fabrication of these gates a series of computer programs were written to simulate the development process in a multilayer of electron resists. These programs are based on a string development model of resist development. They allowed rapid prediction of the resist profiles. As a demonstration of the increased device performance made possible by this prociss, modulation-doped field effect transistors (HOLEY) have been fabricated using these T-gate structures . The extrapolated unity current gain frequency (ft) of these transistors is 113 Gilz.
A PMMA/PMGI Two Layer Resist System for Stable Lift-off Processing
Hiroshi Takenaka, Yoshihiro Todokoro
A two layer resist system for the e-beam/deep-UV same-level-mixed lithography are described, which consists of PMMA (poly ( methyl methacryrate )), as a top layer and PMGI (poly ( dimethyl glutarimide )) as a bottom layer. Controlled undercut profiles are obtained both in e-beam and deep-UV lithography. Good adhesion of PMGI to the GaAs substrate during wet recess-etching prevents excessive side etching of the substrate. 0.25-0.5 um gate electrodes of GaAs FET's have been fabricated by using the two layer resist system.
SOR Lithography in West Germany
A. Heuberger
The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.
Synchrotron X-ray Lithography System Using A Compact Source
Toyoki Kitayama, Toa Hayasaka, Hideo Yoshihara, et al.
Synchrotron radiation x-rays are effective and powerful sources for x-ray lithography when semiconductor feature size is less than a half micron. A compact synchrotron radiation ring comprising superconducting magnets was developed as an x-ray lithography source. An x-ray exposure system, including a beam line and a vertical stepper, was also developed. Several test exposures confirmed that this synchrotron lithography system is applicable to quarter-micron x-ray lithography.
Preliminary Testing Results For A New X-Ray Stepper
Stephen M. Preston, Darryl W. Peters, David N. Tomes
Preliminary testing results for a new, commercially available soft x-ray proximity stepper are presented. Total system performance (i.e., overlay precision, alignment precision, and critical dimension control) is under investigation using electrical probe structures and scanning electron microscopy (SEM). Preliminary results indicate that the total system performance is consistent with that required for 0.5 μm device design rules. Process latitude data will be presented for several conventional novolak-based resists as well as for an e-beam novolak-based resist. Sensitivities have been obtained over the range of 5 to 400 mJ/cm2. The absence of measurable reflectivity coefficients for nearly normal incident soft x-rays allows one to perform stepper testing with nonstandard substrates. The stable source, large process latitude for conventional resists, and substrate insensitivity allow stepper use without the need to run "send ahead" wafers. The high "MTF" and lack of imaging aberrations allow the use of unbiased reticle patterns with excellent pattern replication on a variety of substrates. Preliminary performance of the alignment system, stage, and gap control imply that overlay consistent with 0.50 μm design rules is achievable.
Soft X-Ray Induced Chemical Reactions In Novolak Resist
Darryl W. Peters, David N. Tomes, Robert A. Grant, et al.
Soft x-ray (i.e., λ = 1.4 nm) exposed films of Olin Hunt WX242 novolak resist were analyzed by gel permeation chromatography (GPC), UV, and FTIR spectroscopy. The decrease in concentration of diazonapthaquinone (DNQ) sensitizer was determined from FTIR and UV absorption. A typical decrease in DNQ concentration of 20 to 30% was observed for a 100 mJ/cm2 dose of a 1.0 μm thick film, the normal dose for soft x-ray exposure. At this exposure level, development in Olin Hunt LSI developer (5:4) required 120 seconds yielding excellent linesize control and film retention. To assist identification of chemical species produced, GPC and FTIR data from soft x-ray exposed samples were compared to those from UV exposures of 1.0 μm films in air or a vacuum.
Automatic Mask Inspection System Using X-ray As A Source
Hiroshi Miyake, Takahide Iida, Kensuke Miwa, et al.
A fundamental concept to realize the automatic X-ray mask inspection system using X-ray as a source is shown, and main elemental technologies of the X-ray mask inspection system having been developed in conjunction with the concept is described in this report. Die-to-die comparison rather than absolute inspection in relation to the design data is the purpose of our X-ray mask inspection. An array of X-ray aperture of picture elements both X and Y sides being equal to the design rule of the pattern is used to select the picture elements to be processed parallel in which numbers of identical plural pixels are in X-ray mask if there is no defects. If the transmitted X-ray flux through one of the picture element among selected plural pixels shows material difference in intensity from others, it is then considered as defect. After the first set of picture elements in the die-to-die comparison is completed, the X-ray aperture array is moved by distance being equal to the design rule of the X-ray mask. Similarly other plural pixels of the X-ray mask are inspected in same manner as above. Repetition of this process to scan an area encompassed by a single X-ray aperture pitch make it possible to inspect all the area of the X-ray mask efficiently. Therefore, by using the X-ray aperture array composed of 250x250 array of 0.5μ mx0.5μ m square transparent aperture with two dimensional pitch of 100μ mx100μ m and TV camera having 250x250 array of light sensitive elements, all the area of the X-ray mask with two dimensional length of 25mmx25mm can be inspected only in 44 minutes.
Fabrication of 0.5 µm MOS Test Devices by Application of X-ray Lithography at All Levels
Detlef Friedrich, Helmut Bernt, Hans L. Huber, et al.
Functioning 0.5 µm N-MOS test devices have been fabricated by means of X-ray lithography at all four levels. All exposures were carried out with synchrotron radiation of the BESSY storage ring in Berlin. This paper describes the performance of X-ray exposure and the resist system with regard to mask pattern placement accuracy, overlay and linewidth control. A total overlay of about 130 nm (1σ) in x and y direction and overall linewidth variation of 23 nm (1σ) within a 4 inch wafer on etched poly-Si structures have been achieved. Electrical results of 0.5 μm N-MOS transistors with long channel behaviour up to 3.5 V supply voltage will be shown.
Fabrication of 1-Mbit DRAMs By Using X-Ray Lithography
Nobuyuki Yoshioka, Noriaki Ishio, Nobuo Fujiwara, et al.
Functional 1-Mbit DRAM devices were fabricated by using x-ray lithography. An x-ray stepper ( Nikon SX-5) which has a high brightness x-ray tube (10 kW) with a Pd rotating target was used for the fabrication of the devices. The alignment system is based upon the use of a linear diffraction grating as an alignment target. The mask-to-wafer alignment is performed by detecting the first-order diffraction beam of incident laser beams from the grating alignment target. The wafer diameter is 150 mm, the mask diameter is 75 mm, and the exposure field size is 25x25 mm2. A W-Ti alloy absorber was used as an x-ray mask absorber. Since W-Ti absorber films can be controlled to have an internal stress of less than 1 x 108 dyne/cm2, the mask distortion was less than 0.1 μm for the 15x15 mm2 field including two 1-Mbit DRAM chips. The x-ray lithography was applied to the contact process by using a novolak-based positive resist XPB. The XPB resist has high sensitivity, high resolution capability, and high dry etch resistance. The alignment error was less than 0.15μm in 3σ. A 1-Mbit DRAM with a bit yield of 99.5% was obtained. It was thus demonstrated that x-ray lithography technology has the potential for use in VLSI fabrication.
Development Of Radiation Cooled Slotted Rotating Target X-Ray Source
M. Asano, T. Tagawa, H. Yoshikawa, et al.
We have constructed, as a first trial, a high intensity X-ray source with e-beam exited target for the microlithography. This system is developed for the purpose of laboratory and test use, and is now in the process of experiment stage. The Pd coated e-beam target generates characteristic X-ray of 4.4Å wave length. It is remarkable that both the radiation cooling mechanism and stable rotating construction can increase the X-ray intensity and reduce the source spot size. According to the previous work, the design consideration gives a resolution 0.13μm and a throughput 1.8s/cm2 by using a resist having sensitivity 25mJ/cm2. In this paper, the practical design for the first construction such as X-ray intensity, rotating stability, cooling efficiency and evacuation performance are discussed. Subsequently the appearance of apparatus and the state of experiment are also described.
The Application Of Ion Beam Assisted Deposition Of Chrome To Photomask Repair
W. P. Robinson
The MicroBeam NanoFix-50 photomask repair system and the NanoFab-150 nanolithography system are advanced focused ion beam systems which can produce highintensity beams having current densities exceeding 5A/cm2. When such a high current density beam interacts with a solid, significant sputtering, heating, and implantation result. Localized power densities up to 750kW/cm2 can be present. In addition, ambient gas molecules impact the surface under bombardment, interacting physically and chemically, modifying the top atomic layers of the solid. The conditions at the beam impact point are thus extreme and complex.
X-Ray Exposure System With Plasma Source For Microlithography
M. Taniguchi, R. Funatsu, A. Inagaki, et al.
An x-ray exposure system with a plasma source has been developed to be applied in R & D of a deep-submicron device fabrication process. This system features high accuracy align-ment using imaging optics with high-speed signal processing, precision proximity gap control by means of a wafer surface flattening mechanism, and fine pattern replication by incorporating a plasma focus soft x-ray source. The imaging optics are arranged to be diagonally symmetric with respect to the x-ray exposure axis to perform continuous pattern detection (lateral displacement and gap) directly in an exposure field. A unique wafer chuck capable of flattening a wafer surface to within ±0.5 μm by piezoelectric actuators, supported by a six-axis, micro-motion mechanism, makes it possible to align the wafer to the mask (resolution of 0.01 μm) with a uniform gap (15=11 μm). The plasma focus source which emits x-rays with wavelengths in the range of 10 ~ 14 Å from high-temperature neon plasma using the pinch effect induced by a pulse current, has been newly developed. The pattern replication performance is thoroughly examined, showing an alignment accuracy of within ±0.1 μm (2σ), and a fine pattern replication of 0.3 μm.
Design Of An X-Ray Lithograahy Beam Line
R . P. Rippstein, D. L. Katcoff, J. M. Oberschmidt
The design of a lithographic beamline for use on a synchrotron x-ray source is an interdisciplinary problem. The joint objectives of delivering a well collimated, high intensity beam of x-rays to a photoresist while maintaining high or ultra-high vacuum integrity requires knowledge from a variety of fields. For example, one must apply accelerator physics, materials science, x-ray optics, mechanical engineering, ultra-high vacuum technology and control systems engineering in order to design beamline optical, mechanical, safety and control elements. We provide a description of the design of a beamline for use on the VUV ring at the National Synchrotron Light Source, Brookhaven National Laboratory, to be used with a commercially available step and repeat x-ray aligner.
A High Throughput Electron Lithography System Using A Field Emission Gun
W. B. Thompson, Y. Nakagawa, M. Hassel Shearer, et al.
Production quantities of GaAs FET's and MMIC's are now in demand for satellite communications and defense applications. These devices often require gate lengths below 0.25 microns. Recently production and pilot production of MMIC's and FET'S with sub-100nm gates has started. In order to satisfy the requirements of these new technologies JEOL developed a high throughput submicron and nanometric lithography system based on a field emission electron gun. This system provides stable performance with electron probe current densities in excess of 1000 A/cm2 while simultaneously providing stitching and overlay accuracies better than 0.05μm(3σ) with a minimum pattern width of 15nm. We will discuss the system and performance characteristics of this machine and present results from a recent installation.
High Efficiency X-ray Zoneplates by Layering and Index Grading
Donald L. White
X-ray zoneplates are usually made by lithographic means. This limits the resolution to features no smaller than that of the smallest zone, i.e. resolution no better than the e-beam used to generate the zoneplate. Energy is diffracted into several modes, which not only lowers efficiency, but different modes (real, virtual, zero order, plus higher order,) interfere with each other and make precise lithography impossible.
Laser Plasma As X-Ray Source For Lithographic Imaging
Fred Bijkerk, Eric Louis, Gert E. van Dorssen, et al.
Experimental work on laser plasma based X-ray lithography is presented, aimed at the development of a compact lithographic work station for VLSI pattern transfer. The results are obtained with a frequency doubled low repetition rate Nd:YAG/glass laser (532 nm, 3.5 J, 14 ns) with a power density at the laser focus of 3.5 x 1012 W/cm2. Spectral and time characteristics of X-ray emission of the laser plasma are shown. From a comparison of resist exposure using synchrotron radiation and radiation from the laser plasma, a conversion efficiency of 7.6 % of laser energy into X-ray energy in the exposure producing 845 eV band is calculated. The laser plasma X-ray source is used to image Si X-ray masks with submicron Au absorber patterns. Experimental X-ray sensitive photoresists, i.e. RAY-PF and a more sensitive formula of this resist, are used to record the structures and are analysed for their imaging properties. With 90 laser pulses 0.5 μm mask structures were faithfully reproduced on RAY-PF X-ray resist using a source-to-wafer distance of 95 mm. Only 35 laser pulses give sufficient intensity for correct exposure of the enhanced sensitivity formula. Under optimized conditions a single laser pulse was found to be sufficient for the replication of submicron patterns.
Resist Modeling Near Resolution And Sensitivity Limits In X -Ray Lithography
H. Oertel, M. Weiss, J. Chlebek, et al.
The impact of statistical fluctuations due to the finite number of quanta absorbed during the exposure of high �speed X � ray photoresists on photoresist development and lithographic structure transfer is examined. Evidence for percolation processes during photoresist development is provided, and theoretical models are presented in the form of a Monte � Carlo type computer experiment, and a statistical analysis of surface clusters by means of a simple continuous � space percolation model. Finally achievable structure transfer is analyzed in terms of the optical and statistical components of the normalized process parameter NPL.
E-Beam Application Of Highly Sensitive Positive And Negative-Tone Resists For X-Ray Mask Making
Siegfried Pongratz, Rita Demmeler, Christian Ehrlich, et al.
Resist sensitivity is one of the limiting factors in X-ray as well as e-beam lithography. To overcome the rather low sensitivities of commonly used resists like PMMA, a positive-tone X-ray resist ("RAY-PF") has been recently developed, which makes use of the concept of "chemical amplification". Since a novolak is included as a binder matrix, development can be performed by aqueous alkaline solutions, e.g. RAZ-Developer. Replacement of the dissolution inhibitor in RAY-PF by a chemical crosslinker yields a negative-tone resist ("RAY-PN") with very similar processing. The present investigation refers to the application of both resist types, originally designed to meet the demands of X-ray lithography, to high resolution e-beam lithography as applied for X-ray mask fabrication.
Soft Vacuum Pulsed Electron Beam Processing Of Tenon And Teflon-Like Films
J. Krishnaswamy, G. J. Collins, H. Hiraoka
Poly(tetrafluoroethylene), Teflon, is an excellent engineering material with a low dielectric constant, chemical. inertness in hazardous environments, and thermal stability. However, it has many shortcomings such as poor adhesion to substrates, difficulty in micron-sized via hole fabrication, and almost zero solubility in common solvents. We found that Teflon self-developed very efficiently under pulsed electron beam (25 KV to 30 KV) exposure in soft vacuum. Teflon images were obtained by performing the electron exposure through a mask. its etching rate is the highest among the polymer films studied including radiation-sensitive poly(2-butene sulfone). A possible mechanism for this high self-development rate is proposed.
Influence Of The Structure And Functionality Of Photoactive Compounds On The Performance Of Novolak Based E-Beam Resists
T. V. Jayaraman, S. Tadros, B. Beauchemin, et al.
Photoactive compounds were prepared from 2,3,4-trihydroxy-, 2,3,4,4'-tetrahydroxy- and 2,3,4,3',4',5'-hexahydroxybenzophenone by esterification with diazonaphthoquinone-4 (or 5)-sulfonyl chlorides at several relative concentration ratios. These photoactive compounds were formulated into resists with a conventional cresol novolak resin. The percent diazonaphthoquinone moieties destroyed by exposure was determined by FTIR spectroscopy as a function of dose. The resist development rates were measured on a Development Rate Monitor (DRM) as a function of the electron beam or optical exposure dose. The relation between percent diazonaphthoquinone (DNQ) moieties remaining and the development rate is shown for two resists for both optical and electron beam exposures. The electron beam sensitivity for these resists were investigated to determine the effect of the number of hydroxyl groups in the backbone and the esterification level with diazonaphthoquinone-4-sulfonyl chloride. The lithographic performance of several similar 4- and 5-sulfonate diazonaphthoquinone esters were compared.
Novel Chemical Amplification System in Azide/Phenolic Resin-Based Negative Resist
Emiko Aoki, Hiroshi Shiraishi, Michiaki Hashimoto, et al.
A novel chemical amplification system based on an azide/phenolic resin-based negative resist is described. The new resist, which consists of an azide, a phenolic resin matrix, and a carboxylic acid, can be developed in aqueous alkaline solutions. Electron-beam exposure of this resist results in the production of a primary amine. In a subsequent post-exposure baking step, the primary amine catalyzes decarboxylation of the carboxylic acid. Additionally, the decarboxylation product acts as an aqueous alkaline dissolution inhibitor in the exposed areas. On the other hand, the carboxylic acid remaining in the unexposed areas promotes the dissolution rate of those areas. The new resist shows non-swelling pattern-formation by using the aqueous alkaline developer, and the sensitivity to electron beams is about three times higher than that of MRS.
Nelative-Working Electron Beam Resist Based on Poly(methylmethacrylate)
Yarrow M. N. Namaste, S. Kay Obendorf, Bernard C. Dems, et al.
Blends of polymethylmethacrylate (PMMA) and dipentaerythritol pentaacrylate (DPEPA) respond to electron beam exposure as negative resists, with sensitivity that increases with increasing DPEPA concentration. Blends of 80 wt. % PMMA (Mn 22,500) and 20 wt. % DPEPA exhibit an electron sensitivity of 4 μC/cm2 (based on 50% of exposed film remaining) and a contrast (γ) of 1.2. Resolution of 0.25 μm has been demonstrated with this blend without the use of non-solvent rinses or plasma de-scumming. This superior resolution for a negative electron resist is attributed to the small degree of swelling of the PMMA host polymer. Increasing the molecular weight of the PMMA component to 450,000 (Mw) increases the sensitivity to 1 μC/cm2, but results in poorer resolution. Use of nearly monodisperse PMMA (Mn ≈ Mw = 29,000) improves the contrast to a γ value of 2.3 without affecting resolution or sensitivity relative to the blends with low molecular weight PMMA. Image formation in these blends is largely controlledby differential dissolution rates, with reactive plasticizer (DPEPA) enhancing dissolution in unexposed regions and inhibiting dissolution after exposure. The degree of polymerization and crosslinking of the plasticizer necessary for inhibiting dissolution rates is less than that required for complete insolubilization of the resist film. Thus, exposure at moderate doses results not only in a non-swelling image, but also one that is easily stripped with developing solvent after processing. This mechanism differs greatly from that of conventional crosslinking negative electron beam resists for which exposure renders the exposed regions insoluble in any developing solvent.
0.25 µm Trench Etching By ECR Plasma
H. Fujiwara, K. Fujimoto, H. Araki, et al.
Fine pattern was delineated by an electron beam (E-beam) exposure system and an electron cyclotron resonance (EcR) plasma etcher. First, "micro-loading effect", which is apt to reduce an etching rate in a much smaller pattern, was investigated by photoresist etching with 02 gas, and the relationship between the above effect and the 02 pressure was obtained. Secondly, a very fine Si trench structure was etched with mixing etchant gases of C12 and 02. A Si trench structure with 0.25 μm width and 1.5 μm depth was achieved by a novel etching technology that both the amount of 02 and radio frequence (RF) bias power were changed during etching.
Effect Of Charging On Pattern Placement Accuracy In E-Beam Lithography
Donald K. Atwood, Paohua Kuo, Sheila Vaidya, et al.
An analysis of overlay measurements for wafers patterned by direct write lithography suggested that resist charging during electron beam exposure can produce significant pattern placement errors. This paper investigates the effect of charging for each critical level in Si processing and demonstrates how errors due to charging can be eliminated. A methodology employing Market measurements was used to provide statistically significant data on electron beam deflection. Charge accumulation in large exposed areas was found to produce offsets in the placement of registration crosses. Typical placement errors for a trilevel resist structure were 0.25 micron. Experiments showed that the magnitude of deflection was comparable for all substrate types, but increased with increasing trilevel resist thickness. These results are explained with a theoretical model which uses a Monte Carlo calculation to compute the dipole moments produced by accumulated charge. Various techniques to minimize the effect of charging were investigated. It was shown that in-creasing the electron accelerating voltage reduces beam deflection. In fact, pattern placement errors were made negligible by increasing the beam energy from 20 keV to 50 keV. In addition, both Ar ion implantation of the trilevel resist and the use of a metal trilevel structure were demonstrated to eliminate the effect of charging.
The Effect Of EB Dose On Hot Carrier Induced Degradation Of Mos Transistors
Fumio Murai, Osamu Suga, Shinji Okazaki, et al.
Hot carrier induced degradation of MOS transistors by direct EB (Electron Beam) writing is investigated. A mixture of optical and EB lithography provides a useful method for analyzing the effect of EB irradiation during a specific ER exposure step. Two types of EB resist (positive and negative) are used to examine the effect of the electron beam on an exposed area. When gate patterns are delineated by EB direct writing using negative resist, MOS transistors show large degradation after a DC stress test. The threshold voltage shift of the device with a 14 μC/cm2 exposure dose reaches 500 mV after a 1000 sec DC stress test. When positive resist is used, the threshold voltage shift is almost the same as that optically fabricated devices. If Al wirings are patterned by EB lithography, the effect of EB exposure on threshold voltage shift is small for both resist types. The deposition energy in the gate oxide during EB exposure is calculated by Monte Carlo simulation. The energy of the electrons irradiated directly on the active layer, not the total deposition energy, shows some relation to the degradation of MOS devices. The dosage must be decreased to decrease the effect of ER irradiation on device degradation. Therefore, toluene soaking treatment is used on positive resist RE5000P. This process makes it possible to use high sensitivity and high contrast positive resist with low EB damage.
Recent Results In The Application Of Electron Beam Direct-Write Lithography
Anthony Gonzales, Jorge Freyer, Samuel S. M. Fok
The Perkin-Elmer AEBLE 150 e-beam lithography tool for direct writing on wafers has been installed in several different manufacturing environments. These include prototyping of silicon DRAMs, device development, GaAs production, high-resolution advance device, and full custom ASICs. This paper describes the application of Perkin-Elmer's AEBLE 150 from a large geometry ASIC high wafer throughput requirement, which includes personalization of metal and via layers, to the sub-0.2 μm high-resolution GaAs device. Electron beam personalization of metal and via layers for ASIC devices is advantageous for several reasons: 1) The versatility of the AEBLE 150 allows efficient mixing with optical lithography product lines. 2) The intrinsic machine overlay capability (0.15 μm) has been approached by the observed 0.25 μm overlays with wafers taken from product lots not originally designed for mixing of lithography tools. 3) This maskless technique provides cost savings for small lots where relatively few devices are required. 4) Commercially available resists have been used with good process and throughput performance for devices with 1 μm minimum spaces. For GaAs applications, resolution of 0.25μm or better is required to produce GHz devices. The resolution limit of the AEBLE 150 was investigated with the result that 0.15 μm gates are reliably produced in 0.5 μm thick PMMA over GaAs substrates.